JP2006253733A - Plasma processing apparatus and method of cleaning the same - Google Patents

Plasma processing apparatus and method of cleaning the same Download PDF

Info

Publication number
JP2006253733A
JP2006253733A JP2006175730A JP2006175730A JP2006253733A JP 2006253733 A JP2006253733 A JP 2006253733A JP 2006175730 A JP2006175730 A JP 2006175730A JP 2006175730 A JP2006175730 A JP 2006175730A JP 2006253733 A JP2006253733 A JP 2006253733A
Authority
JP
Japan
Prior art keywords
chamber
cleaning
gas
exhaust
line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006175730A
Other languages
Japanese (ja)
Inventor
Toshiaki Fujisato
敏章 藤里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006175730A priority Critical patent/JP2006253733A/en
Publication of JP2006253733A publication Critical patent/JP2006253733A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a plasma processing apparatus in which efficient cleaning is possible, and a method of cleaning the same. <P>SOLUTION: An exhaust pipe 37 is directly connected to a diffusion section 29a for diffusing process gas, formed inside an upper electrode 26, wherein the upper electrode 26 functions as a shower head. An exhaust line L4 for cleaning, with the exhaust pipe 37 at one end thereof, is connected to an exhaust outlet 36, and is resultantly connected to an exhaust line L3 for exhausting gases inside a chamber 11. Cleaning gas supplied from a cleaning gas line L2 passes through the inside of the chamber 11 and is exhausted through the inside of the upper electrode 26. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、プラズマを用いて被処理体に所定の処理を施すプラズマ処理装置およびそのクリーニング方法に関する。   The present invention relates to a plasma processing apparatus that performs predetermined processing on an object to be processed using plasma, and a cleaning method thereof.

半導体装置、液晶表示装置等の電子デバイスの製造には、種々のCVD(Chemical Vapor Deposition)装置が用いられる。中でも、プラズマCVD装置は、品質の高い膜を形成することができ、広く用いられている。   Various CVD (Chemical Vapor Deposition) apparatuses are used for manufacturing electronic devices such as semiconductor devices and liquid crystal display devices. Among these, a plasma CVD apparatus can form a high-quality film and is widely used.

プラズマCVD装置は、減圧されたチャンバ内で半導体ウェハ等の被処理体にプラズマCVD法により成膜する。この成膜工程では、被処理体の表面だけでなく、チャンバ部材(内壁など)の表面にも膜が形成される。チャンバ内に形成された膜は、パーティクルの原因となるなど、歩留まりを低下させる。従って、チャンバの内部を定期的にクリーニングして、堆積膜を除去する必要がある。   A plasma CVD apparatus forms a film on a target object such as a semiconductor wafer by a plasma CVD method in a decompressed chamber. In this film forming process, a film is formed not only on the surface of the object to be processed but also on the surface of the chamber member (such as the inner wall). The film formed in the chamber reduces the yield, for example, causes particles. Therefore, it is necessary to periodically clean the inside of the chamber to remove the deposited film.

チャンバ内をクリーニングする方法として、チャンバの外部でクリーニングガスのプラズマを発生させて、発生したプラズマをチャンバ内に導入してクリーニングを行う、いわゆるリモートプラズマクリーニングが知られている。   As a method for cleaning the inside of the chamber, so-called remote plasma cleaning is known in which cleaning gas plasma is generated outside the chamber, and the generated plasma is introduced into the chamber for cleaning.

リモートプラズマクリーニングについて、以下、図面を参照して説明する。図12は、リモートプラズマクリーニングを用いたクリーニングの可能な、平行平板型のプラズマCVD装置101の概略図を示す。   The remote plasma cleaning will be described below with reference to the drawings. FIG. 12 is a schematic view of a parallel plate type plasma CVD apparatus 101 that can be cleaned using remote plasma cleaning.

図12に示すように、プラズマCVD装置101は、チャンバ102と、被処理体Wが載置されるとともに、下部電極として機能するサセプタ103と、チャンバ102内を真空引き可能なポンプ104と、多数の微細なガス穴105を備え、このガス穴105から被処理体Wの表面全体に成膜ガスを供給し、上部電極として機能するシャワーヘッド106と、を備える。なお、シャワーヘッド106の内部には、多数のガス穴105にプロセスガスを拡散させるための微細な拡散路107が形成されている。成膜工程では、サセプタ103とシャワーヘッド106との間に、成膜ガスが供給されている状態で上下電極に高周波電力を印加してプラズマを発生させる。発生した成膜ガスのプラズマにより、被処理体Wの表面に所定の膜が形成される。   As shown in FIG. 12, a plasma CVD apparatus 101 includes a chamber 102, a workpiece W, a susceptor 103 that functions as a lower electrode, a pump 104 that can evacuate the chamber 102, and many And a shower head 106 that supplies a film forming gas from the gas hole 105 to the entire surface of the workpiece W and functions as an upper electrode. In the shower head 106, fine diffusion paths 107 for diffusing the process gas into the numerous gas holes 105 are formed. In the film formation process, plasma is generated by applying high-frequency power to the upper and lower electrodes while a film formation gas is supplied between the susceptor 103 and the shower head 106. A predetermined film is formed on the surface of the workpiece W by the generated film forming gas plasma.

プラズマCVD装置101には、クリーニングガスライン108が接続されている。クリーニングガスライン108はクリーニングガス源109と、プラズマ発生装置110と、を備える。クリーニングガス源109から供給されたフッ素系ガスは、プラズマ発生装置110内でプラズマとされる。クリーニング工程では、クリーニングガスライン108からクリーニングガスのプラズマ、あるいは、プラズマ中のフッ素ラジカルが選択的にチャンバ102内に導入される。導入されたプラズマ中の、特に、フッ素ラジカルにより、チャンバ102内に堆積した膜がエッチングされて除去される。   A cleaning gas line 108 is connected to the plasma CVD apparatus 101. The cleaning gas line 108 includes a cleaning gas source 109 and a plasma generator 110. The fluorine-based gas supplied from the cleaning gas source 109 is converted into plasma in the plasma generator 110. In the cleaning step, cleaning gas plasma or fluorine radicals in the plasma are selectively introduced into the chamber 102 from the cleaning gas line 108. The film deposited in the chamber 102 is etched and removed by fluorine radicals in the introduced plasma, in particular.

上記のようなプラズマCVD装置101には、以下の(1)〜(3)のような問題がある。
(1)クリーニング工程において、クリーニングガスのプラズマ(リモートプラズマ)は、チャンバ102の側壁等に設けられた、専用のガス導入口111からチャンバ102内に導入される。クリーニングガスにより、チャンバ102の壁面、サセプタ103表面等に堆積した膜は、クリーニングガスと容易に接触し、比較的容易に除去される。
The plasma CVD apparatus 101 as described above has the following problems (1) to (3).
(1) In the cleaning step, cleaning gas plasma (remote plasma) is introduced into the chamber 102 from a dedicated gas inlet 111 provided on the side wall of the chamber 102 or the like. The film deposited on the wall surface of the chamber 102, the surface of the susceptor 103, etc. by the cleaning gas easily comes into contact with the cleaning gas and is removed relatively easily.

一方、シャワーヘッド106のガス穴105および拡散路107はプロセスガスの通路として機能し、また、微細な構造を有するため、膜が堆積しやすい。しかし、微細なガス穴105および拡散路107には、クリーニングガスは入り込み難く、十分なクリーニングを行うには長い時間を要する。このため、シャワーヘッド106のクリーニングが、クリーニング工程を律速している。   On the other hand, the gas hole 105 and the diffusion path 107 of the shower head 106 function as a process gas path and have a fine structure, so that a film is easily deposited. However, it is difficult for the cleaning gas to enter the fine gas holes 105 and the diffusion path 107, and it takes a long time to perform sufficient cleaning. For this reason, the cleaning of the shower head 106 controls the cleaning process.

クリーニング時間が長い、すなわち、シャワーヘッド106のクリーニングに長時間を要する場合、スループットが低下するだけでなく、過度のクリーニングにより他のチャンバ部材が劣化する。しかし、クリーニング時間が短いと、シャワーヘッド106のクリーニングが不十分となり、パーティクルが増大し、歩留まりが低下する。このように、従来のリモートプラズマクリーニング可能なプラズマCVD装置には、シャワーヘッドのクリーニングに時間がかかり、効率的なクリーニングが行われにくい、という問題があった。   When the cleaning time is long, that is, it takes a long time to clean the shower head 106, not only the throughput is lowered, but other chamber members are deteriorated due to excessive cleaning. However, if the cleaning time is short, the shower head 106 is not sufficiently cleaned, particles increase, and the yield decreases. As described above, the conventional plasma CVD apparatus capable of remote plasma cleaning has a problem that it takes time to clean the shower head and it is difficult to perform efficient cleaning.

(2)クリーニングガス用のガス導入口111には、スリット112aが形成された蓋材112が設置され、蓋材112を介して、クリーニングガスがチャンバ102内に導入される構造となっている。蓋材112はガス導入口111を覆い、チャンバ102の壁面をできるだけ平坦とするために設けられている。蓋材112を用いない場合には、ガス導入口111の部分でのプラズマ形成が不安定なものとなり、異常放電が生じやすい。このように、蓋材112を設けることにより、異常放電を低減させることができる。   (2) The gas inlet 111 for the cleaning gas is provided with a lid 112 having a slit 112a, and the cleaning gas is introduced into the chamber 102 through the lid 112. The lid 112 covers the gas inlet 111 and is provided to make the wall surface of the chamber 102 as flat as possible. When the lid 112 is not used, plasma formation at the gas inlet 111 becomes unstable and abnormal discharge is likely to occur. Thus, by providing the lid member 112, abnormal discharge can be reduced.

しかし、蓋材112を介してクリーニングガスを導入する際に、クリーニング活性種であるラジカルのロスが生じる。これにより、クリーニングガスの活性は低下し、クリーニング速度が低下してしまう。このように、従来のリモートプラズマクリーニング可能なプラズマCVD装置には、蓋材を設けることにより、クリーニングガスプラズマの活性が失われ、クリーニングガスの活性が十分に利用されず、効率的なクリーニングが行われにくい、という問題があった。   However, when the cleaning gas is introduced through the lid material 112, radicals that are cleaning active species are lost. As a result, the activity of the cleaning gas decreases, and the cleaning speed decreases. As described above, in the conventional plasma CVD apparatus capable of remote plasma cleaning, the activity of the cleaning gas plasma is lost by providing the lid material, and the cleaning gas activity is not fully utilized, so that efficient cleaning is performed. There was a problem that it was difficult to break.

(3)また、クリーニングガス導入口111は、クリーニングガスの供給量を大きくするため、比較的大径に形成されている。蓋材112は、この開口全体を覆うように設けられている。 (3) Further, the cleaning gas inlet 111 is formed with a relatively large diameter in order to increase the supply amount of the cleaning gas. The lid member 112 is provided so as to cover the entire opening.

しかし、大きな開口であることから、クリーニングガスを大流量で供給したとしても、クリーニングガス導入口111からの供給圧力(供給速度)は、あまり高めることはできない。また、蓋材112のスリットを介して供給されるため、隣接するスリットを通過したガス流同士が干渉しあうことによりさらに流速が低下する。このため、チャンバ102の中央、すなわち、シャワーヘッド106へのクリーニングガスの供給速度が低いためにクリーニングガスはシャワーヘッド106の内部へ入り込みにくく、十分に高いシャワーヘッド106のクリーニング速度が得られない。   However, since the opening is large, even if the cleaning gas is supplied at a large flow rate, the supply pressure (supply speed) from the cleaning gas inlet 111 cannot be increased so much. Moreover, since it supplies through the slit of the cover material 112, when the gas flow which passed the adjacent slit interferes, the flow velocity falls further. For this reason, since the supply rate of the cleaning gas to the center of the chamber 102, that is, the shower head 106 is low, the cleaning gas hardly enters the shower head 106, and a sufficiently high cleaning speed of the shower head 106 cannot be obtained.

このように、従来のリモートプラズマクリーニング可能なプラズマCVD装置には、クリーニングガスを、高い供給圧力(速度)でチャンバ内に供給することは難しく、高速の、効率的なクリーニングが行われにくい、という問題があった。   Thus, in the conventional plasma CVD apparatus capable of remote plasma cleaning, it is difficult to supply the cleaning gas into the chamber at a high supply pressure (speed), and high-speed and efficient cleaning is difficult to be performed. There was a problem.

上記事情を鑑みて、本発明は、効率的なクリーニングの可能なプラズマ処理装置およびそのクリーニング方法に関する。   In view of the above circumstances, the present invention relates to a plasma processing apparatus capable of efficient cleaning and a cleaning method thereof.

上記目的を達成するため、本発明の第1の観点に係るプラズマ処理装置は、
チャンバと、
前記チャンバの内部をクリーニングするためのクリーニングガスを前記チャンバ内に供給するためのクリーニングガスラインと、
前記クリーニングガスラインに配置され、前記クリーニングガスを活性化するガス活性化手段と、
前記チャンバの壁に設けられ、前記ガス活性化手段により活性化された前記クリーニングガスが、隣接するスリットを通過するガスの干渉が抑制されるように密に形成された複数のスリットを介して、前記チャンバ内に供給されるガス導入口と、
を備える、ことを特徴とする。
In order to achieve the above object, a plasma processing apparatus according to the first aspect of the present invention provides:
A chamber;
A cleaning gas line for supplying a cleaning gas for cleaning the inside of the chamber into the chamber;
A gas activation means disposed in the cleaning gas line and activating the cleaning gas;
The cleaning gas that is provided on the wall of the chamber and is activated by the gas activating means passes through a plurality of slits that are densely formed so that interference of gas passing through adjacent slits is suppressed, A gas inlet supplied into the chamber;
It is characterized by comprising.

上記構成において、上記プラズマ処理装置は、さらに、所定のプロセスガスを前記チャンバ内に供給するプロセスガスラインと、前記プロセスガスラインに接続されて前記プロセスガスラインから導入される前記プロセスガスを拡散させる拡散路、及び前記拡散路に接続されて前記拡散路により拡散された前記プロセスガスを前記チャンバ内に供給する複数のガス孔を有し、高周波電力を印加可能な拡散電極と、一端が前記プロセスガスラインと前記拡散路との少なくとも一方に接続され、他端が排気手段に接続され、前記チャンバ内から前記クリーニングガスを排気するためのクリーニングガス排気ラインと、を備えてもよい。   In the above-described configuration, the plasma processing apparatus further diffuses the process gas line that supplies a predetermined process gas into the chamber and the process gas that is connected to the process gas line and introduced from the process gas line. A diffusion path, a diffusion electrode connected to the diffusion path, and having a plurality of gas holes for supplying the process gas diffused by the diffusion path into the chamber, to which a high frequency power can be applied, and one end of the process electrode A cleaning gas exhaust line connected to at least one of the gas line and the diffusion path, the other end connected to an exhaust means, and exhausting the cleaning gas from the chamber may be provided.

上記プラズマ処理装置は、さらに、前記プロセスガスラインから前記チャンバ内に導入された前記プロセスガスを排気するためのプロセスガス排気ラインを備え、前記プロセスガス排気ラインは排気手段により排気されてもよい。   The plasma processing apparatus may further include a process gas exhaust line for exhausting the process gas introduced into the chamber from the process gas line, and the process gas exhaust line may be exhausted by an exhaust unit.

上記プラズマ処理装置において、前記チャンバは排気口を備え、前記プロセスガス排気ラインは前記排気手段と前記排気口との間に設けられたバルブを備え、前記クリーニングガス排気ラインの他端は、前記プロセスガス排気ラインの前記バルブと前記排気手段との間に接続され、前記バルブが閉鎖された状態で、前記排気手段は前記クリーニングガス排気ラインを介して前記チャンバ内を排気してもよい。   In the plasma processing apparatus, the chamber includes an exhaust port, the process gas exhaust line includes a valve provided between the exhaust unit and the exhaust port, and the other end of the cleaning gas exhaust line is connected to the process. The exhaust unit may be connected between the valve of the gas exhaust line and the exhaust unit, and the exhaust unit may exhaust the interior of the chamber through the cleaning gas exhaust line with the valve closed.

上記構成において、前記ガス活性化手段は、前記クリーニングガスのプラズマを発生させてもよい。   In the above configuration, the gas activation means may generate plasma of the cleaning gas.

上記目的を達成するため、本発明の第2の観点に係るプラズマ処理装置のクリーニング方法は、
チャンバと、前記チャンバの内部をクリーニングするためのクリーニングガスを前記チャンバ内に供給するためのクリーニングガスラインと、前記クリーニングガスラインに配置され、前記クリーニングガスを活性化するガス活性化手段と、を備えるプラズマ処理装置のクリーニング方法であって、
前記チャンバの内部に前記ガス活性化手段により活性化されたクリーニングガスを、隣接するスリットを通過するガスの干渉が抑制されるように密に形成された複数のスリットを介して導入する導入工程と、
前記チャンバ内に導入されたクリーニングガスを前記チャンバ内から排気する排気工程と、を備える、ことを特徴とする。
In order to achieve the above object, a plasma processing apparatus cleaning method according to a second aspect of the present invention comprises:
A chamber, a cleaning gas line for supplying a cleaning gas for cleaning the inside of the chamber into the chamber, and a gas activation means disposed in the cleaning gas line and activating the cleaning gas. A plasma processing apparatus cleaning method comprising:
Introducing the cleaning gas activated by the gas activating means into the chamber through a plurality of slits formed densely so as to suppress interference of gas passing through adjacent slits; ,
And an exhaust step of exhausting the cleaning gas introduced into the chamber from the chamber.

上記構成において、前記プラズマ処理装置には、前記チャンバ内に導入されたプロセスガスを排気するためのプロセスガス排気手段が備えられており、前記排気工程では、前記プロセスガス排気手段により排気してもよい。   In the above configuration, the plasma processing apparatus includes a process gas exhaust unit for exhausting the process gas introduced into the chamber. In the exhaust process, the process gas exhaust unit may exhaust the process gas. Good.

上記構成において、前記プラズマ処理装置には、前記プロセスガス排気手段と前記チャンバの排気口との間に設けられたバルブが備えられており、前記排気工程では、前記バルブを閉鎖した状態で、前記チャンバ内を排気してもよい。   In the above configuration, the plasma processing apparatus includes a valve provided between the process gas exhaust unit and an exhaust port of the chamber. In the exhaust process, the valve is closed, The inside of the chamber may be evacuated.

本発明によれば、効率的なクリーニングの可能なプラズマ処理装置およびそのクリーニング方法が提供される。   According to the present invention, a plasma processing apparatus capable of efficient cleaning and a cleaning method thereof are provided.

本発明の実施の形態にかかるプラズマ処理装置について、以下図面を参照して説明する。以下では、プラズマ処理装置として、いわゆる平行平板型のプラズマCVD(Chemical Vapor Deposition)装置を例として説明する。このプラズマ処理装置は、半導体ウェハ(以下、ウェハW)にフッ化酸化シリコン(SiOF)膜を形成する成膜工程と、成膜工程の間に3フッ化窒素(NF)のプラズマを用いたクリーニングを行うクリーニング工程と、を行うことができる。 A plasma processing apparatus according to an embodiment of the present invention will be described below with reference to the drawings. Hereinafter, as a plasma processing apparatus, a so-called parallel plate type plasma CVD (Chemical Vapor Deposition) apparatus will be described as an example. This plasma processing apparatus uses a nitrogen trifluoride (NF 3 ) plasma between a film forming process for forming a silicon fluoride oxide (SiOF) film on a semiconductor wafer (hereinafter referred to as wafer W) and the film forming process. And a cleaning process for performing cleaning.

図1に、本実施の形態にかかるプラズマ処理装置10の構成を示す。
図1に示すように、プラズマ処理装置10は、チャンバ11と、プロセスガスラインL1と、クリーニングガスラインL2と、排気ラインL3と、システムコントローラ100と、を備える。
FIG. 1 shows a configuration of a plasma processing apparatus 10 according to the present embodiment.
As shown in FIG. 1, the plasma processing apparatus 10 includes a chamber 11, a process gas line L1, a cleaning gas line L2, an exhaust line L3, and a system controller 100.

チャンバ11は、真空まで減圧可能な反応容器である。後述するように、チャンバ11の内部でプラズマCVDが行われ、ウェハWに表面処理が施される。   The chamber 11 is a reaction vessel that can be depressurized to a vacuum. As will be described later, plasma CVD is performed inside the chamber 11 and the wafer W is subjected to surface treatment.

プロセスガスラインL1は、チャンバ11にプロセスガスを供給する。プロセスガスは、4フッ化シラン(SiF)、シラン(SiH)および酸素(O)と、キャリアガスとしてのアルゴン(Ar)と、から構成される。プロセスガスラインL1は、SiF源SA、SiH源SB、O源SCおよびAr源SDをチャンバ11に接続する。SiF源SA、SiH源SB、O源SC及びAr源SDは、それぞれ、いずれも図示しないマスフローコントローラ等を介してチャンバ11に接続されている。SiF源SA、SiH源SB、O源SC及びAr源SDと、チャンバ11と、を結ぶラインは一本のラインに収束されている。これにより、SiF、SiH、O及びArは、それぞれ所定の比で混合されて、チャンバ11に供給される。プロセスガスは、チャンバ11の内部でプラズマとされ、これによりウェハWの表面にSiOF膜が形成される。 The process gas line L1 supplies process gas to the chamber 11. The process gas is composed of tetrafluorosilane (SiF 4 ), silane (SiH 4 ), oxygen (O 2 ), and argon (Ar) as a carrier gas. The process gas line L 1 connects the SiF 4 source SA, the SiH 4 source SB, the O 2 source SC, and the Ar source SD to the chamber 11. Each of the SiF 4 source SA, the SiH 4 source SB, the O 2 source SC, and the Ar source SD is connected to the chamber 11 via a mass flow controller or the like (not shown). The lines connecting the SiF 4 source SA, the SiH 4 source SB, the O 2 source SC and the Ar source SD and the chamber 11 are converged to one line. Thereby, SiF 4 , SiH 4 , O 2 and Ar are mixed at a predetermined ratio and supplied to the chamber 11. The process gas is turned into plasma inside the chamber 11, whereby a SiOF film is formed on the surface of the wafer W.

クリーニングガスラインL2は、チャンバ11にクリーニングガスを供給する。クリーニングガスは、NFと、キャリアガスとしてのArと、から構成される。クリーニングガスラインL2は、NF源SE及びAr源SFと、チャンバ11と、を接続する。NF源SEおよびAr源SFは、いずれも図示しないマスフローコントローラ等を介してそれぞれチャンバ11に接続されている。NF源SE及びAr源SFと、チャンバ11と、を結ぶラインは、いったん一本に収束された後、再び2本のラインに分岐してチャンバ11に接続されている。従って、NF及びArは所定の比で混合され、2つのラインからチャンバ11に供給される。 The cleaning gas line L2 supplies a cleaning gas to the chamber 11. The cleaning gas is composed of NF 3 and Ar as a carrier gas. The cleaning gas line L2 connects the NF 3 source SE and the Ar source SF to the chamber 11. Each of the NF 3 source SE and the Ar source SF is connected to the chamber 11 via a mass flow controller or the like (not shown). The lines connecting the NF 3 source SE and the Ar source SF and the chamber 11 are once converged to one line, and then branched into two lines and connected to the chamber 11 again. Accordingly, NF 3 and Ar are mixed at a predetermined ratio and supplied to the chamber 11 from two lines.

クリーニングガスラインL2には、プラズマ発生装置12が設けられている。プラズマ発生装置12は、クリーニングガスラインL2のいったん収束した部分に配置されている。プラズマ発生装置12は、その内部にプラズマ発生機構を備え、プラズマ発生装置12に供給されたガス(NFおよびAr)のプラズマを生成する。プラズマ発生装置12は、発生したプラズマ中の、主としてフッ素ラジカルを選択的に排気する。これにより、プラズマ発生装置12の排気側に接続されたチャンバ11には、フッ素ラジカルを主成分とするクリーニングガスが供給される。 A plasma generator 12 is provided in the cleaning gas line L2. The plasma generator 12 is disposed at a once converged portion of the cleaning gas line L2. The plasma generator 12 includes a plasma generation mechanism therein, and generates plasma of gases (NF 3 and Ar) supplied to the plasma generator 12. The plasma generator 12 selectively exhausts mainly fluorine radicals in the generated plasma. As a result, the cleaning gas mainly containing fluorine radicals is supplied to the chamber 11 connected to the exhaust side of the plasma generator 12.

排気ラインL3には、ターボ分子ポンプ(TMP)13が接続されている。ターボ分子ポンプ13の下流には、図示しないドライポンプが設けられ、チャンバ11内を真空程度まで減圧可能な構成となっている。ターボ分子ポンプ13とチャンバ11との間には、自動圧力制御装置(APC)14が設けられている。自動圧力制御装置14により、チャンバ11内は、所定の圧力とされる。   A turbo molecular pump (TMP) 13 is connected to the exhaust line L3. A dry pump (not shown) is provided downstream of the turbo molecular pump 13 so that the inside of the chamber 11 can be decompressed to a vacuum level. An automatic pressure controller (APC) 14 is provided between the turbo molecular pump 13 and the chamber 11. The interior of the chamber 11 is set to a predetermined pressure by the automatic pressure control device 14.

システムコントローラ100は、成膜動作及びクリーニング動作を含めた、プラズマ処理装置10全体の制御を行う。システムコントローラ100は、タイマ、例えば、ソフトウェアタイマを備える。   The system controller 100 controls the entire plasma processing apparatus 10 including a film forming operation and a cleaning operation. The system controller 100 includes a timer, for example, a software timer.

図2は、図1に示すプラズマ処理装置10のチャンバ11の断面図である。なお、理解を容易にするため、図2中では、プロセスガスラインL1に接続された各種ガス源等は図示しない。   FIG. 2 is a cross-sectional view of the chamber 11 of the plasma processing apparatus 10 shown in FIG. For easy understanding, various gas sources and the like connected to the process gas line L1 are not shown in FIG.

チャンバ11は、略円筒形状を有する。チャンバ11は、例えば、表面がアルマイト処理されたアルミニウムから構成されている。また、チャンバ11は接地されている。チャンバ11の側壁には図示しないゲートバルブが設けられている。ゲートバルブを介して、チャンバ11へのウエハWの搬入出が行われる。   The chamber 11 has a substantially cylindrical shape. The chamber 11 is made of, for example, aluminum whose surface is anodized. The chamber 11 is grounded. A gate valve (not shown) is provided on the side wall of the chamber 11. The wafer W is carried into and out of the chamber 11 via the gate valve.

チャンバ11内の底部中央には、略円柱状のサセプタ支持台15が設けられている。サセプタ支持台15の上には、セラミックなどの絶縁体16を介して、サセプタ17が設けられている。サセプタ支持台15は、チャンバ11の下方に設けられた昇降機構(図示せず)にシャフト18を介して接続され、サセプタ17とともに昇降可能に構成されている。   A substantially cylindrical susceptor support 15 is provided at the center of the bottom of the chamber 11. A susceptor 17 is provided on the susceptor support 15 via an insulator 16 such as ceramic. The susceptor support 15 is connected to an elevating mechanism (not shown) provided below the chamber 11 via a shaft 18 and is configured to be able to elevate together with the susceptor 17.

サセプタ支持台15の内部には、冷媒室19が設けられている。冷媒室19には、冷媒管20が接続されており、冷媒管20を介して冷媒室19に冷媒が導入される。冷媒は、所定の温度に制御されており、冷媒が冷媒室19を循環し、その冷熱がサセプタ17を介してウエハWに対して伝熱されることによりウエハWは所望の温度に制御される。   A refrigerant chamber 19 is provided inside the susceptor support 15. A refrigerant pipe 20 is connected to the refrigerant chamber 19, and the refrigerant is introduced into the refrigerant chamber 19 through the refrigerant pipe 20. The coolant is controlled to a predetermined temperature, and the coolant circulates in the coolant chamber 19, and the cold heat is transferred to the wafer W through the susceptor 17, whereby the wafer W is controlled to a desired temperature.

サセプタ支持台15の下方は、ステンレス鋼等からなるベローズ21で覆われている。ベローズ21は、その上端がサセプタ支持台15の下面に、その下端がチャンバ11の底面にねじ等により留められている。ベローズ21は、サセプタ支持台15の下方の常圧部分と、チャンバ11内の真空部分と、を分離している。ベローズ21は、サセプタ支持台15の昇降動作に応じて伸縮し、常に気密性を維持する。   The lower part of the susceptor support 15 is covered with a bellows 21 made of stainless steel or the like. The bellows 21 has an upper end fastened to the lower surface of the susceptor support 15 and a lower end fastened to the bottom surface of the chamber 11 with screws or the like. The bellows 21 separates the normal pressure part below the susceptor support 15 and the vacuum part in the chamber 11. The bellows 21 expands and contracts according to the lifting / lowering operation of the susceptor support 15 and always maintains airtightness.

サセプタ17は、その上部中央が凸状の円板状に形成され、その上にウエハWと略同形の図示しない静電チャックが設けられている。サセプタ17は、ウェハWの載置台であり、サセプタ17上に載置されたウェハWは、クーロン力によって静電吸着される。   The upper center of the susceptor 17 is formed in a convex disk shape, and an electrostatic chuck (not shown) having substantially the same shape as the wafer W is provided thereon. The susceptor 17 is a mounting table for the wafer W, and the wafer W placed on the susceptor 17 is electrostatically attracted by Coulomb force.

サセプタ17は、また、下部電極として機能する。サセプタ17には、第1の高周波電源22が接続されており、その給電線には第1の整合器23が介在されている。第1の高周波電源22は、0.1〜13MHzの範囲の周波数を有しており、このような範囲の周波数を印加することにより、被処理体であるウエハWに対してダメージを与えることなく適切なイオン作用を与えることができる。また、サセプタ17は、ハイパスフィルタ(HPF)24を介して接地されている。   The susceptor 17 also functions as a lower electrode. A first high frequency power supply 22 is connected to the susceptor 17, and a first matching unit 23 is interposed in the power supply line. The first high-frequency power source 22 has a frequency in the range of 0.1 to 13 MHz, and applying a frequency in such a range does not damage the wafer W that is the object to be processed. Appropriate ion action can be provided. The susceptor 17 is grounded via a high pass filter (HPF) 24.

サセプタ17の上端周縁部には、静電チャック上に載置されたウエハWを囲むように、環状のフォーカスリング25が配置されている。フォーカスリング25は、シリコンなどから構成されている。フォーカスリング25は、その内側に配置されたウェハWに、プラズマを効果的に集め、効率的な、均一性の高いプラズマ処理を可能にする。   An annular focus ring 25 is arranged at the upper peripheral edge of the susceptor 17 so as to surround the wafer W placed on the electrostatic chuck. The focus ring 25 is made of silicon or the like. The focus ring 25 effectively collects plasma on the wafer W disposed on the inner side of the focus ring 25 to enable efficient and highly uniform plasma processing.

また、サセプタ支持台15、サセプタ17等は、ウエハW受け渡し用の図示しないリフトピンが貫通可能に形成されている。リフトピンはシリンダ等により昇降可能となっている。リフトピンは、サセプタ17を突き抜けて上昇可能であり、リフトピンの昇降動作によりウェハWのサセプタ17上への載置がなされる。   The susceptor support 15 and the susceptor 17 are formed such that lift pins (not shown) for transferring the wafer W can pass therethrough. The lift pin can be moved up and down by a cylinder or the like. The lift pins can be lifted through the susceptor 17, and the wafer W is placed on the susceptor 17 by the lifting pins.

サセプタ17の上方には、サセプタ17と平行に対向して上部電極26が設けられている。上部電極26は、絶縁材27を介して、チャンバ11の上部に支持されている。上部電極26は、電極板28と、電極支持体29と、から構成される。   An upper electrode 26 is provided above the susceptor 17 so as to face the susceptor 17 in parallel. The upper electrode 26 is supported on the upper portion of the chamber 11 via an insulating material 27. The upper electrode 26 includes an electrode plate 28 and an electrode support 29.

電極板28は、サセプタ17またはウェハWとの対向面を形成する。電極板28は、多数の微細なガス孔28aをそのほぼ全面に備える。電極板28は、例えば、表面がアルマイト処理されたアルミニウム、シリコン、SiCまたはアモルファスカーボン等から構成されている。なお、サセプタ17と電極板28とは、例えば、10〜60mm程度離間している。   The electrode plate 28 forms a surface facing the susceptor 17 or the wafer W. The electrode plate 28 includes a large number of fine gas holes 28a on almost the entire surface thereof. The electrode plate 28 is made of, for example, aluminum, silicon, SiC or amorphous carbon whose surface is anodized. The susceptor 17 and the electrode plate 28 are separated from each other by about 10 to 60 mm, for example.

電極支持体29には、電極板28がねじどめされている。電極支持体29は、導電性材料、例えば、表面がアルマイト処理されたアルミニウムから構成されている。電極支持体29は、その内部に図示しない冷媒構造を備えている。冷却構造により、上部電極26の過熱等は防がれる。   An electrode plate 28 is screwed to the electrode support 29. The electrode support 29 is made of a conductive material, for example, aluminum whose surface is anodized. The electrode support 29 has a refrigerant structure (not shown) therein. The cooling structure prevents the upper electrode 26 from being overheated.

電極支持体29は、ガス導入管30を備える。ガス導入管30は、プロセスガスラインL1の一端を構成し、ガス導入管30を介して、チャンバ11内にプロセスガスが供給される。ガス導入管30はバルブV1を介してプロセスガスラインL1に接続されている。   The electrode support 29 includes a gas introduction pipe 30. The gas introduction pipe 30 constitutes one end of the process gas line L <b> 1, and the process gas is supplied into the chamber 11 through the gas introduction pipe 30. The gas introduction pipe 30 is connected to the process gas line L1 via the valve V1.

電極支持体29は、その内部に、電極板28の複数のガス孔28aに接続した、中空の拡散部29aを備える。拡散部29aは、所定の形状にパターニングされ、微細な拡散路を構成している。ガス導入管30から供給されたガスは、拡散部29aで平面的に拡散されてガス孔28aに供給される。これにより、プロセスガスは、複数のガス孔28aからウェハWの全面に均等に供給される。このように、上部電極26は、いわゆるシャワーヘッド構造を有する。   The electrode support 29 includes a hollow diffusion portion 29a connected to the plurality of gas holes 28a of the electrode plate 28 therein. The diffusion portion 29a is patterned into a predetermined shape to constitute a fine diffusion path. The gas supplied from the gas introduction pipe 30 is planarly diffused by the diffusion part 29a and supplied to the gas hole 28a. Thereby, the process gas is evenly supplied to the entire surface of the wafer W from the plurality of gas holes 28a. Thus, the upper electrode 26 has a so-called shower head structure.

上部電極26は、第2の整合器31を介して、第2の高周波電源32に接続されている。第2の高周波電源32は、例えば、13〜150MHzの範囲の周波数を印加する。高周波電力(RF電力)の印加により、下部電極であるサセプタ17との間に高密度のプラズマが生成される。また、上部電極26は、ローパスフィルタ(LPF)33を介して接地されている。   The upper electrode 26 is connected to the second high frequency power supply 32 via the second matching unit 31. The second high frequency power supply 32 applies a frequency in the range of 13 to 150 MHz, for example. By applying high-frequency power (RF power), high-density plasma is generated between the lower electrode and the susceptor 17. The upper electrode 26 is grounded via a low-pass filter (LPF) 33.

チャンバ11の側壁には、クリーニングガス導入口34が設けられている。クリーニングガス導入口34は、例えば、図3に示すように、互いに対向するように2つ設けられている。クリーニングガス導入口34は、クリーニングガスラインL2に接続されており、クリーニングガス導入口34を介してチャンバ11内にクリーニングガス(プラズマガス)が供給される。クリーニングガス導入口34には、図4に示すような、複数のスリット35aを備える蓋材35が設けられ、スリット35aを介してクリーニングガスが導入される。蓋材35は、アルミニウム等のチャンバ11と同様の材料から構成されている。蓋材35は、チャンバ11の壁面を平坦化させ、プラズマ発生時の異常放電の発生を低減させる。   A cleaning gas inlet 34 is provided on the side wall of the chamber 11. As shown in FIG. 3, for example, two cleaning gas inlets 34 are provided so as to face each other. The cleaning gas inlet 34 is connected to the cleaning gas line L <b> 2, and a cleaning gas (plasma gas) is supplied into the chamber 11 through the cleaning gas inlet 34. As shown in FIG. 4, the cleaning gas inlet 34 is provided with a lid member 35 having a plurality of slits 35a, and the cleaning gas is introduced through the slits 35a. The lid member 35 is made of the same material as the chamber 11 such as aluminum. The lid member 35 flattens the wall surface of the chamber 11 and reduces the occurrence of abnormal discharge when plasma is generated.

チャンバ11の底部には排気口36が設けられている。排気口36は、バルブV2を介して排気ラインL3に接続されている。排気ラインL3には、上述したように、TMP13およびAPC14が設けられ、これらの動作により、チャンバ11内は所定の圧力雰囲気、例えば、0.01Pa以下の圧力まで真空引きされる。   An exhaust port 36 is provided at the bottom of the chamber 11. The exhaust port 36 is connected to the exhaust line L3 via the valve V2. As described above, the TMP 13 and the APC 14 are provided in the exhaust line L3. By these operations, the chamber 11 is evacuated to a predetermined pressure atmosphere, for example, a pressure of 0.01 Pa or less.

排気ラインL3の、バルブV2の排気側とAPC14の吸気側との間には、クリーニング用排気ラインL4の一端が接続されている。また、クリーニング用排気ラインL4の他端は、上部電極26に設けられた排気管37として構成されている。排気管37は、上部電極26(電極支持体29)内部の拡散部29aに接続されている。すなわち、クリーニング用排気ラインL4は、その一端が上部電極26内部の拡散路に接続され、他端が排気ラインL3に接続されている。また、排気管37の排気側にはバルブV3が設けられている。後述するように、クリーニング用排気ラインL4は、特に、上部電極26をクリーニングするために設けられている。   One end of the cleaning exhaust line L4 is connected between the exhaust side of the valve V2 and the intake side of the APC 14 in the exhaust line L3. The other end of the cleaning exhaust line L4 is configured as an exhaust pipe 37 provided in the upper electrode 26. The exhaust pipe 37 is connected to a diffusion portion 29a inside the upper electrode 26 (electrode support 29). That is, the cleaning exhaust line L4 has one end connected to the diffusion path inside the upper electrode 26 and the other end connected to the exhaust line L3. A valve V3 is provided on the exhaust side of the exhaust pipe 37. As will be described later, the cleaning exhaust line L4 is particularly provided for cleaning the upper electrode.

以下、図2を参照して、上記プラズマ処理装置10の成膜工程時およびクリーニング工程時の動作について説明する。なお、以下に示す動作は、一例であり、これと同様の効果を奏するものであればどのようなものであってもよい。   Hereinafter, the operations of the plasma processing apparatus 10 during the film forming process and the cleaning process will be described with reference to FIG. The operation shown below is an example, and any operation may be used as long as the same effect can be obtained.

まず、チャンバ11内へウェハWが搬入され、サセプタ17上に載置される。ウェハWは、静電チャックにより固定される。その後、システムコントローラ100は、バルブVCを開放してOの供給を開始するとともに、第2の高周波電源32を起動して上部電極26にRF電力を印加する。続いて、バルブVA、VB、VDを開放して、SiF、SiH、Arをチャンバ11内に供給する。続いて、第1の高周波電源22を起動して下部電極(サセプタ17)に電力を印加する。これにより、プロセスガスのプラズマが生成し、ウェハWの表面にSiOF膜が形成される。 First, the wafer W is loaded into the chamber 11 and placed on the susceptor 17. The wafer W is fixed by an electrostatic chuck. Thereafter, the system controller 100 opens the valve VC and starts supplying O 2 , and activates the second high-frequency power source 32 to apply RF power to the upper electrode 26. Subsequently, the valves VA, VB, and VD are opened, and SiF 4 , SiH 4 , and Ar are supplied into the chamber 11. Subsequently, the first high-frequency power source 22 is activated to apply power to the lower electrode (susceptor 17). Thereby, plasma of a process gas is generated, and a SiOF film is formed on the surface of the wafer W.

システムコントローラ100は、所定時間後、下部電極へのRF電力の印加をオフし、チャンバ11へのSiF、SiHおよびArの供給を止める。その後、静電チャックは解除される。システムコントローラ100は、Oの供給を停止するとともに、上部電極26へのRF電力の印加をオフとする。最後に、ウェハWはチャンバ11外に搬出され、成膜工程は終了する。 The system controller 100 turns off the application of RF power to the lower electrode after a predetermined time, and stops the supply of SiF 4 , SiH 4 and Ar to the chamber 11. Thereafter, the electrostatic chuck is released. The system controller 100 stops the supply of O 2 and turns off the application of RF power to the upper electrode 26. Finally, the wafer W is unloaded from the chamber 11 and the film forming process is completed.

システムコントローラ100は、上記のような成膜処理を所定枚数のウェハWに施した後、クリーニング工程を開始する。   The system controller 100 starts the cleaning process after the film forming process as described above is performed on the predetermined number of wafers W.

まず、クリーニング用のダミーウェハWをチャンバ11内に搬入してサセプタ17上に戴置する。サセプタ17上のダミーウェハWは、静電チャックにより固定される。このとき、システムコントローラ100は、プロセスガスラインL1に接続されたバルブV1、および、排気ラインL3に接続されたバルブV2を閉鎖し、一方で、クリーニング用排気ラインL4に接続されたバルブV3を開放する。これにより、クリーニングガスラインL2と、チャンバ11の内部と、クリーニング用排気ラインL4と、TMP13と、から構成された給排気系が形成される。   First, a cleaning dummy wafer W is carried into the chamber 11 and placed on the susceptor 17. The dummy wafer W on the susceptor 17 is fixed by an electrostatic chuck. At this time, the system controller 100 closes the valve V1 connected to the process gas line L1 and the valve V2 connected to the exhaust line L3, while opening the valve V3 connected to the cleaning exhaust line L4. To do. As a result, an air supply / exhaust system including the cleaning gas line L2, the inside of the chamber 11, the cleaning exhaust line L4, and the TMP 13 is formed.

続いて、システムコントローラ100は、NF、Arの供給を開始し、次いで、プラズマ発生装置12をオンとする。プラズマ発生装置12はNFのプラズマを形成し、プラズマ中のフッ素ラジカルを選択的にクリーニングガス導入口34からチャンバ11内に導入する。クリーニングガスにより、チャンバ11の壁面やサセプタ17等に堆積、付着したSiOF等からなる膜は分解、除去される。 Subsequently, the system controller 100 starts supplying NF 3 and Ar, and then turns on the plasma generator 12. The plasma generator 12 forms NF 3 plasma, and selectively introduces fluorine radicals in the plasma into the chamber 11 from the cleaning gas inlet 34. The film made of SiOF or the like deposited and attached to the wall surface of the chamber 11, the susceptor 17 or the like is decomposed and removed by the cleaning gas.

ここで、排気管37は電極支持体29内部の拡散部29aに接続されている。すなわち、クリーニング用排気ラインL4は、上部電極26内の拡散路(拡散部29a)に接続されている。チャンバ11から排気されるガスは、分解物の他に、未反応のクリーニングガスを含む。このような未反応のクリーニングガスは、拡散部29aを通過して排気管37より排気される際に、ガス孔28a、拡散部29a等に堆積した不純物膜を分解、除去しつつ排気されることとなる。   Here, the exhaust pipe 37 is connected to the diffusion portion 29 a inside the electrode support 29. That is, the cleaning exhaust line L4 is connected to the diffusion path (diffusion part 29a) in the upper electrode 26. The gas exhausted from the chamber 11 includes an unreacted cleaning gas in addition to the decomposition product. When such unreacted cleaning gas passes through the diffusion portion 29a and is exhausted from the exhaust pipe 37, it is exhausted while decomposing and removing the impurity film deposited on the gas holes 28a, the diffusion portion 29a and the like. It becomes.

上部電極26は、微細なガス孔28aおよび拡散部29aを備えて、プロセスガスが滞留しやすいことから、チャンバ11の中でも最も堆積物が形成されやすい。   The upper electrode 26 includes fine gas holes 28 a and a diffusion portion 29 a, and the process gas tends to stay therein, so that deposits are most easily formed in the chamber 11.

しかしながら、本実施の形態のように、上部電極26内の拡散路に通じるクリーニング用排気ラインL4を設け、上部電極26を介してクリーニングガスを排気する構成によれば、クリーニングガスは、容易に上部電極26の内部に入り込むことができ、さらに、そのままチャンバ11外に排気される。このため、微細な拡散路等を備え、最も堆積物が形成されやすい上部電極26の内部を、速くかつ十分にクリーニングすることができる。従って、クリーニング工程全体に要する時間は短縮されるとともに、他のチャンバ部材の劣化は低減され、高い歩留まり、高いスループットが可能となる。   However, according to the configuration in which the cleaning exhaust line L4 leading to the diffusion path in the upper electrode 26 is provided and the cleaning gas is exhausted through the upper electrode 26 as in the present embodiment, the cleaning gas can be easily The electrode 26 can enter the inside of the electrode 26 and is exhausted out of the chamber 11 as it is. Therefore, it is possible to quickly and sufficiently clean the inside of the upper electrode 26 that is provided with a fine diffusion path or the like and in which deposits are most easily formed. Accordingly, the time required for the entire cleaning process is shortened, and the deterioration of other chamber members is reduced, so that a high yield and a high throughput are possible.

上記クリーニング工程の間、システムコントローラ100は、圧力、光学データ等を用いる所定の終点検出方法に基づいて、クリーニングの進行状況をモニタしている。システムコントローラ100は、クリーニングの終点を検出すると、プラズマ発生装置12をオフとし、さらに、クリーニングガスの供給を停止する。その後、O、Arをチャンバ11内に供給する。続いて、静電チャックを解除した後、O、Arの供給を停止する。最後に、ダミーウェハWがチャンバ11から搬出され、クリーニング工程は終了する。 During the cleaning process, the system controller 100 monitors the progress of cleaning based on a predetermined end point detection method using pressure, optical data, and the like. When detecting the end point of cleaning, the system controller 100 turns off the plasma generator 12 and further stops the supply of the cleaning gas. Thereafter, O 2 and Ar are supplied into the chamber 11. Subsequently, after the electrostatic chuck is released, the supply of O 2 and Ar is stopped. Finally, the dummy wafer W is unloaded from the chamber 11 and the cleaning process ends.

以上説明したように、本実施の形態によれば、上部電極26内の拡散路に接続されたクリーニング用排気ラインL4を設けることにより、最も堆積物が形成されやすく、かつ、最もクリーニングされにくい上部電極26の内部を、短時間で、かつ、高い清浄度でクリーニングすることができる。これにより、他のチャンバ部材の劣化を抑えつつ、高い歩留まりかつ高いスループットでの処理が可能となる。   As described above, according to the present embodiment, by providing the cleaning exhaust line L4 connected to the diffusion path in the upper electrode 26, the deposit is most easily formed and is the most difficult to be cleaned. The inside of the electrode 26 can be cleaned in a short time and with high cleanliness. Thereby, it is possible to perform processing with high yield and high throughput while suppressing deterioration of other chamber members.

本発明は、上記実施の形態に限られず、種々の変形、応用が可能である。以下、本発明に適用可能な上記実施の形態の変形態様について、説明する。   The present invention is not limited to the above embodiment, and various modifications and applications are possible. Hereinafter, modifications of the above-described embodiment applicable to the present invention will be described.

上記実施の形態では、排気ラインL3に通じるバルブV2を閉鎖した状態でクリーニングを行った。しかし、バルブV2を開放した状態でクリーニングを行っても良い。このとき、チャンバ11内に導入されたクリーニングガスは、排気口36に接続された排気ラインL3と、排気管37に接続されたクリーニング用排気ラインL4と、から排気され、これにより、上部電極26だけでなく、チャンバ11の下方のクリーニングも行うことができる。   In the above embodiment, the cleaning is performed with the valve V2 leading to the exhaust line L3 closed. However, cleaning may be performed with the valve V2 opened. At this time, the cleaning gas introduced into the chamber 11 is exhausted from the exhaust line L3 connected to the exhaust port 36 and the cleaning exhaust line L4 connected to the exhaust pipe 37, thereby the upper electrode 26. In addition, the lower cleaning of the chamber 11 can be performed.

さらに、システムコントローラ100は、クリーニング用排気ラインL4のみを用いたクリーニングと、排気ラインL3およびクリーニング用排気ラインL4を用いたクリーニングと、を組み合わせてクリーニングする構成としてもよい。例えば、システムコントローラ100はカウンタを備え、クリーニング用排気ラインL4のみを用いたクリーニングを数回行う毎に、排気ラインL3を組み合わせたクリーニングを行うようにしてもよい。   Further, the system controller 100 may be configured to perform cleaning by combining cleaning using only the cleaning exhaust line L4 and cleaning using the exhaust line L3 and the cleaning exhaust line L4. For example, the system controller 100 may include a counter and perform cleaning using the exhaust line L3 each time cleaning is performed using only the cleaning exhaust line L4 several times.

また、上記例では排気管37は、上部電極26内部の拡散部29aに接続され、すなわち、クリーニング用排気ラインL4は、上部電極26内の拡散部29aに接続されているものとした。しかし、排気管37を設ける位置は、これに限られず、例えば、プロセスガス導入用のガス導入管30を分岐するように接続しても良い。この場合、バルブV1の排気側にクリーニング用排気ラインL4を接続すればよい。このように、クリーニング用排気ラインL4は、プロセスガスラインL1に接続されていても良い。さらに、上部電極26に接続された排気管37は、1本に限られず、複数設けてもよい。   In the above example, the exhaust pipe 37 is connected to the diffusion portion 29a in the upper electrode 26, that is, the cleaning exhaust line L4 is connected to the diffusion portion 29a in the upper electrode 26. However, the position where the exhaust pipe 37 is provided is not limited to this. For example, the gas introduction pipe 30 for introducing the process gas may be connected so as to be branched. In this case, the cleaning exhaust line L4 may be connected to the exhaust side of the valve V1. As described above, the cleaning exhaust line L4 may be connected to the process gas line L1. Furthermore, the number of exhaust pipes 37 connected to the upper electrode 26 is not limited to one, and a plurality of exhaust pipes 37 may be provided.

さらに、下記(1)および(2)に示すような、変形態様も可能である。   Furthermore, modifications as shown in the following (1) and (2) are also possible.

(1)
上記実施の形態では、異常放電を低減するためにリモートプラズマ導入口に蓋材35を設けた構造とした。しかし、上記のような蓋材35を用いずに、クリーニングガス導入口34およびその近傍を、例えば、図5および図6に示すような弁体を用いた構造としてもよい。なお、図5および図6は、クリーニングガス導入口34の閉鎖状態および開放状態をそれぞれ示す。
(1)
In the above embodiment, the lid 35 is provided at the remote plasma inlet in order to reduce abnormal discharge. However, instead of using the lid member 35 as described above, the cleaning gas inlet 34 and the vicinity thereof may have a structure using a valve body as shown in FIGS. 5 and 6, for example. 5 and 6 show a closed state and an open state of the cleaning gas inlet 34, respectively.

図5に示すように、クリーニングガス導入口34は、チャンバ11の側壁を貫通するように設けられ、チャンバ11の内部に向かって開いた第1の開口34aと、チャンバ11の外部に向かって開く第2の開口34bと、を備える。第1の開口34aの近傍には、これを取り巻くように段差が形成されている。   As shown in FIG. 5, the cleaning gas inlet 34 is provided so as to penetrate the side wall of the chamber 11, and opens toward the outside of the chamber 11 and a first opening 34 a that opens toward the inside of the chamber 11. A second opening 34b. In the vicinity of the first opening 34a, a step is formed so as to surround it.

クリーニングガス導入口34近傍のチャンバ11の側壁には、クリーニングガス導入口34と略垂直に接続する側管40が埋設されている。側管40は、チャンバ11の側壁に略平行に沿うように設けられている。また、側管40は、その途中で略垂直に曲がってチャンバ11壁から突出し、チャンバ11の外部に設けられたクリーニングガスラインL2に接続されている。側管40は、樹脂、金属等の耐プラズマ性の良好な材料あるいはチャンバ11と同一の材料から構成される。なお、側管40を設ける代わりに、チャンバ11の側壁に同様の管状の穴を形成しても良い。   In the side wall of the chamber 11 in the vicinity of the cleaning gas introduction port 34, a side tube 40 connected to the cleaning gas introduction port 34 substantially vertically is embedded. The side tube 40 is provided so as to be substantially parallel to the side wall of the chamber 11. Further, the side tube 40 bends substantially vertically in the middle and protrudes from the wall of the chamber 11, and is connected to a cleaning gas line L <b> 2 provided outside the chamber 11. The side tube 40 is made of a material having good plasma resistance such as resin or metal or the same material as the chamber 11. Instead of providing the side tube 40, a similar tubular hole may be formed in the side wall of the chamber 11.

クリーニングガス導入口34には、第2の開口34bを埋め込むように、弁体41が設けられている。弁体41は、蓋体42と、蓋体42を支持するステム43と、ステム43に接続された駆動機構44と、固定具45と、を備える。   The cleaning gas inlet 34 is provided with a valve body 41 so as to embed the second opening 34b. The valve body 41 includes a lid body 42, a stem 43 that supports the lid body 42, a drive mechanism 44 connected to the stem 43, and a fixture 45.

蓋体42は、チャンバ11と同じ材料、例えば、アルミニウムから構成されている。蓋体42は、凸型の円盤状に形成され、平面状の凸部の周縁に段差を有する構成となっている。凸部は、第1の開口34a部とほぼ同じあるいはこれよりもわずかに小さい面積を有する。凸部の高さは、チャンバ11の側壁と、これに平行な段差の底面までの距離と、ほぼ同じとされ、蓋体42が第1の開口34aおよびこれを包囲する段差に嵌合する形状とされている。すなわち、蓋体42は、第1の開口34aに嵌合した状態(クリーニングガス導入口34が閉鎖された状態)で、凸部の主面が周囲のチャンバ11の側壁と略同一の面を形成するように形成されている。また、この凸部を含む蓋体42の主面は、アルマイト処理されている。   The lid 42 is made of the same material as the chamber 11, for example, aluminum. The lid 42 is formed in a convex disk shape and has a step on the periphery of the planar convex portion. The convex portion has an area substantially the same as or slightly smaller than that of the first opening 34a. The height of the convex portion is substantially the same as the distance between the side wall of the chamber 11 and the bottom surface of the step parallel to the side wall, and the lid 42 is fitted to the first opening 34a and the step surrounding the same. It is said that. That is, the lid 42 is formed in a state in which the main surface of the convex portion is substantially the same as the side wall of the surrounding chamber 11 in a state where the lid 42 is fitted in the first opening 34a (the cleaning gas inlet 34 is closed). It is formed to do. Further, the main surface of the lid body 42 including the convex portions is anodized.

蓋体42の周縁部の、凸型の一面には、凸部を包囲するように第1のOリング46が設けられている。第1のOリング46は、図5に示すように蓋体42がクリーニングガス導入口34に嵌合した状態で、第1の開口34a部を気密に封止する。また、蓋体42の他面には、第1のOリング46に対向するように、第2のOリング47が設けられている。   A first O-ring 46 is provided on one surface of the peripheral edge of the lid 42 so as to surround the convex portion. As shown in FIG. 5, the first O-ring 46 hermetically seals the first opening 34 a in a state where the lid 42 is fitted to the cleaning gas inlet 34. A second O-ring 47 is provided on the other surface of the lid 42 so as to face the first O-ring 46.

ステム43は、チャンバ11と同じ材料、例えば、アルミニウムから構成されている。ステム43の一端には蓋体42が設けられている。ステム43は、例えば、蓋体42と一体の成型品として構成されている。ステム43の中程には、ステム43を包囲するように円盤状のベローズ取り付け部48が形成されている。また、ステム43の、チャンバ11の外部に存在する部分には、コネクタ部49が設けられている。コネクタ部49は、断面がL字状の、底面を有する中空円筒状部材から構成されている。コネクタ部49の円筒部の内壁には、一般的な電極部材からなる板状の接触部49aが設けられている。   The stem 43 is made of the same material as the chamber 11, for example, aluminum. A lid body 42 is provided at one end of the stem 43. The stem 43 is configured, for example, as a molded product integrated with the lid body 42. A disc-shaped bellows attachment portion 48 is formed in the middle of the stem 43 so as to surround the stem 43. Further, a connector portion 49 is provided on a portion of the stem 43 existing outside the chamber 11. The connector part 49 is comprised from the hollow cylindrical member which has a bottom face with a L-shaped cross section. A plate-like contact portion 49 a made of a general electrode member is provided on the inner wall of the cylindrical portion of the connector portion 49.

駆動機構44は、ステム43の他端に接続されている。駆動機構44は、エアシリンダ、モータ等により駆動され、これにより、蓋体42およびステム43は、クリーニングガス導入口34の延伸方向に進退可能となっている。駆動機構44は、コントローラ100に接続され、コントローラ100の指示に応じて開閉動作を行う。   The drive mechanism 44 is connected to the other end of the stem 43. The drive mechanism 44 is driven by an air cylinder, a motor, or the like, so that the lid body 42 and the stem 43 can advance and retract in the extending direction of the cleaning gas introduction port 34. The drive mechanism 44 is connected to the controller 100 and performs an opening / closing operation in accordance with an instruction from the controller 100.

固定具45は、チャンバ11と同じ材料、例えば、アルミニウムから構成されている。固定具45は、外側に突出した部分を備えた、断面がT字状の中空円筒状部材から構成されている。固定具45は、クリーニングガス導入口34の第2の開口34bに嵌め込まれ、外側に突出した部分(T字の中央部)においてねじ等によりチャンバ11の外壁に固定されている。   The fixture 45 is made of the same material as the chamber 11, for example, aluminum. The fixture 45 is formed of a hollow cylindrical member having a T-shaped cross section with a portion protruding outward. The fixing tool 45 is fitted into the second opening 34b of the cleaning gas inlet 34, and is fixed to the outer wall of the chamber 11 with a screw or the like at a portion protruding outward (center portion of the T shape).

固定具45の第2の開口34bに嵌め込まれていない側の端部には、ベローズ50の一端が、また、ステム43のベローズ取り付け部48には、ベローズ50の他端が取り付けられている。ベローズ50は、ステンレス鋼等から構成されている。円筒状の固定具45の内径は、ステム43のベローズ取り付け部48よりも大きい径に設定されている。これにより、駆動機構44により、固定具45の内側をステム43およびベローズ取り付け部48が進退可能となっている。   One end of the bellows 50 is attached to the end of the fixture 45 that is not fitted in the second opening 34b, and the other end of the bellows 50 is attached to the bellows attachment 48 of the stem 43. The bellows 50 is made of stainless steel or the like. The inner diameter of the cylindrical fixture 45 is set to be larger than the bellows attachment portion 48 of the stem 43. Thereby, the stem 43 and the bellows attachment portion 48 can advance and retreat inside the fixture 45 by the drive mechanism 44.

ベローズ50は、ステム43のベローズ取り付け部48から、固定具45の端部にかけて、ステム43を包囲するように設けられている。このようにベローズ50を設けることにより、蓋体42の進退動作の際、常にチャンバ11内外の気密性は保たれる。   The bellows 50 is provided so as to surround the stem 43 from the bellows attachment portion 48 of the stem 43 to the end of the fixture 45. By providing the bellows 50 in this manner, the airtightness inside and outside the chamber 11 is always maintained when the lid body 42 moves back and forth.

固定具45の第2の開口34bに嵌め込まれていない側の端部の外周には、一般的な電極部材からなる板状の接触部45aが設けられている。固定具45の接触部49aは、図5に示す状態で、コネクタ部49の接触部45aと接触するように設けられている。これにより、クリーニングガス導入口34の閉鎖状態において、蓋体42を含む弁体41全体は、チャンバ11と共通電位(接地電位)に設定される。従って、蓋体42の近傍での不安定な電界の発生は避けられ、異常放電等が発生することは防がれる。   A plate-like contact portion 45a made of a general electrode member is provided on the outer periphery of the end portion of the fixture 45 that is not fitted in the second opening 34b. The contact portion 49a of the fixture 45 is provided so as to contact the contact portion 45a of the connector portion 49 in the state shown in FIG. Thereby, in the closed state of the cleaning gas introduction port 34, the entire valve body 41 including the lid body 42 is set to a common potential (ground potential) with the chamber 11. Therefore, the generation of an unstable electric field in the vicinity of the lid 42 can be avoided, and the occurrence of abnormal discharge or the like can be prevented.

上述したように、蓋体42は駆動機構44によりクリーニングガス導入口34の延伸方向に進退可能となっている。この進退動作により、弁体41はクリーニングガス導入口34(第1の開口34a)の開閉を行う。より具体的には、蓋体42は、第1の開口34aと側管40との間で、クリーニングガス導入口34を開閉する。   As described above, the lid 42 can be advanced and retracted in the extending direction of the cleaning gas inlet 34 by the drive mechanism 44. By this advance / retreat operation, the valve body 41 opens and closes the cleaning gas introduction port 34 (first opening 34a). More specifically, the lid 42 opens and closes the cleaning gas inlet 34 between the first opening 34 a and the side tube 40.

ここで、図6に示すクリーニングガス導入口34の開放状態では、蓋体42は、固定具45のチャンバ11内部側の端部に接する状態にある。このとき、蓋体42と固定具45の端部との間は、第2のOリング47によって気密に封止されている。   Here, in the opened state of the cleaning gas inlet 34 shown in FIG. 6, the lid 42 is in contact with the end of the fixture 45 on the inside of the chamber 11. At this time, the space between the lid 42 and the end of the fixture 45 is hermetically sealed by the second O-ring 47.

クリーニングガスラインL2からは、側管40および第1の開口34aを介してチャンバ11内にクリーニングガスが導入される。このとき、クリーニングガスはスリット35a等を介してではなく、直接にチャンバ11内に導入される。このため、クリーニングガスの供給速度の低下は低減され、特に、上部電極26内への高いクリーニングガス供給速度が得られ、高いクリーニング速度が得られる。さらに、スリット35aの通過等によるクリーニングガス中のラジカルのロスは避けられ、クリーニングガスの活性は高く保たれて一層高いクリーニング速度が得られる。   From the cleaning gas line L2, the cleaning gas is introduced into the chamber 11 through the side tube 40 and the first opening 34a. At this time, the cleaning gas is introduced directly into the chamber 11, not through the slit 35 a or the like. For this reason, a decrease in the supply rate of the cleaning gas is reduced. In particular, a high cleaning gas supply rate into the upper electrode 26 is obtained, and a high cleaning rate is obtained. Further, the loss of radicals in the cleaning gas due to the passage of the slit 35a and the like can be avoided, and the cleaning gas activity is kept high, so that a higher cleaning speed can be obtained.

また、このとき、第2のOリング47により、クリーニングガスの固定具45の内側への進入は防がれ、クリーニングガスによるベローズの劣化等は防がれる。   At this time, the second O-ring 47 prevents the cleaning gas from entering the fixture 45 and prevents the bellows from being deteriorated by the cleaning gas.

以上説明したように、いわゆるアングルバルブ形式の弁体41を設けた構成によれば、スリット35a等を介することなくクリーニングガスをチャンバ11内に供給することができる。弁体41の蓋体42は、第1の開口34aと嵌合した状態でその内部露出面がチャンバ11の側壁と略同一の平面を形成するように設けられている。これにより、プラズマ処理時の異常放電を低減させるとともに、クリーニングガス導入口34をより大きな開口としてクリーニングガスの供給速度を増大させることができる。   As described above, according to the configuration in which the so-called angle valve type valve element 41 is provided, the cleaning gas can be supplied into the chamber 11 without passing through the slit 35a or the like. The lid body 42 of the valve body 41 is provided so that its internal exposed surface forms substantially the same plane as the side wall of the chamber 11 in a state of being fitted to the first opening 34 a. As a result, abnormal discharge during plasma processing can be reduced, and the cleaning gas supply port 34 can be made larger to increase the supply rate of the cleaning gas.

また、供給されるクリーニングガスは、スリット35a等を介さずにチャンバ11内に供給される。このため、高いクリーニングガス供給速度が得られるとともに、ラジカルのロスも低減される。これにより、高いクリーニング速度および効率が得られ、クリーニング工程の短縮とスループットの向上とが図られる。   The supplied cleaning gas is supplied into the chamber 11 without passing through the slit 35a or the like. For this reason, a high cleaning gas supply rate can be obtained, and radical loss can be reduced. Thereby, a high cleaning speed and efficiency can be obtained, and the cleaning process can be shortened and the throughput can be improved.

なお、弁体41の構造は、上記構造に限られず、異常放電が防止されるとともにクリーニングガス導入口34の大きな開口が得られ、さらに、スリット35a等を用いることなくクリーニングガスの高い活性のままチャンバ11内に供給可能な構造であれば、いかなるものであってもよい。
また、上記例では、側管40をチャンバ11の壁の内部に設ける構成としたが、側管40をチャンバ11外部に設け、側管40と弁体41とがチャンバ11の外部で接続された構造としてもよい。
The structure of the valve body 41 is not limited to the above structure, and abnormal discharge is prevented, a large opening of the cleaning gas inlet 34 is obtained, and the cleaning gas has a high activity without using the slit 35a or the like. Any structure that can be supplied into the chamber 11 may be used.
In the above example, the side tube 40 is provided inside the wall of the chamber 11. However, the side tube 40 is provided outside the chamber 11, and the side tube 40 and the valve body 41 are connected outside the chamber 11. It is good also as a structure.

さらにまた、封止構造は、Oリングの他に、ラビリンスシールなどの他のシール構造であってもよい。   Furthermore, the sealing structure may be another sealing structure such as a labyrinth seal in addition to the O-ring.

(2)
上記実施の形態では、クリーニングガス導入口34は、図3に示すように、互いに対向するように2つ設け、それぞれ、図4に示すような多数のスリット35aを有する蓋材35を設けた。しかし、図7に示すように、クリーニングガス導入口34を全体で同等の開口面積を有するように複数に分け、各クリーニングガス導入口34’に設けた蓋材35’のスリット35a’を密に配置した構成としてもよい。
(2)
In the above embodiment, as shown in FIG. 3, two cleaning gas inlets 34 are provided so as to face each other, and a lid member 35 having a large number of slits 35a as shown in FIG. 4 is provided. However, as shown in FIG. 7, the cleaning gas inlet 34 is divided into a plurality of parts so as to have the same opening area as a whole, and the slits 35a ′ of the lid member 35 ′ provided in each cleaning gas inlet 34 ′ are densely arranged. An arrangement may be adopted.

図7において、チャンバ11は、クリーニングガスラインL2に接続された、3以上、例えば、6個のクリーニングガス導入口34を備える。クリーニングガス導入口34は、ほぼ同じ高さ(サセプタ17よりもやや上)に設けられている。各クリーニングガス導入口34には、図8に示すような蓋材35が設けられており、クリーニングガスは蓋材35を介してチャンバ11内に供給される。   In FIG. 7, the chamber 11 includes three or more, for example, six cleaning gas inlets 34 connected to the cleaning gas line L2. The cleaning gas inlet 34 is provided at substantially the same height (slightly above the susceptor 17). Each cleaning gas inlet 34 is provided with a lid member 35 as shown in FIG. 8, and the cleaning gas is supplied into the chamber 11 through the lid member 35.

図7において、クリーニングガス導入口34’は、対向するように3個、計6個形成されている。ここで、クリーニングガス導入口34’全体の開口面積は、図3に示す2個のクリーニングガス導入口34を設けた構成とほぼ同等となるように構成されている。   In FIG. 7, three cleaning gas inlets 34 ′ are formed so as to face each other, a total of six. Here, the opening area of the entire cleaning gas introduction port 34 ′ is configured to be substantially the same as the configuration in which the two cleaning gas introduction ports 34 shown in FIG. 3 are provided.

また、図7に示すクリーニングガス導入口34’に設けられた蓋材35’は、図3に示す蓋材35と全体としてほぼ同数のスリット35a'を有するように形成されている。換言すれば、図7に示す構成において、蓋材35’には、図3に示す構成におけるよりも、スリット35a’が密に、集積されて形成されている。このように、スリット35a’が集積した構成とすることにより、隣接するスリット35a’を通過したガス同士の干渉は抑えられ、チャンバ11の中央部においてもクリーニングガスの供給速度は高く維持される。   Further, the lid member 35 ′ provided in the cleaning gas inlet 34 ′ shown in FIG. 7 is formed so as to have substantially the same number of slits 35 a ′ as the whole lid member 35 shown in FIG. 3. In other words, in the configuration shown in FIG. 7, the slit 35 a ′ is densely integrated and formed in the lid member 35 ′ than in the configuration shown in FIG. 3. As described above, the configuration in which the slits 35 a ′ are integrated makes it possible to suppress interference between the gases that have passed through the adjacent slits 35 a ′, and the cleaning gas supply speed is maintained high even in the central portion of the chamber 11.

図9(a)および(b)に、図3に示すクリーニングガス導入口34を2つ設けた構成と、図7に示すよりクリーニングガス導入口34’を6つ設けた構成と、において、同じ流量のクリーニングガス導入時の流速分布をそれぞれ概略的に示す。図9(a)および(b)において、流速分布は一点鎖線にて3段階で示し、各段階はそれぞれ図9(a)および(b)においてほぼ同じレベルの流速を示すものとする。   9 (a) and 9 (b) are the same in the configuration in which two cleaning gas introduction ports 34 shown in FIG. 3 are provided and the configuration in which six cleaning gas introduction ports 34 ′ are provided as shown in FIG. The flow velocity distribution at the time of introducing the cleaning gas at a flow rate is schematically shown. 9 (a) and 9 (b), the flow velocity distribution is shown in three stages with a one-dot chain line, and each stage is assumed to have substantially the same flow velocity in FIGS. 9 (a) and 9 (b).

図9(a)に示すように、比較的まばらにスリット35aを設けたクリーニングガス導入口34を用いた構成では、クリーニングガスの流速分布は、クリーニングガス導入口34の全体にわたって、比較的なだらかなものとなっている。一方、図9(b)に示すように、比較的密にスリット35a’が分布したクリーニングガス導入口34’を用いた構成では、流速分布は、比較的急峻なものとなり、流速の高い領域がチャンバ11の中心部まで到達可能となっている。   As shown in FIG. 9A, in the configuration using the cleaning gas inlet 34 provided with the slits 35a relatively sparsely, the flow velocity distribution of the cleaning gas is relatively gentle over the entire cleaning gas inlet 34. It has become a thing. On the other hand, as shown in FIG. 9B, in the configuration using the cleaning gas inlet 34 ′ in which the slits 35a ′ are relatively densely distributed, the flow velocity distribution becomes relatively steep, and a region having a high flow velocity is present. The center of the chamber 11 can be reached.

すなわち、スリット35aを比較的広範囲に分布させた構成では、スリット35aを通過したガスが拡散しやすい。このため、図10(a)に示すように、拡散成分同士のぶつかり合いによる抵抗(干渉)が比較的大きい。一方、スリット35a’を比較的密に分布させた構成では、図10(b)に示すように、拡散成分同士のぶつかり合いによる抵抗(干渉)は比較的小さい。   That is, in the configuration in which the slits 35a are distributed over a relatively wide range, the gas that has passed through the slits 35a is likely to diffuse. For this reason, as shown to Fig.10 (a), the resistance (interference) by the collision of diffusion components is comparatively large. On the other hand, in the configuration in which the slits 35a 'are distributed relatively densely, as shown in FIG. 10B, the resistance (interference) due to collision between the diffusion components is relatively small.

従って、通過直後のガスの流速P0と通過後の所定地点における流速P1とを比較した場合、スリット35aが比較的疎に設けられた、図10(a)に示す構成では、P1はP0よりも比較的大きく低下する(P0≫P1)。これに対し、スリット35a’が比較的疎に設けられた、図10(b)に示す構成では、P1の低下は比較的小さい(P0≧P1)。   Therefore, when comparing the flow velocity P0 of the gas immediately after passage with the flow velocity P1 at a predetermined point after passage, in the configuration shown in FIG. 10A in which the slits 35a are provided relatively sparsely, P1 is more than P0. A relatively large decrease (P0 >> P1). On the other hand, in the configuration shown in FIG. 10B in which the slits 35a 'are provided relatively sparsely, the decrease in P1 is relatively small (P0 ≧ P1).

このように、スリット35a’を比較的密に設けることにより、隣りあうガス流同士の干渉による流速の低下は抑制され、チャンバ11の中央部においても高いガス供給速度が得られる。これにより、上部電極26の内部にクリーニングガスが容易に入り込むことことができ、上部電極26のクリーニング速度の向上が可能となり、短縮された、効率の高いクリーニングが可能となる。   As described above, by providing the slits 35 a ′ relatively densely, a decrease in the flow rate due to interference between adjacent gas flows is suppressed, and a high gas supply rate can be obtained even in the central portion of the chamber 11. As a result, the cleaning gas can easily enter the upper electrode 26, the cleaning speed of the upper electrode 26 can be improved, and shortened and highly efficient cleaning can be performed.

なお、上記した比較的小径のクリーニングガス導入口34は、特に、上部電極26の下面(電極板28)に向かうように所定の傾斜をつけて設けてもよい。これにより、クリーニングガスは上部電極26の内部により入りやすくなり、より効率的なクリーニングが可能となる。また、クリーニングガス導入口34は、同じ高さに配置される必要はなく、また、上部電極26に向けられたものやサセプタ17に向けられたものなどを複数設けてもよい。   The cleaning gas inlet 34 having a relatively small diameter may be provided with a predetermined inclination so as to face the lower surface of the upper electrode 26 (electrode plate 28). As a result, the cleaning gas can easily enter the upper electrode 26, and more efficient cleaning can be performed. Further, the cleaning gas inlets 34 do not have to be arranged at the same height, and a plurality of cleaning gas inlets directed toward the upper electrode 26 or toward the susceptor 17 may be provided.

また、クリーニングガス導入口34の設置数は、上記例に限られず、効率的なクリーニングが可能であればいくつ設けてもよい。また、蓋材35の備えるスリット35aの形状およびその数も、上記例に限られず、クリーニングガス導入口34の形状、個数等に合わせて所望のものとすることができる。また、スリット35aの代わりに、丸穴等としてもよい。さらにまた、蓋材35のスリット35aは、図11に示すように、テーパ状に形成されていてもよい。   Further, the number of cleaning gas inlets 34 is not limited to the above example, and any number may be provided as long as efficient cleaning is possible. Further, the shape and the number of the slits 35 a included in the lid member 35 are not limited to the above example, and may be set as desired according to the shape, the number, and the like of the cleaning gas inlet 34. Moreover, it is good also as a round hole etc. instead of the slit 35a. Furthermore, the slit 35a of the lid member 35 may be formed in a tapered shape as shown in FIG.

また、クリーニングガス導入口の開口の大きさ、蓋材35に形成されたスリット35aの数、スリット35aの開口面積、スリット35aの流れ方向に対する距離(蓋材35の厚み)等を調整することにより、高いクリーニングガス供給速度が得られることは勿論である。   Further, by adjusting the size of the opening of the cleaning gas inlet, the number of slits 35a formed in the lid member 35, the opening area of the slit 35a, the distance to the flow direction of the slit 35a (the thickness of the lid member 35), etc. Of course, a high cleaning gas supply rate can be obtained.

上記実施の形態では、クリーニングガスは、プラズマ、特に、プラズマ中のラジカルを生成するよう活性化させるものとした。しかし、クリーニングガスの活性化により、ラジカル以外の活性種を生成してクリーニングを行ってもよい。   In the above embodiment, the cleaning gas is activated so as to generate plasma, particularly radicals in the plasma. However, cleaning may be performed by generating active species other than radicals by activating the cleaning gas.

上記実施の形態では、平行平板型のプラズマCVD装置で、ウェハWにSiOF膜を成膜し、NFガスでクリーニングを行うものとした。しかし、成膜する膜は、SiOFに限らず、SiO、SiC、SiN、SiCN、SiCH、SiOCH等のシリコン系膜であってもよい。また、クリーニングガスとしては、NFに限らず、CF、C、SF等のフッ素系ガス、Cl、BCl等の塩素系ガスを使用することができる。また、被処理体は、半導体ウェハに限らず、液晶表示装置等であってもよい。 In the above embodiment, the parallel plate type plasma CVD apparatus is used to form the SiOF film on the wafer W and perform cleaning with NF 3 gas. However, the film to be formed is not limited to SiOF, and may be a silicon-based film such as SiO 2 , SiC, SiN, SiCN, SiCH, or SiOCH. The cleaning gas is not limited to NF 3 , and fluorine-based gases such as CF 4 , C 2 F 6 , and SF 6 , and chlorine-based gases such as Cl 2 and BCl 4 can be used. Further, the object to be processed is not limited to a semiconductor wafer but may be a liquid crystal display device or the like.

さらに、本発明は、平行平板型に限らず、ECR(Electron Cyclotron Resonance)型、ICP(Inductive Coupled Plasma)型、ヘリコン型等、他のプラズマ処理装置にも適用することができる。また、プラズマCVD装置に限らず、エッチング装置、スパッタ装置、アニール装置等のプラズマを用いる他の装置にも適用可能である。   Furthermore, the present invention is not limited to the parallel plate type, but can be applied to other plasma processing apparatuses such as an ECR (Electron Cyclotron Resonance) type, an ICP (Inductive Coupled Plasma) type, and a helicon type. Further, the present invention is not limited to the plasma CVD apparatus, and can be applied to other apparatuses using plasma such as an etching apparatus, a sputtering apparatus, and an annealing apparatus.

本発明の実施の形態にかかるプラズマ処理装置の構成を示す図である。It is a figure which shows the structure of the plasma processing apparatus concerning embodiment of this invention. 図1に示すプラズマ処理装置の断面構成を示す図である。It is a figure which shows the cross-sectional structure of the plasma processing apparatus shown in FIG. 図2に示すチャンバの断面構成を示す図である。It is a figure which shows the cross-sectional structure of the chamber shown in FIG. 本実施の形態にかかる蓋材を示す図である。It is a figure which shows the cover material concerning this Embodiment. 本発明の他の実施の形態にかかる弁体の構成を示す図である。It is a figure which shows the structure of the valve body concerning other embodiment of this invention. 本発明の他の実施の形態にかかる弁体の構成を示す図である。It is a figure which shows the structure of the valve body concerning other embodiment of this invention. 本発明の他の実施の形態にかかるチャンバの断面構成を示す図である。It is a figure which shows the cross-sectional structure of the chamber concerning other embodiment of this invention. 本発明の他の実施の形態にかかる蓋材の構成を示す図である。It is a figure which shows the structure of the cover material concerning other embodiment of this invention. 本発明の他の実施の形態にかかる蓋材を用いた場合の流速分布を示す概略図である。It is the schematic which shows the flow-velocity distribution at the time of using the cover material concerning other embodiment of this invention. 流速の変化を模式的に示す図である。It is a figure which shows the change of the flow rate typically. 本発明の他の実施の形態にかかる蓋材の構成を示す図である。It is a figure which shows the structure of the cover material concerning other embodiment of this invention. 従来のプラズマCVD装置の断面構成を示す図である。It is a figure which shows the cross-sectional structure of the conventional plasma CVD apparatus.

符号の説明Explanation of symbols

10 プラズマ処理装置
11 チャンバ
12 プラズマ発生装置
17 サセプタ
26 上部電極
28 電極板
29 電極支持体
29a 拡散部
34 クリーニングガス導入口
35 蓋材
37 排気管
100 システムコントローラ
L1 プロセスガスライン
L2 クリーニングガスライン
L3 排気ライン
L4 クリーニング用排気ライン
DESCRIPTION OF SYMBOLS 10 Plasma processing apparatus 11 Chamber 12 Plasma generator 17 Susceptor 26 Upper electrode 28 Electrode plate 29 Electrode support body 29a Diffusion part 34 Cleaning gas inlet 35 Cover material 37 Exhaust pipe 100 System controller L1 Process gas line L2 Cleaning gas line L3 Exhaust line L4 Cleaning exhaust line

Claims (8)

チャンバと、
前記チャンバの内部をクリーニングするためのクリーニングガスを前記チャンバ内に供給するためのクリーニングガスラインと、
前記クリーニングガスラインに配置され、前記クリーニングガスを活性化するガス活性化手段と、
前記チャンバの壁に設けられ、前記ガス活性化手段により活性化された前記クリーニングガスが、隣接するスリットを通過するガスの干渉が抑制されるように密に形成された複数のスリットを介して、前記チャンバ内に供給されるガス導入口と、
を備える、ことを特徴とするプラズマ処理装置。
A chamber;
A cleaning gas line for supplying a cleaning gas for cleaning the inside of the chamber into the chamber;
A gas activation means disposed in the cleaning gas line and activating the cleaning gas;
The cleaning gas that is provided on the wall of the chamber and is activated by the gas activating means passes through a plurality of slits that are densely formed so that interference of gas passing through adjacent slits is suppressed, A gas inlet supplied into the chamber;
A plasma processing apparatus comprising:
所定のプロセスガスを前記チャンバ内に供給するプロセスガスラインと、
前記プロセスガスラインに接続されて前記プロセスガスラインから導入される前記プロセスガスを拡散させる拡散路、及び前記拡散路に接続されて前記拡散路により拡散された前記プロセスガスを前記チャンバ内に供給する複数のガス孔を有し、高周波電力を印加可能な拡散電極と、
一端が前記プロセスガスラインと前記拡散路との少なくとも一方に接続され、他端が排気手段に接続され、前記チャンバ内から前記クリーニングガスを排気するためのクリーニングガス排気ラインと、をさらに備えることを特徴とする請求項1に記載のプラズマ処理装置。
A process gas line for supplying a predetermined process gas into the chamber;
A diffusion path connected to the process gas line for diffusing the process gas introduced from the process gas line, and the process gas connected to the diffusion path and diffused by the diffusion path are supplied into the chamber. A diffusion electrode having a plurality of gas holes and capable of applying high-frequency power;
A cleaning gas exhaust line that has one end connected to at least one of the process gas line and the diffusion path, the other end connected to an exhaust means, and exhausts the cleaning gas from within the chamber. The plasma processing apparatus according to claim 1.
前記プロセスガスラインから前記チャンバ内に導入された前記プロセスガスを排気するためのプロセスガス排気ラインを備え、前記プロセスガス排気ラインは排気手段により排気される、ことを特徴とする請求項2に記載のプラズマ処理装置。   The process gas exhaust line for exhausting the process gas introduced into the chamber from the process gas line is provided, and the process gas exhaust line is exhausted by exhaust means. Plasma processing equipment. 前記チャンバは排気口を備え、前記プロセスガス排気ラインは前記排気手段と前記排気口との間に設けられたバルブを備え、前記クリーニングガス排気ラインの他端は、前記プロセスガス排気ラインの前記バルブと前記排気手段との間に接続され、前記バルブが閉鎖された状態で、前記排気手段は前記クリーニングガス排気ラインを介して前記チャンバ内を排気する、ことを特徴とする請求項3に記載のプラズマ処理装置。   The chamber includes an exhaust port, the process gas exhaust line includes a valve provided between the exhaust unit and the exhaust port, and the other end of the cleaning gas exhaust line is the valve of the process gas exhaust line. 4. The apparatus according to claim 3, wherein the exhaust unit exhausts the chamber through the cleaning gas exhaust line in a state where the exhaust unit is connected to the exhaust unit and the valve is closed. Plasma processing equipment. 前記ガス活性化手段は、前記クリーニングガスのプラズマを発生させる、請求項1に記載のプラズマ処理装置。   The plasma processing apparatus according to claim 1, wherein the gas activation unit generates plasma of the cleaning gas. チャンバと、前記チャンバの内部をクリーニングするためのクリーニングガスを前記チャンバ内に供給するためのクリーニングガスラインと、前記クリーニングガスラインに配置され、前記クリーニングガスを活性化するガス活性化手段と、を備えるプラズマ処理装置のクリーニング方法であって、
前記チャンバの内部に前記ガス活性化手段により活性化されたクリーニングガスを、隣接するスリットを通過するガスの干渉が抑制されるように密に形成された複数のスリットを介して導入する導入工程と、
前記チャンバ内に導入されたクリーニングガスを前記チャンバ内から排気する排気工程と、を備える、ことを特徴とするプラズマ処理装置のクリーニング方法。
A chamber, a cleaning gas line for supplying a cleaning gas for cleaning the inside of the chamber into the chamber, and a gas activation means disposed in the cleaning gas line and activating the cleaning gas. A plasma processing apparatus cleaning method comprising:
Introducing the cleaning gas activated by the gas activating means into the chamber through a plurality of slits formed densely so as to suppress interference of gas passing through adjacent slits; ,
And a evacuation step of exhausting the cleaning gas introduced into the chamber from the chamber.
前記プラズマ処理装置には、前記チャンバ内に導入されたプロセスガスを排気するためのプロセスガス排気手段が備えられており、前記排気工程では、前記プロセスガス排気手段により排気する、ことを特徴とする請求項6に記載のプラズマ処理装置のクリーニング方法。   The plasma processing apparatus is provided with a process gas exhaust means for exhausting the process gas introduced into the chamber, and in the exhaust process, the process gas exhaust means exhausts the process gas. The method for cleaning a plasma processing apparatus according to claim 6. 前記プラズマ処理装置には、前記プロセスガス排気手段と前記チャンバの排気口との間に設けられたバルブが備えられており、前記排気工程では、前記バルブを閉鎖した状態で、前記チャンバ内を排気する、ことを特徴とする請求項6または7に記載のプラズマ処理装置のクリーニング方法。   The plasma processing apparatus includes a valve provided between the process gas exhaust means and the exhaust port of the chamber. In the exhaust process, the chamber is exhausted with the valve closed. The method for cleaning a plasma processing apparatus according to claim 6 or 7, wherein:
JP2006175730A 2006-06-26 2006-06-26 Plasma processing apparatus and method of cleaning the same Pending JP2006253733A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006175730A JP2006253733A (en) 2006-06-26 2006-06-26 Plasma processing apparatus and method of cleaning the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006175730A JP2006253733A (en) 2006-06-26 2006-06-26 Plasma processing apparatus and method of cleaning the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2001394282A Division JP2003197615A (en) 2001-12-26 2001-12-26 Plasma treatment apparatus and method for cleaning the same

Publications (1)

Publication Number Publication Date
JP2006253733A true JP2006253733A (en) 2006-09-21

Family

ID=37093795

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006175730A Pending JP2006253733A (en) 2006-06-26 2006-06-26 Plasma processing apparatus and method of cleaning the same

Country Status (1)

Country Link
JP (1) JP2006253733A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100926187B1 (en) * 2007-11-29 2009-11-10 주식회사 아토 Shower-head type gas supplying device and supplying method for thin film-deposition apparatus
JP2015018836A (en) * 2013-07-08 2015-01-29 東京エレクトロン株式会社 Cleaning method and substrate processing apparatus
KR20150138469A (en) * 2014-05-29 2015-12-10 참엔지니어링(주) Apparatus and Method for Processing Substrate
CN113823545A (en) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 Device and method for improving process deviation of multi-chamber equipment

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100926187B1 (en) * 2007-11-29 2009-11-10 주식회사 아토 Shower-head type gas supplying device and supplying method for thin film-deposition apparatus
JP2015018836A (en) * 2013-07-08 2015-01-29 東京エレクトロン株式会社 Cleaning method and substrate processing apparatus
KR20150138469A (en) * 2014-05-29 2015-12-10 참엔지니어링(주) Apparatus and Method for Processing Substrate
KR101577782B1 (en) 2014-05-29 2015-12-16 참엔지니어링(주) Apparatus and Method for Processing Substrate
CN113823545A (en) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 Device and method for improving process deviation of multi-chamber equipment

Similar Documents

Publication Publication Date Title
JP2003197615A (en) Plasma treatment apparatus and method for cleaning the same
US7862683B2 (en) Chamber dry cleaning
JP4470970B2 (en) Plasma processing equipment
US8394231B2 (en) Plasma process device and plasma process method
US20090221148A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
JP6298391B2 (en) Plasma processing method and plasma processing apparatus
US11031262B2 (en) Loadlock integrated bevel etcher system
JP3121524B2 (en) Etching equipment
JP5281811B2 (en) Annular parts for plasma processing, plasma processing apparatus, and outer annular member
TWI725034B (en) Plasma processing method
US20210142983A1 (en) Plasma processing apparatus
JP4185117B2 (en) Plasma processing apparatus and cleaning method thereof
US20100043894A1 (en) Valve element, particle entry preventive mechanism, exhaust control apparatus, and substrate processing apparatus
TWI756424B (en) Method of cleaming plasma processing
JP4754609B2 (en) Processing apparatus and cleaning method thereof
JP2006253733A (en) Plasma processing apparatus and method of cleaning the same
TW201703098A (en) Surface processing method for upper electrode, plasma processing device, and upper electrode
JP2006319041A (en) Plasma cleaning method and method for forming film
JP2006319042A (en) Plasma cleaning method and method for forming film
US10553409B2 (en) Method of cleaning plasma processing apparatus
JPWO2009041214A1 (en) Plasma processing method and plasma processing apparatus
JP2007184611A (en) Plasma processing device and plasma processing method
JP4754465B2 (en) Plasma processing apparatus and cleaning method thereof
JP2011151243A (en) Method for cleaning substrate processing device

Legal Events

Date Code Title Description
A621 Written request for application examination

Effective date: 20060707

Free format text: JAPANESE INTERMEDIATE CODE: A621

A131 Notification of reasons for refusal

Effective date: 20070410

Free format text: JAPANESE INTERMEDIATE CODE: A131

A521 Written amendment

Effective date: 20070611

Free format text: JAPANESE INTERMEDIATE CODE: A523

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080617