JP2006245110A - Heat-treating apparatus - Google Patents

Heat-treating apparatus Download PDF

Info

Publication number
JP2006245110A
JP2006245110A JP2005055944A JP2005055944A JP2006245110A JP 2006245110 A JP2006245110 A JP 2006245110A JP 2005055944 A JP2005055944 A JP 2005055944A JP 2005055944 A JP2005055944 A JP 2005055944A JP 2006245110 A JP2006245110 A JP 2006245110A
Authority
JP
Japan
Prior art keywords
substrate
heaters
processing
thermal processing
heater
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005055944A
Other languages
Japanese (ja)
Other versions
JP2006245110A5 (en
Inventor
Yoshiharu Ota
義治 太田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005055944A priority Critical patent/JP2006245110A/en
Priority to TW095106655A priority patent/TWI295415B/en
Priority to KR1020060019378A priority patent/KR101237092B1/en
Publication of JP2006245110A publication Critical patent/JP2006245110A/en
Publication of JP2006245110A5 publication Critical patent/JP2006245110A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1303Apparatus specially adapted to the manufacture of LCDs
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G39/00Rollers, e.g. drive rollers, or arrangements thereof incorporated in roller-ways or other types of mechanical conveyors 
    • B65G39/10Arrangements of rollers
    • B65G39/12Arrangements of rollers mounted on framework
    • B65G39/18Arrangements of rollers mounted on framework for guiding loads
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03BMANUFACTURE, SHAPING, OR SUPPLEMENTARY PROCESSES
    • C03B27/00Tempering or quenching glass products
    • C03B27/012Tempering or quenching glass products by heat treatment, e.g. for crystallisation; Heat treatment of glass products before tempering by cooling
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03BMANUFACTURE, SHAPING, OR SUPPLEMENTARY PROCESSES
    • C03B27/00Tempering or quenching glass products
    • C03B27/04Tempering or quenching glass products using gas

Abstract

<P>PROBLEM TO BE SOLVED: To provide a heat-treating apparatus that can heat and cool a substrate while the substrate is being conveyed, has high throughput, and can suppress irregularities when heating and cooling the substrate. <P>SOLUTION: A post bake zone (POB) 56 that is an example of the heat-treating apparatus comprises a substrate conveyance mechanism 71 for conveying the substrate G horizontally; and a plurality of panel-shaped heaters 72 arranged at a prescribed height position on a conveyance route of the substrate G by the substrate conveyance mechanism 71, while a gap 73 is provided at a prescribed interval along the conveyance route for heating the substrate G. The heater 72 comprises a plurality of small heaters 72a, 72b. The plurality of small heaters 72a, 72b are connected so that the joint is not in parallel with a substrate conveyance direction within a fixed distance range to prevent a transfer mark from occurring on the substrate G due to the joint of the plurality of small heaters 72a, 72b. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、例えば、液晶表示装置(LCD)等のFPD(フラットパネルディスプレイ)の製造プロセスにおけるガラス基板等のフォトリソグラフィー工程において、基板を加熱、冷却するために用いる熱的処理装置に関する。   The present invention relates to a thermal processing apparatus used for heating and cooling a substrate in a photolithography process such as a glass substrate in a manufacturing process of an FPD (flat panel display) such as a liquid crystal display (LCD).

例えば、LCDの製造工程においては、フォトリソグラフィー技術を用いて、ガラス基板にレジスト液を供給して塗布膜を形成し、これを乾燥、熱処理した後に、露光処理、現像処理を逐次行うことにより、ガラス基板に所定の回路パターンを形成している。ここで、ガラス基板にレジスト液を供給して塗布膜を形成した後は、塗布膜を加熱して不要な溶剤等を除去するプリベーク処理が行われている。また、露光処理後には露光によるレジスト膜の化学変化を促進するためのポストエクスポージャーベーク処理が行われ、現像処理後には現像パターンの固定とガラス基板の乾燥を兼ねたポストベーク処理が行われている。   For example, in the LCD manufacturing process, by using a photolithographic technique, a resist solution is supplied to a glass substrate to form a coating film, which is dried and heat treated, and then sequentially subjected to exposure processing and development processing, A predetermined circuit pattern is formed on the glass substrate. Here, after forming the coating film by supplying the resist solution to the glass substrate, a pre-bake process is performed in which the coating film is heated to remove unnecessary solvents and the like. Further, after the exposure process, a post-exposure bake process for promoting chemical change of the resist film due to exposure is performed, and after the development process, a post-bake process that combines fixing of the development pattern and drying of the glass substrate is performed. .

従来、このような熱処理を行う装置としては、ガラス基板を載置するためのホットプレートと、このホットプレート上でガラス基板を昇降させるための昇降機構と、ホットプレートを内包するためのチャンバと、を有する加熱装置が用いられている(例えば、特許文献1参照)。また、加熱処理が終了したガラス基板は、必要に応じて、冷却プレートを備えた冷却装置に搬送されて、そこで冷却処理される。   Conventionally, as an apparatus for performing such heat treatment, a hot plate for placing a glass substrate, a lifting mechanism for lifting and lowering the glass substrate on the hot plate, a chamber for containing the hot plate, (For example, refer patent document 1). In addition, the glass substrate that has been subjected to the heat treatment is transported to a cooling device having a cooling plate as needed, and is subjected to a cooling treatment there.

しかしながら、このような加熱装置および冷却装置では、ガラス基板を装置に搬入出するために時間がかかり、スループットがよいものではない。また、近時、ガラス基板の大型化が急速に進んでいるために、フォトリソグラフィー工程においては、ガラス基板を回転させてレジスト膜を形成する等のスピンナタイプの装置を用いた処理では、ガラス基板の中心と周縁とで処理にむらが生じやすいため、このような装置に代えて、基板を一方向に搬送しながらレジスト液を塗布して塗布膜を形成し、また現像液を塗布して現像する、所謂、搬送タイプの装置が用いられるようになっている。そのため、このような搬送タイプの装置と、従前のバッチ式の加熱装置等を組み合わせて、レジスト膜形成から現像までを一貫して行うシステムを構築すると、基板の搬送システムが複雑となり、またスループットを高めることも困難となる。
特開平8−313855号公報
However, in such a heating apparatus and cooling apparatus, it takes time to carry the glass substrate in and out of the apparatus, and the throughput is not good. In recent years, since the enlargement of the glass substrate is rapidly progressing, in the process using a spinner type apparatus such as rotating the glass substrate to form a resist film in the photolithography process, the glass substrate is used. Since unevenness is likely to occur at the center and periphery of the substrate, instead of such an apparatus, a resist solution is applied while the substrate is transported in one direction to form a coating film, and a developer is applied and developed. In other words, a so-called conveyance type apparatus is used. Therefore, combining such a transport-type device with a conventional batch-type heating device to build a system that consistently performs from resist film formation to development will complicate the substrate transport system and reduce throughput. It is also difficult to increase.
JP-A-8-313855

本発明はかかる事情に鑑みてなされたものであり、基板を搬送しながら加熱や冷却を行うことができるスループットの高い熱的処理装置であって、さらに基板の加熱むらや冷却むらの発生を抑制することができる熱的処理装置を提供することを目的とする。   The present invention has been made in view of such circumstances, and is a high-throughput thermal processing apparatus that can perform heating and cooling while transporting a substrate, and further suppresses the occurrence of uneven heating and cooling of the substrate. It is an object of the present invention to provide a thermal processing apparatus that can be used.

本発明によれば、基板を略水平姿勢で水平方向に搬送しながら熱的処理する熱的処理装置であって、
基板を水平方向に搬送するための基板搬送機構と、
基板を加熱するために、前記基板搬送機構による基板の搬送ルート上の所定の高さ位置に当該搬送ルートに沿って所定間隔で隙間を設けながら配置された複数のパネル形状のヒータと、
を具備し、
前記ヒータはそれぞれ複数の小ヒータから構成され、前記複数の小ヒータの継ぎ目に起因して基板に転写痕が発生することを防止するために、前記複数の小ヒータはその継ぎ目が一定の距離範囲内において基板搬送方向と平行にならないように連結されていることを特徴とする熱的処理装置、が提供される。
According to the present invention, a thermal processing apparatus that performs thermal processing while conveying a substrate in a horizontal direction in a substantially horizontal posture,
A substrate transport mechanism for transporting the substrate in a horizontal direction;
In order to heat the substrate, a plurality of panel-shaped heaters arranged at predetermined intervals along the transfer route at predetermined height positions on the transfer route of the substrate by the substrate transfer mechanism,
Comprising
Each of the heaters is composed of a plurality of small heaters, and in order to prevent transfer marks from being generated on the substrate due to the joints of the plurality of small heaters, the joints of the plurality of small heaters have a certain distance range. In the thermal processing apparatus, the thermal processing apparatus is connected so as not to be parallel to the substrate transport direction.

本発明に係る熱的処理装置において、各ヒータは大きさの異なる複数種の小ヒータを複数組み合わせて構成されていることが好ましく、これにより小ヒータの継ぎ目を配置する自由度が大きくなる。また、この熱的処理装置に、複数のヒータ間に設けられた隙間へ所定温度に加熱されたガスを供給する加熱ガス供給装置と、複数のヒータ間に設けられた隙間から吸気を行うための吸気装置をさらに設け、加熱ガス供給装置からのガス供給ポイントと、吸気装置からの吸気ポイントを基板搬送方向に沿って存在する複数のヒータ間の隙間に交互に設けると、基板からの蒸発物を効率的に排除することができ、好ましい。   In the thermal processing apparatus according to the present invention, each heater is preferably configured by combining a plurality of types of small heaters having different sizes, thereby increasing the degree of freedom in arranging the joints of the small heaters. In addition, a heating gas supply device that supplies a gas heated to a predetermined temperature to a gap provided between the plurality of heaters, and an intake air from the gap provided between the plurality of heaters. If an intake device is further provided, and the gas supply point from the heated gas supply device and the intake point from the intake device are alternately provided in the gaps between the plurality of heaters along the substrate transport direction, the evaporated material from the substrate is removed. This is preferable because it can be eliminated efficiently.

基板搬送機構として、基板搬送方向に垂直な方向を長軸方向として基板搬送方向に所定間隔で並べられた複数の円柱状のローラー部材と、これらのローラー部材を回転させるためのローラー駆動手段を有するものを用い、さらに熱的処理装置に基板搬送機構によって搬送される基板の裏面から基板を加熱するためのIRヒータまたは熱放射能を有するランプを設けて、IRヒータまたはランプは基板を直接加熱するだけでなくローラー部材を加熱して、ローラー部材から基板への熱伝達によっても基板を加熱する構成とすると、スループットを向上させることができ、好ましい。このため、ローラー部材には蓄熱性材料が好適に用いられる。   The substrate transport mechanism includes a plurality of cylindrical roller members arranged at predetermined intervals in the substrate transport direction with a direction perpendicular to the substrate transport direction as a major axis direction, and roller driving means for rotating these roller members. Further, an IR heater or a lamp having thermal radiation for heating the substrate from the back surface of the substrate transported by the substrate transport mechanism is provided in the thermal processing apparatus, and the IR heater or lamp directly heats the substrate. In addition to heating the roller member and heating the substrate by heat transfer from the roller member to the substrate, the throughput can be improved, which is preferable. For this reason, a heat storage material is used suitably for a roller member.

熱的処理装置には、ヒータにより加熱された基板を冷却するために、基板の搬送ルート上の所定の高さ位置に冷却板を設けることができる。その場合、基板の裏面から基板を冷却するために基板の裏面に冷却ガスを吹き付けるための冷却ガス噴射装置を設けることが好ましい。冷却ガスの雰囲気にさらされることによって冷却されるローラー部材には、熱伝導性の高い材料が好適に用いられる。   In the thermal processing apparatus, a cooling plate can be provided at a predetermined height position on the substrate transport route in order to cool the substrate heated by the heater. In that case, it is preferable to provide a cooling gas injection device for spraying a cooling gas to the back surface of the substrate in order to cool the substrate from the back surface of the substrate. A material having high thermal conductivity is suitably used for the roller member that is cooled by being exposed to the atmosphere of the cooling gas.

ヒータを複数の小ヒータから構成すると、個々の小ヒータの温度を調整することによりを加熱均一性を高めることができ、しかも小ヒータの継ぎ目を基板の搬送方向に延在しないように小ヒータを配置することで、処理むらの発生を防止することができる。また、ガラス基板の大きさに適応させたサイズのヒータを作製することが容易であり、さらにヒータコストを低減することができる。基板の上側にパネル状のヒータを配置しても、基板から蒸発する物質を加熱ガスにより効率的に除去することができるので、基板の再汚染を抑制することができる。基板をヒータによってその上面から加熱することに加えて、裏面からも加熱することにより、スループットを向上させることができる。   If the heater is composed of a plurality of small heaters, the uniformity of heating can be improved by adjusting the temperature of each small heater, and the small heaters can be connected so that the seams of the small heaters do not extend in the substrate transport direction. By arranging, it is possible to prevent the occurrence of processing unevenness. Further, it is easy to produce a heater having a size adapted to the size of the glass substrate, and further, the heater cost can be reduced. Even if a panel-like heater is disposed on the upper side of the substrate, the substance evaporating from the substrate can be efficiently removed by the heated gas, so that recontamination of the substrate can be suppressed. In addition to heating the substrate from its upper surface with a heater, the substrate can also be heated from the back surface, thereby improving the throughput.

以下、本発明の実施の形態について添付図面を参照して詳細に説明する。
図1は本発明の第1の実施形態に係るLCDガラス基板(以下「基板」という)Gのレジスト塗布・現像処理システム100の外観を示す斜視図であり、図2(a)はレジスト塗布・現像処理システム100の上段の構成を示す平面図であり、図2(b)はその下段の構成を示す平面図である。
Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings.
FIG. 1 is a perspective view showing an appearance of a resist coating / developing system 100 for an LCD glass substrate (hereinafter referred to as “substrate”) G according to the first embodiment of the present invention. FIG. FIG. 2 is a plan view showing the configuration of the upper stage of the development processing system 100, and FIG. 2B is a plan view showing the configuration of the lower stage.

レジスト塗布・現像処理システム100は、複数の基板Gを収容するカセットCを載置する容器搬入出部(カセットステーション)6と、基板Gに所定の熱的処理または液処理を施す複数のゾーンが設けられた第1処理部1および第2処理部2と、図示しない露光装置との間で基板Gの受け渡しを行うためのインターフェース部5と、第1処理部1と容器搬入出部6との間に設けられた第1搬送部3と、第1処理部1と第2処理部2との間に設けられた第2搬送部4と、を有している。なお、図1に示されるように、レジスト塗布・現像処理システム100の長手方向をX方向、水平面においてX方向と直交する方向をY方向、鉛直方向をZ方向とする。   The resist coating / development processing system 100 includes a container carry-in / out unit (cassette station) 6 on which a cassette C that accommodates a plurality of substrates G is placed, and a plurality of zones that perform predetermined thermal processing or liquid processing on the substrates G. An interface unit 5 for transferring the substrate G between the first processing unit 1 and the second processing unit 2 provided, and an exposure apparatus (not shown), and the first processing unit 1 and the container carry-in / out unit 6 It has the 1st conveyance part 3 provided in the middle, and the 2nd conveyance part 4 provided between the 1st process part 1 and the 2nd process part 2. FIG. As shown in FIG. 1, the longitudinal direction of the resist coating / development processing system 100 is the X direction, the direction orthogonal to the X direction on the horizontal plane is the Y direction, and the vertical direction is the Z direction.

第1処理部1は、上下方向(Z方向)に2段に仕切られた積層構造を有しており、かつ上段と下段がそれぞれY方向にも2つに仕切られている。こうして、第1処理部1の下段には独立した処理ブロック11a・11bが、その上段には処理ブロック11c・11dがそれぞれ形成されている。第2処理部2も同様に上下方向(Z方向)に2段に仕切られ、また、上段と下段がそれぞれY方向にも2つに仕切られており、その下段に独立した処理ブロック12a・12bが、その上段に処理ブロック12c・12dがそれぞれ形成されている。   The first processing unit 1 has a laminated structure that is partitioned into two stages in the vertical direction (Z direction), and the upper stage and the lower stage are each partitioned into two in the Y direction. Thus, independent processing blocks 11a and 11b are formed in the lower stage of the first processing unit 1, and processing blocks 11c and 11d are formed in the upper stage, respectively. Similarly, the second processing unit 2 is also divided into two stages in the vertical direction (Z direction), and the upper stage and the lower stage are each divided into two parts in the Y direction, and independent processing blocks 12a and 12b are provided in the lower stage. However, the processing blocks 12c and 12d are formed in the upper stage.

容器搬入出部6はカセットCを載置するステージ7を有しており、例えば、4個のカセットCを所定位置に載置することができるようになっている。容器搬入出部6には、外部からレジスト塗布・現像処理システム100において処理すべき基板Gが収納されたカセットCが搬入され、また、レジスト塗布・現像処理システム100において所定の処理が終了した基板Gが収納されたカセットCが次工程へと搬送される。このようなカセットCの搬入出は、手動搬送またはコンベア等を用いた自動搬送のいずれの形態を用いても構わない。   The container carry-in / out section 6 has a stage 7 on which the cassette C is placed. For example, four cassettes C can be placed at predetermined positions. A cassette C storing a substrate G to be processed in the resist coating / development processing system 100 is carried into the container loading / unloading unit 6 from the outside, and a substrate on which predetermined processing has been completed in the resist coating / development processing system 100. The cassette C storing G is conveyed to the next process. Such loading and unloading of the cassette C may use any form of manual conveyance or automatic conveyance using a conveyor or the like.

第1処理部1と容器搬入出部6との間に設けられた第1搬送部3には第1搬送装置17が配設されている。この第1搬送装置17はX方向に伸縮する搬送アーム17aを有しており、搬送アーム17aは、Y方向にスライド自在であり、また水平面内で回転自在であり、さらにZ方向に昇降自在に構成されている。このような構成により第1搬送装置17は、容器搬入出部6と第1処理部1にアクセスして、容器搬入出部6と第1処理部1にとの間で基板Gの受け渡しを行い、また、第1処理部1を構成する処理ブロック11a〜11d間での基板Gの受け渡しを行う。   A first transport device 17 is disposed in the first transport unit 3 provided between the first processing unit 1 and the container carry-in / out unit 6. The first transfer device 17 has a transfer arm 17a that expands and contracts in the X direction. The transfer arm 17a is slidable in the Y direction, is rotatable in a horizontal plane, and is movable up and down in the Z direction. It is configured. With such a configuration, the first transfer device 17 accesses the container carry-in / out unit 6 and the first processing unit 1 and transfers the substrate G between the container carry-in / out unit 6 and the first processing unit 1. In addition, the substrate G is transferred between the processing blocks 11a to 11d constituting the first processing unit 1.

第1処理部1と第2処理部2との間に設けられた第2搬送部4には、搬送アーム18aを有する第2搬送装置18が配設されている。この第2搬送装置18は第1搬送装置17と同様の構造を有しており、第1処理部1と第2処理部2との間での基板Gの受け渡しと、第1処理部1を構成する処理ブロック11a〜11d間での基板Gの受け渡しと、第2処理部2を構成する処理ブロック12a〜12d間での基板Gの受け渡しを行う。   A second transport unit 18 having a transport arm 18 a is disposed in the second transport unit 4 provided between the first processing unit 1 and the second processing unit 2. The second transport device 18 has the same structure as the first transport device 17, and transfers the substrate G between the first processing unit 1 and the second processing unit 2, and the first processing unit 1. The substrate G is transferred between the processing blocks 11a to 11d to be configured, and the substrate G is transferred between the processing blocks 12a to 12d to be the second processing unit 2.

インターフェース部5には、第1搬送装置17と同様の構造を有する第3搬送装置19が配設されており、第3搬送装置19の搬送アーム19aは、第2処理部2を構成する処理ブロック12a〜12dにアクセスし、また、第2処理部2との間にインターフェース部5を挟むように配設された図示しない露光装置にアクセスすることができるようになっている。こうして第3搬送装置19は、第2処理部2を構成する処理ブロック12a〜12d間での基板Gの受け渡しを行い、また、第2処理部2と露光装置との間で基板Gの受け渡しを行う。   The interface unit 5 is provided with a third transfer device 19 having the same structure as the first transfer device 17, and the transfer arm 19 a of the third transfer device 19 is a processing block that constitutes the second processing unit 2. 12a to 12d can be accessed, and an exposure apparatus (not shown) disposed so as to sandwich the interface unit 5 with the second processing unit 2 can be accessed. In this way, the third transfer device 19 delivers the substrate G between the processing blocks 12a to 12d constituting the second processing unit 2, and also delivers the substrate G between the second processing unit 2 and the exposure apparatus. Do.

第1処理部1を構成する処理ブロック11aには、第1搬送部3側にスクラバ洗浄に先立って基板Gの有機物を除去するためのエキシマUV照射ゾーン(e−UV)21が設けられ、このエキシマUV照射ゾーン(e−UV)21に隣接して基板Gのスクラバ洗浄処理を行うスクラバ洗浄ゾーン(SCR)22が第2搬送部4側に設けられている。この処理ブロック11a内においては、基板Gは回転処理されることなく、コロ搬送等の方法を用いてX方向に略水平に搬送されつつ、エキシマUV照射処理とスクラバ洗浄処理が連続して行われる。   The processing block 11a constituting the first processing unit 1 is provided with an excimer UV irradiation zone (e-UV) 21 for removing organic substances on the substrate G prior to scrubber cleaning on the first transport unit 3 side. A scrubber cleaning zone (SCR) 22 that performs a scrubber cleaning process for the substrate G is provided on the second transport unit 4 side adjacent to the excimer UV irradiation zone (e-UV) 21. In the processing block 11a, the excimer UV irradiation process and the scrubber cleaning process are continuously performed while the substrate G is transported substantially horizontally in the X direction using a method such as roller transport without being rotated. .

エキシマUV照射ゾーン(e−UV)21とスクラバ洗浄ゾーン(SCR)22の天井部には図示しないフィルターファンユニット(FFU)が設けられている。また、基板Gのスクラバ洗浄処理中に発生する処理液のミスト等がスクラバ洗浄ゾーン(SCR)22からエキシマUV照射ゾーン(e−UV)21へ飛散しないように、スクラバ洗浄ゾーン(SCR)22とエキシマUV照射ゾーン(e−UV)21との間にはシャッターを設けることが好ましい。また、フィルターファンユニット(FFU)からのダウンフローの向きを調節することによってもミスト等の飛散を抑制することができる。   A filter fan unit (FFU) (not shown) is provided on the ceiling of the excimer UV irradiation zone (e-UV) 21 and the scrubber cleaning zone (SCR) 22. In addition, the scrubber cleaning zone (SCR) 22 and the mist of the processing liquid generated during the scrubber cleaning processing of the substrate G are not scattered from the scrubber cleaning zone (SCR) 22 to the excimer UV irradiation zone (e-UV) 21. A shutter is preferably provided between the excimer UV irradiation zone (e-UV) 21. Moreover, scattering of mist etc. can be suppressed also by adjusting the direction of the downflow from a filter fan unit (FFU).

処理ブロック11aのY方向側に仕切壁を隔てて位置する処理ブロック11bには、第1搬送部3側から第2搬送部4側に向かって、クーリングユニット(COL)23、レジスト塗布ユニット(CT)24、減圧乾燥/周縁レジスト除去ユニット(VD/ER)25が並べて配置されており、処理ブロック11bの天井部には図示しないフィルターファンユニット(FFU)が配設されている。   The processing block 11b, which is located on the Y direction side of the processing block 11a with a partition wall therebetween, has a cooling unit (COL) 23, a resist coating unit (CT) from the first transport unit 3 side to the second transport unit 4 side. ) 24, a vacuum drying / peripheral resist removal unit (VD / ER) 25 is arranged side by side, and a filter fan unit (FFU) (not shown) is arranged on the ceiling of the processing block 11b.

クーリングユニット(COL)23においては、基板Gに形成するレジスト膜の均一性を高めるために、レジスト塗布前に基板Gの温度均一性を高める熱的処理が行われる。レジスト塗布ユニット(CT)24では、例えば、帯状にレジスト液を吐出するノズルの下を基板Gを略水平姿勢で通過させることにより、基板Gの表面にレジスト膜が形成される。減圧乾燥/周縁レジストユニット(VD/ER)25は、基板Gに形成されたレジスト膜を熱処理によらずに減圧処理することによりレジスト膜に含まれる揮発成分を蒸発させ、また、レジスト塗布ユニット(CT)24におけるレジスト膜の塗布の際に基板Gの裏面に付着したレジストおよび基板Gの周縁部分のレジスト膜を除去する。クーリングユニット(COL)23からレジスト塗布ユニット(CT)24への基板Gの搬送と、レジスト塗布ユニット(CT)24から減圧乾燥/周縁レジスト除去ユニット(VD/ER)25に向けての基板Gの搬送は、例えば、図示しない基板搬送アームを配設して行うことができる。   In the cooling unit (COL) 23, in order to increase the uniformity of the resist film formed on the substrate G, a thermal process is performed to increase the temperature uniformity of the substrate G before applying the resist. In the resist coating unit (CT) 24, for example, a resist film is formed on the surface of the substrate G by passing the substrate G in a substantially horizontal posture under a nozzle that discharges the resist solution in a strip shape. The reduced-pressure drying / peripheral resist unit (VD / ER) 25 evaporates a volatile component contained in the resist film by subjecting the resist film formed on the substrate G to a reduced pressure process without using a heat treatment. CT) 24, the resist adhering to the back surface of the substrate G at the time of applying the resist film and the resist film on the peripheral portion of the substrate G are removed. Transport of the substrate G from the cooling unit (COL) 23 to the resist coating unit (CT) 24 and the substrate G from the resist coating unit (CT) 24 toward the vacuum drying / periphery resist removal unit (VD / ER) 25 The transfer can be performed, for example, by arranging a substrate transfer arm (not shown).

処理ブロック11aの上段に位置する処理ブロック11cには、第2搬送部4側から第1搬送部3側に向かって、スクラバ洗浄処理が終了した基板Gの脱水ベーク処理を行う脱水ベークゾーン(DHP)51と、基板Gに対して疎水化処理を施す2つのアドヒージョン処理ゾーン(AD)52、基板Gを所定温度に冷却するクーリングゾーン(COL)53が設けられている。   In the processing block 11c located on the upper stage of the processing block 11a, a dehydration baking zone (DHP) that performs dehydration baking processing of the substrate G that has been subjected to the scrubber cleaning process from the second transport unit 4 side to the first transport unit 3 side. ) 51, two adhesion processing zones (AD) 52 for subjecting the substrate G to a hydrophobic treatment, and a cooling zone (COL) 53 for cooling the substrate G to a predetermined temperature.

これらの脱水ベークゾーン(DHP)51、アドヒージョン処理ゾーン(AD)52、クーリングゾーン(COL)53はX方向に仕切られてはおらず、各処理を行うための温度ゾーンに分けられているだけであり、第2搬送部4側から第1搬送部3側に向かって、基板Gは処理ブロック11c内をX方向に略水平に搬送されつつ、各ゾーンを通過することで熱処理される。アドヒージョン処理ゾーン(AD)52とクーリングゾーン(COL)53とでは設定温度に大きな差があるために、シャッターによりこれらのゾーンを遮断することが可能となっており、このシャッターは基板Gのアドヒージョン処理ゾーン(AD)52からクーリングゾーン(COL)53への通過時にのみ開口され、それ以外のときには閉口した状態に保持される。   These dehydration bake zone (DHP) 51, adhesion treatment zone (AD) 52, and cooling zone (COL) 53 are not partitioned in the X direction, but are only divided into temperature zones for performing each treatment. The substrate G is heat-treated by passing through each zone while being transported substantially horizontally in the X direction in the processing block 11c from the second transport unit 4 side toward the first transport unit 3 side. Since there is a large difference in the set temperature between the adhesion processing zone (AD) 52 and the cooling zone (COL) 53, it is possible to block these zones with a shutter. It is opened only when passing from the zone (AD) 52 to the cooling zone (COL) 53, and otherwise it is kept closed.

処理ブロック11bの上段に位置する処理ブロック11dには、第2搬送部4側から第1搬送部3側に向かって、現像処理が終了した基板Gのポストベーク処理を行うポストベークゾーン(POB)56と、ポストベーク処理後の基板Gを冷却するクーリングゾーン(COL)57を備えている。   A processing block 11d located at the upper stage of the processing block 11b includes a post-baking zone (POB) for performing post-baking processing of the substrate G that has undergone development processing from the second transport unit 4 side toward the first transport unit 3 side. 56 and a cooling zone (COL) 57 for cooling the substrate G after the post-baking process.

処理ブロック11cの構造と同様に、ポストベークゾーン(POB)56とクーリングゾーン(COL)57についても、これらはX方向に仕切られてはおらず、各処理を行うための温度ゾーンに分けられているだけであり、第2搬送部4から第1搬送部3に向かって、基板Gは、処理ブロック11d内をX方向に略水平に搬送されつつ、所定のゾーンを通過することで熱処理される。この処理ブロック11cの構造については、後にさらに詳細に説明する。   Similar to the structure of the processing block 11c, the post-bake zone (POB) 56 and the cooling zone (COL) 57 are not partitioned in the X direction, but are divided into temperature zones for performing each processing. However, the substrate G is heat-treated by passing through a predetermined zone while being transported substantially horizontally in the X direction in the processing block 11d from the second transport unit 4 toward the first transport unit 3. The structure of the processing block 11c will be described in detail later.

第2処理部2を構成する下段の処理ブロック12aは、露光処理後の基板Gの現像処理を行う現像処理ユニット(DEV)27となっており、現像処理ユニット(DEV)27内において、基板Gはインターフェース部5側から第2搬送部4側に向けて略水平姿勢で搬送されつつ、現像液塗布、現像後の現像液洗浄、乾燥処理が逐次施されるようになっている。現像処理ユニット(DEV)27の天井部には図示しないフィルターファンユニット(FFU)が設けられ、清浄な空気のダウンフローが搬送される基板Gに供給されるようになっている。   The lower processing block 12 a constituting the second processing unit 2 is a development processing unit (DEV) 27 that performs development processing of the substrate G after the exposure processing. In the development processing unit (DEV) 27, the substrate G Is conveyed in a substantially horizontal posture from the interface unit 5 side to the second conveyance unit 4 side, and is sequentially subjected to developer application, developer washing after development, and drying. A filter fan unit (FFU) (not shown) is provided on the ceiling of the development processing unit (DEV) 27 so that a clean air downflow is supplied to the substrate G to be transported.

処理ブロック12aのY方向側に仕切壁を隔てて位置する処理ブロック12bには、第2搬送部4側に露光処理後の基板Gに所定の情報を記録するタイトラー(TIT)62が配設され、インターフェース部5側に露光後の基板Gを退避させて一時的にストックするストックユニット(ST)64が配置され、これらの中間に、レジスト塗布・現像処理システム100のシーケンサや現像処理等に使用する各種の処理液を供給するためのポンプ等、各種制御機器や動力機器を収納可能なユーティリティユニット(UTL)63が配設されている。   In the processing block 12b that is located on the Y direction side of the processing block 12a with a partition wall therebetween, a titler (TIT) 62 that records predetermined information on the substrate G after the exposure processing is disposed on the second transport unit 4 side. A stock unit (ST) 64 for retracting and temporarily stocking the exposed substrate G is disposed on the interface unit 5 side, and is used in the middle of these for the sequencer and development processing of the resist coating / development processing system 100 A utility unit (UTL) 63 capable of storing various control devices and power devices such as a pump for supplying various processing liquids is disposed.

処理ブロック12aの上段に位置する処理ブロック12cには、第2搬送部4側からインターフェース部5側に向かって、レジスト塗布処理が終了した基板のプリベーク処理を行うプリベークゾーン(PRB)54と、基板Gの所定温度に冷却するクーリングゾーン(COL)55が設けられている。   The processing block 12c located at the upper stage of the processing block 12a includes a pre-bake zone (PRB) 54 for performing pre-bake processing of the substrate on which the resist coating processing has been completed from the second transport unit 4 side to the interface unit 5 side, and a substrate A cooling zone (COL) 55 for cooling to a predetermined temperature of G is provided.

この処理ブロック12cの構造は前述した処理ブロック11cの構造と基本的に同じであり、プリベークゾーン(PRB)54とクーリングゾーン(COL)55はX方向で仕切られることなく、各処理を行うための温度ゾーンに分けられており、基板Gは略水平姿勢で第2搬送部4側からインターフェース部5側に向かってX方向に搬送されつつ、所定のゾーンを通過する際に熱処理される。   The structure of the processing block 12c is basically the same as the structure of the processing block 11c described above, and the pre-bake zone (PRB) 54 and the cooling zone (COL) 55 are not partitioned in the X direction, and each process is performed. The substrate G is divided into temperature zones, and is subjected to heat treatment when passing through a predetermined zone while being transported in the X direction from the second transport unit 4 side to the interface unit 5 side in a substantially horizontal posture.

処理ブロック12bの上段に位置する処理ブロック12dは、搬送ユニット(TRS)61となっており、第2搬送部4とインターフェース部5との間で何ら処理を行うことなく基板Gを搬送することができるようになっている。なお、処理ブロック12b・12dは必ずしも必要ではなく、必要に応じてその他の処理装置を配置してもよい。   The processing block 12d located at the upper stage of the processing block 12b is a transport unit (TRS) 61, which can transport the substrate G without any processing between the second transport unit 4 and the interface unit 5. It can be done. Note that the processing blocks 12b and 12d are not always necessary, and other processing devices may be arranged as necessary.

次に、上述した構成を有するレジスト塗布・現像処理システム100における基板Gの搬送経路について、図3を参照しながら説明する。図3は、先に示した図2における基板Gの搬送順路を矢印D1〜D16で示した説明図であり、図3においては第1搬送装置17〜第3搬送装置19の図示を省略している。   Next, the conveyance path of the substrate G in the resist coating / development processing system 100 having the above-described configuration will be described with reference to FIG. FIG. 3 is an explanatory diagram illustrating the transfer path of the substrate G in FIG. 2 indicated by arrows D1 to D16. In FIG. 3, the first transfer device 17 to the third transfer device 19 are not shown. Yes.

最初に、第1搬送装置17が容器搬入出部6に載置されたカセットCから基板Gを搬出し(矢印D1)、処理ブロック11aのエキシマUV照射ゾーン(e−UV)21に搬入する。基板GはエキシマUV照射ゾーン(e−UV)21およびスクラバ洗浄ゾーン(SCR)22を略水平姿勢で搬送されながら液処理される(矢印D2)。続いて、第2搬送装置18が基板Gを処理ブロック11aから搬出し、処理ブロック11cの脱水ベークゾーン(DHP)51に搬入する。こうして基板Gは、脱水ベークゾーン(DHP)51、アドヒージョン処理ゾーン(AD)52、クーリングゾーン(COL)53を略水平姿勢で逐次通過し、熱的処理される(矢印D3)。   First, the 1st conveyance apparatus 17 carries out the board | substrate G from the cassette C mounted in the container carrying in / out part 6 (arrow D1), and carries it in to the excimer UV irradiation zone (e-UV) 21 of the process block 11a. The substrate G is liquid-treated while being conveyed in an approximately horizontal posture through the excimer UV irradiation zone (e-UV) 21 and the scrubber cleaning zone (SCR) 22 (arrow D2). Subsequently, the second transport device 18 carries the substrate G out of the processing block 11a and carries it into the dehydration bake zone (DHP) 51 of the processing block 11c. Thus, the substrate G sequentially passes through the dehydration bake zone (DHP) 51, the adhesion processing zone (AD) 52, and the cooling zone (COL) 53 in a substantially horizontal posture, and is thermally processed (arrow D3).

続いて、第1搬送装置17が所定温度に冷却された基板Gを処理ブロック11cから搬出し、処理ブロック11bのクーリングユニット(COL)23に搬入する。基板Gは、クーリングユニット(COL)23において均一温度に調節された後に、レジスト塗布ユニット(CT)24、減圧乾燥/周縁レジスト除去ユニット(VD/ER)25の順で処理され、基板Gにレジスト膜が形成される(矢印D4)。第2搬送装置18は、レジスト膜が形成された基板Gを処理ブロック11bから搬出し、処理ブロック12cに搬入する。基板Gはプリベークゾーン(PRB)54とクーリングゾーン(COL)55を略水平姿勢で逐次通過し、プリベーク処理が終了する(矢印D5)。   Subsequently, the first transfer device 17 carries out the substrate G cooled to a predetermined temperature from the processing block 11c and carries it into the cooling unit (COL) 23 of the processing block 11b. The substrate G is adjusted to a uniform temperature in the cooling unit (COL) 23, and then processed in the order of a resist coating unit (CT) 24 and a reduced-pressure drying / periphery resist removal unit (VD / ER) 25. A film is formed (arrow D4). The second transport device 18 unloads the substrate G on which the resist film is formed from the processing block 11b and loads it into the processing block 12c. The substrate G sequentially passes through the pre-bake zone (PRB) 54 and the cooling zone (COL) 55 in a substantially horizontal posture, and the pre-bake process ends (arrow D5).

その後、第3搬送装置19がプリベーク処理が終了した基板Gを処理ブロック12cから搬出し、インターフェース部5に隣接して設けられた図示しない露光装置に搬入する(矢印D6)。そして、第3搬送装置19は露光処理が終了した基板Gを露光装置から搬出し(矢印D7)、処理ブロック12dの搬送ユニット(TRS)61に搬入する。基板Gは処理ブロック12d内を搬送され(矢印D8)、第2搬送装置18が基板Gを処理ブロック12dから搬出し、処理ブロック12bのタイトラー(TIT)62に搬入する(矢印D9)。タイトラー(TIT)62において所定の情報が記録された基板Gは、第2搬送装置18によって搬出され(矢印D10)、次いで、処理ブロック12dに搬入されてインターフェース部5側へ搬送される(矢印D11)。   Thereafter, the third transfer device 19 carries out the substrate G on which the pre-bake processing has been completed from the processing block 12c, and carries it into an exposure device (not shown) provided adjacent to the interface unit 5 (arrow D6). Then, the third transport device 19 carries out the substrate G after the exposure processing from the exposure device (arrow D7) and carries it into the transport unit (TRS) 61 of the processing block 12d. The substrate G is transported in the processing block 12d (arrow D8), and the second transport device 18 unloads the substrate G from the processing block 12d and transports it to the titler (TIT) 62 of the processing block 12b (arrow D9). The substrate G on which predetermined information is recorded in the titler (TIT) 62 is unloaded by the second transfer device 18 (arrow D10), and then is loaded into the processing block 12d and transferred to the interface unit 5 side (arrow D11). ).

第3搬送装置19は処理ブロック12dから基板Gを搬出し、処理ブロック12aに設けられた現像処理ユニット(DEV)27が空いている場合には現像処理ユニット(DEV)27へ搬入するが、ここで、現像処理ユニット(DEV)27が使用中のために基板Gを搬入することができない場合には、一時的に基板Gをストックユニット(ST)64へ搬入する(矢印D12)。そして、現像処理ユニット(DEV)27が使用可能となった時点で、第3搬送装置19は基板Gをストックユニット(ST)64から搬出し(矢印D13)、処理ブロック12aに搬入する。   The third transport device 19 carries the substrate G out of the processing block 12d, and when the development processing unit (DEV) 27 provided in the processing block 12a is empty, it carries it into the development processing unit (DEV) 27. If the substrate G cannot be loaded because the development processing unit (DEV) 27 is in use, the substrate G is temporarily loaded into the stock unit (ST) 64 (arrow D12). When the development processing unit (DEV) 27 becomes usable, the third transport device 19 carries the substrate G out of the stock unit (ST) 64 (arrow D13) and carries it into the processing block 12a.

現像処理ユニット(DEV)27に搬入された基板Gは処理ブロック12a内を略水平姿勢で搬送されつつ現像処理され(矢印D14)、第2搬送装置18によって現像処理ユニット(DEV)27から搬出される。第2搬送装置18は現像処理が終了した基板Gを処理ブロック11dに搬入し、基板Gはポストベークゾーン(POB)56とクーリングゾーン(COL)57を略水平姿勢で逐次通過し、ポストベーク処理される(矢印D15)。続いて、第1搬送装置17が処理ブロック11dからポストベーク処理が終了した基板Gを搬出し、所定のカセットCに搬入する(矢印D16)。こうして、基板Gに対する洗浄からレジスト塗布、現像に至る処理が終了する。   The substrate G carried into the development processing unit (DEV) 27 is developed while being conveyed in the processing block 12a in a substantially horizontal posture (arrow D14), and is carried out of the development processing unit (DEV) 27 by the second transport device 18. The The second transport device 18 carries the substrate G after the development processing into the processing block 11d, and the substrate G sequentially passes through the post-bake zone (POB) 56 and the cooling zone (COL) 57 in a substantially horizontal posture to perform post-bake processing. (Arrow D15). Subsequently, the first transport device 17 carries out the substrate G on which the post-baking process has been completed from the processing block 11d, and carries it into a predetermined cassette C (arrow D16). Thus, the processing from cleaning the substrate G to resist coating and development is completed.

次に、ポストベークゾーン(POB)56とクーリングゾーン(COL)57を有する処理ブロック11cの構造について、より詳細に説明する。図4に処理ブロック11cの内部構造(つまり、ポストベークゾーン(POB)56とクーリングゾーン(COL)57の構成)を示す概略側面図を示す。   Next, the structure of the processing block 11c having the post-bake zone (POB) 56 and the cooling zone (COL) 57 will be described in more detail. FIG. 4 is a schematic side view showing the internal structure of the processing block 11c (that is, the configuration of the post-bake zone (POB) 56 and the cooling zone (COL) 57).

ポストベークゾーン(POB)56は、基板Gを略水平姿勢で水平方向に搬送するための基板搬送機構71と、基板Gを加熱するために、基板搬送機構71による基板Gの搬送ルート上の所定の高さ位置に搬送ルートに沿って所定間隔で隙間73を設けながら配置された複数のパネル形状のヒータ72・72′と、これら複数のヒータ72・72′間に設けられた所定の隙間73へ所定温度に加熱されたガスを供給する加熱ガス供給装置74と、複数のヒータ72・72′間に設けられた所定の隙間73から吸気を行うための吸気装置75と、基板搬送機構71によって搬送される基板Gの裏面から基板Gを加熱するためのIR(赤外線)ヒータ76と、を備えている。   The post-bake zone (POB) 56 includes a substrate transport mechanism 71 for transporting the substrate G in a horizontal direction in a substantially horizontal posture, and a predetermined route on the transport route of the substrate G by the substrate transport mechanism 71 in order to heat the substrate G. A plurality of panel-shaped heaters 72 and 72 ′ arranged at predetermined heights along the conveyance route at predetermined intervals, and a predetermined gap 73 provided between the plurality of heaters 72 and 72 ′. A heated gas supply device 74 for supplying gas heated to a predetermined temperature to the substrate, an intake device 75 for performing intake air from a predetermined gap 73 provided between the plurality of heaters 72 and 72 ′, and a substrate transfer mechanism 71. And an IR (infrared) heater 76 for heating the substrate G from the back surface of the substrate G to be conveyed.

基板搬送機構71は、Y方向(基板搬送方向であるX方向に垂直な方向)を長軸方向としてX方向に所定間隔で並べられた複数の円柱状のローラー部材71aと、これらのローラー部材を回転させるためのローラー駆動手段、例えばモータ71bと、を有している。図4では4個のローラー部材71aを1組として、これらをモータ71b(全てを図示せず)で回転させる構造を示しているが、このような構成に限定されるものではなく、全てのローラー部材71aにモータ71bを直結させて回転駆動させてもよく、また、1つおきや2つおきにモータ71bによって回転駆動されるものと、基板Gとの摩擦により回転するフリーなものとを組み合わせた構成としてもよい。   The substrate transport mechanism 71 includes a plurality of cylindrical roller members 71a arranged at predetermined intervals in the X direction with the Y direction (direction perpendicular to the X direction being the substrate transport direction) as the major axis direction, and these roller members. It has roller driving means for rotating, for example, a motor 71b. FIG. 4 shows a structure in which four roller members 71a are set as one set, and these are rotated by a motor 71b (all not shown). However, the present invention is not limited to such a configuration. The motor 71b may be directly connected to the member 71a and driven to rotate, or every other or every other motor 71b is rotated by a combination of a free one that rotates due to friction with the substrate G It is good also as a structure.

ローラー部材71aとしては、図5の斜視図に示すように、その長軸方向の長さが、基板Gの幅(Y方向長さ)よりも長いものを用いる。これは、基板GにおいてX方向にローラー部材71aと接触する部分と接触しない帯状の部分ができると、基板Gの熱履歴に分布が生じてしまって縞模様等の転写痕が発生してしまうので、それを防止するためである。   As the roller member 71a, as shown in the perspective view of FIG. 5, a roller member whose length in the major axis direction is longer than the width of the substrate G (length in the Y direction) is used. This is because if the substrate G has a belt-like portion that does not come into contact with the roller member 71a in the X direction, a distribution occurs in the thermal history of the substrate G, and a transfer mark such as a stripe pattern occurs. This is to prevent it.

図6にヒータ72・72′の概略構造を示す斜視図を示す。この図6に示されるように、ヒータ72・72′は共に複数の小ヒータ72a・72bから構成されている。一辺の長さが1mを超えるような大型の基板Gを熱的に処理する場合には、これと同等以上の大きさのヒータが必要となるが、そのようなヒータでは、製造コストが高くなり、熱輻射の均一性も低下する。そこで、複数の既存の小ヒータ72a・72bを連結することにより、安価にしかも各小ヒータ72a・72bごとに温度調節を行うことでヒータ72・72′からの熱輻射を均一なものとすることができる。   FIG. 6 is a perspective view showing a schematic structure of the heaters 72 and 72 ′. As shown in FIG. 6, both heaters 72 and 72 'are composed of a plurality of small heaters 72a and 72b. When a large substrate G having a length of one side exceeding 1 m is thermally processed, a heater having a size equal to or larger than this is required. However, such a heater increases the manufacturing cost. Also, the uniformity of heat radiation is reduced. Therefore, by connecting a plurality of existing small heaters 72a and 72b, the heat radiation from the heaters 72 and 72 'is made uniform by adjusting the temperature for each small heater 72a and 72b at a low cost. Can do.

このようにヒータ72を複数の小ヒータ72a・72bから構成する場合、一定の距離範囲内において小ヒータ72a・72bどうしの継ぎ目がX方向と平行にならないように、複数の小ヒータ72a・72bを連結する。小ヒータ72a・72bどうしの継ぎ目の直下では基板Gへの熱輻射が小さくなるので、この継ぎ目がX方向に長く存在すると、基板Gの熱処理均一性が悪くなり、基板Gに転写痕が発生してしまうが、継ぎ目のX方向長さを一定の範囲内とすることで、このような転写痕の発生を防止することができる。なお、「一定の範囲」は、ヒータ72・72′の設定温度やヒータ72・72′と基板Gとの間の距離(間隔)等によって、変化するので、これらの因子を考慮して、実際に基板Gに転写痕が発生することのないように設定される。ヒータ72・72′の下面と基板Gの上面との間隔は、基板Gに均一に熱輻射を行うことができるように、適宜設定すればよく、例えば、ヒータ72・72′の平均温度を120℃とした場合に、2mm以上50mm以下とすることができる。   When the heater 72 is composed of a plurality of small heaters 72a and 72b in this way, the plurality of small heaters 72a and 72b are arranged so that the joint between the small heaters 72a and 72b is not parallel to the X direction within a certain distance range. Link. The thermal radiation to the substrate G is reduced immediately below the joint between the small heaters 72a and 72b. If this joint is long in the X direction, the heat treatment uniformity of the substrate G is deteriorated, and transfer marks are generated on the substrate G. However, by setting the length of the seam in the X direction within a certain range, such transfer marks can be prevented from occurring. The “certain range” varies depending on the set temperature of the heaters 72 and 72 ′, the distance (interval) between the heaters 72 and 72 ′ and the substrate G, and so on. In addition, the transfer marks are set so as not to occur on the substrate G. The distance between the lower surface of the heaters 72 and 72 'and the upper surface of the substrate G may be set as appropriate so that the substrate G can be uniformly irradiated with heat. For example, the average temperature of the heaters 72 and 72' is set to 120. When it is set as ° C, it can be 2 mm or more and 50 mm or less.

図6では2種類のヒータ72・72′を示したが、これはY方向に小ヒータ72a・72bを並べて構成されるブロックと、Y方向に小ヒータ72aのみを並べて構成されるブロックとを、X方向で3ブロック連結した構成としたからであり、これら各ブロックを2ブロック、4ブロックの交互連結とすれば、1種類のヒータで足りる。またヒータ72・72′は、図6に示したように、異なる大きさの小ヒータを組み合わせて構成してもよいし、同じ形状の小ヒータを組み合わせて構成することもできる。ヒータ72・72′の平面形状は必ずしも、矩形(正方形や長方形等)に限定されるものではなく、例えば、Y方向端においては、凹凸があっても構わない。このような小ヒータの平面形状は矩形のものに限定されず、三角形や六角形等の多角形のものも用いてもよい。   In FIG. 6, two types of heaters 72 and 72 ′ are shown, but this includes a block configured by arranging the small heaters 72 a and 72 b in the Y direction and a block configured by arranging only the small heaters 72 a in the Y direction. This is because three blocks are connected in the X direction. If these blocks are alternately connected in two blocks and four blocks, one type of heater is sufficient. As shown in FIG. 6, the heaters 72 and 72 ′ may be configured by combining small heaters having different sizes, or may be configured by combining small heaters having the same shape. The planar shape of the heaters 72, 72 ′ is not necessarily limited to a rectangle (such as a square or a rectangle). For example, the Y direction end may be uneven. The planar shape of such a small heater is not limited to a rectangular shape, and a polygonal shape such as a triangle or a hexagon may be used.

なお、基板Gの表裏で温度差が発生すると基板Gに反りが生じるので、基板Gの表裏の温度が同程度となるように、ヒータ72・72′とIRヒータ76の出力を制御する。ヒータ72・72′から基板Gの表面までの距離と、IRヒータ76から基板Gの裏面までの距離は異なるので、基板の設定温度に対するヒータ72・72′とIRヒータ76の出力の相関関係データをレジスト塗布・現像処理システム100の制御装置に記憶させておき、基板Gの設定処理温度に応じてその相関データを基にヒータ72・72′とIRヒータ76とを制御する。   If a temperature difference occurs between the front and back surfaces of the substrate G, the substrate G is warped. Therefore, the outputs of the heaters 72 and 72 'and the IR heater 76 are controlled so that the front and back surfaces of the substrate G have the same temperature. Since the distance from the heaters 72 and 72 'to the front surface of the substrate G and the distance from the IR heater 76 to the back surface of the substrate G are different, the correlation data of the outputs of the heaters 72 and 72' and the IR heater 76 with respect to the set temperature of the substrate Is stored in the control device of the resist coating / development processing system 100, and the heaters 72, 72 'and the IR heater 76 are controlled based on the correlation data in accordance with the set processing temperature of the substrate G.

ヒータ72どうしの間に形成された隙間73は交互に、加熱ガス供給装置74から所定温度に加熱されたガスを供給するために、また、基板Gとヒータ72との間の空間からの吸気を行うために、利用される。加熱ガスを基板Gとヒータ72との間に供給することによって基板Gの加熱を促進することができ、このようにして加熱ガスを基板Gとヒータ72との間の空間に給排気を行うことによって、基板Gから発生する昇華物等を気流に乗せて、基板Gとヒータ72との間の空間から排除することができる。このような吸気系配管(つまり、吸気口(隙間73)〜吸気装置75の手前まで)に昇華物が固化しないように、吸気系配管を所定温度に加熱保持することも好ましい。   The gaps 73 formed between the heaters 72 alternately supply the gas heated to a predetermined temperature from the heating gas supply device 74, and intake air from the space between the substrate G and the heater 72. Used to do. The heating of the substrate G can be promoted by supplying the heating gas between the substrate G and the heater 72, and thus the heating gas is supplied to and exhausted from the space between the substrate G and the heater 72. Thus, the sublimate generated from the substrate G can be put on the airflow and excluded from the space between the substrate G and the heater 72. It is also preferable to heat and hold the intake system pipe at a predetermined temperature so that the sublimate does not solidify in such an intake system pipe (that is, from the intake port (gap 73) to the front of the intake device 75).

ヒータ72・72′としてX方向長さの短いものを用いて隙間73を多く形成すると、このような昇華物の排除がより容易となる。但し、その際に基板Gの加熱特性が低下しないように、ヒータ72・72′の形状および配置を考慮する必要がある。   If many gaps 73 are formed using heaters 72 and 72 'having a short length in the X direction, it becomes easier to eliminate such sublimates. However, it is necessary to consider the shape and arrangement of the heaters 72 and 72 ′ so that the heating characteristics of the substrate G do not deteriorate at that time.

加熱ガスの温度は基板Gの設定処理温度より低く、かつ、基板Gの設定処理温度とそれよりも10℃低い温度との間とすることが好ましい。加熱ガスの温度が設定処理温度よりも高いと基板Gを加熱しすぎるおそれがあり、また、基板Gの設定処理温度よりも10℃低い温度よりさらに低くなると、加熱ガスが噴射される位置(つまり、空隙73)の直下において基板Gが冷却されてしまい、基板Gに反りが生ずるおそれがある。   The temperature of the heating gas is preferably lower than the set processing temperature of the substrate G and between the set processing temperature of the substrate G and a temperature lower by 10 ° C. If the temperature of the heating gas is higher than the set processing temperature, the substrate G may be heated too much. If the temperature is further lower than the temperature lower by 10 ° C. than the setting processing temperature of the substrate G, the position where the heating gas is injected (that is, , The substrate G is cooled immediately below the gap 73), and the substrate G may be warped.

基板搬送機構71によって搬送される基板Gの裏面から基板Gを加熱するためのIR(赤外線)ヒータ76は、基板Gのみならず、ローラー部材71aを加熱するように配置することが好ましい。これによりローラー部材71aからの熱伝達および熱輻射によっても基板Gが加熱され、基板Gの乾燥時間を短縮することができる。このため、ローラー部材71aは、蓄熱性材料(例えば、セラミックス等)で構成されているものを用いることが好ましい。IRヒータ76に代えて、熱放射能を有するランプを用いてもよい。   The IR (infrared) heater 76 for heating the substrate G from the back surface of the substrate G transported by the substrate transport mechanism 71 is preferably arranged so as to heat not only the substrate G but also the roller member 71a. Thereby, the board | substrate G is heated also by the heat transfer and heat radiation from the roller member 71a, and the drying time of the board | substrate G can be shortened. For this reason, it is preferable to use what is comprised with the heat storage material (for example, ceramics etc.) for the roller member 71a. Instead of the IR heater 76, a lamp having thermal radiation may be used.

ポストベークゾーン(POB)56から基板Gを受け取り、冷却するクーリングゾーン(COL)57には、ポストベークゾーン(POB)56に設けられた基板搬送機構71が延設されており、ポストベークゾーン(POB)56から連続的に基板Gが略水平姿勢で搬送される。そして、クーリングゾーン(COL)57には、基板Gをその表面側から冷却するために基板Gの搬送ルート上の所定の高さ位置に設けられた冷却板77と、基板Gを裏面から冷却するために基板Gの裏面に冷却ガスを吹き付ける冷却ガス噴射装置78が配設されている。   A substrate transport mechanism 71 provided in the post bake zone (POB) 56 is extended to a cooling zone (COL) 57 that receives the substrate G from the post bake zone (POB) 56 and cools it. The substrate G is continuously conveyed from the POB) 56 in a substantially horizontal posture. In the cooling zone (COL) 57, a cooling plate 77 provided at a predetermined height position on the transport route of the substrate G and the substrate G are cooled from the back surface in order to cool the substrate G from the front surface side. For this purpose, a cooling gas injection device 78 for blowing cooling gas to the back surface of the substrate G is provided.

冷却板77は、その内部に冷却媒体を通すための配管が埋設されており、チラー79との間で冷媒が循環するように構成されている。冷却板77どうしの隙間から、冷却板77と基板Gとの間の空間の温まったガスを吸気する構成とすることも好ましい。   The cooling plate 77 has a pipe embedded therein for passing a cooling medium, and is configured so that the refrigerant circulates between the cooling plate 77 and the chiller 79. It is also preferable that the warmed gas in the space between the cooling plate 77 and the substrate G is sucked from the gap between the cooling plates 77.

また、冷却ガス噴射装置78としては、例えば、冷媒中に設けられた配管内に窒素ガスまたは空気を通すことでガスを冷却し、ノズル78aから基板Gに向けて吹き付ける構成のものを用いることができる。このような冷却ガスの雰囲気にさらされることによってローラー部材71を冷却し、基板Gからローラー部材71aへの熱伝達により基板Gの冷却速度を速めることも好ましい。その場合、冷却されるローラー部材71aは、熱伝導性の高い材料、例えば、金属材料で構成することが好ましい。クーリングゾーン(COL)57に配設されるローラー部材71aは、内部に冷却水を循環させて冷却する構造としてもよい。   Further, as the cooling gas injection device 78, for example, a cooling gas injection device that cools the gas by passing nitrogen gas or air through a pipe provided in the refrigerant and blows it toward the substrate G from the nozzle 78a is used. it can. It is also preferable that the roller member 71 is cooled by being exposed to such a cooling gas atmosphere, and the cooling rate of the substrate G is increased by heat transfer from the substrate G to the roller member 71a. In that case, the roller member 71a to be cooled is preferably made of a material having high thermal conductivity, for example, a metal material. The roller member 71a disposed in the cooling zone (COL) 57 may be cooled by circulating cooling water therein.

なお、ポストベークゾーン(POB)56とクーリングゾーン(COL)57とでは設定温度に大きな差があるために、図示しないシャッタにより、ポストベークゾーン(POB)56とクーリングゾーン(COL)57を簡易的に遮断する、つまり基板Gを搬送するための隙間を確保しながら両者を仕切ることも好ましい。処理ブロック11cの構成は、基板Gに熱的処理を施す他の処理ブロック11d・12cにも同様に適用することができ、処理ブロック11cのアドヒージョン処理ゾーン(AD)52では、加熱したHMDSガス等の処理ガスが基板Gに供給される。   In addition, since there is a large difference in the set temperature between the post-bake zone (POB) 56 and the cooling zone (COL) 57, the post-bake zone (POB) 56 and the cooling zone (COL) 57 are simplified by a shutter (not shown). It is also preferable to partition the two while ensuring a gap for transporting the substrate G. The configuration of the processing block 11c can be similarly applied to the other processing blocks 11d and 12c that thermally process the substrate G. In the adhesion processing zone (AD) 52 of the processing block 11c, a heated HMDS gas or the like is used. The processing gas is supplied to the substrate G.

本発明は、LCDガラス基板等の大型基板のフォトリソグラフィー工程における加熱処理と冷却処理に好適である。   The present invention is suitable for heat treatment and cooling treatment in a photolithography process of a large substrate such as an LCD glass substrate.

本発明の処理装置の一実施形態であるレジスト塗布・現像処理システムの一実施形態を示す斜視図。1 is a perspective view showing an embodiment of a resist coating / developing system that is an embodiment of a processing apparatus of the present invention. FIG. 図1記載のレジスト塗布・現像処理システムの概略構造を示す平面図。The top view which shows schematic structure of the resist application | coating / development processing system of FIG. 図1記載のレジスト塗布・現像処理システムにおける基板の搬送順路を示した説明図。FIG. 2 is an explanatory diagram showing a substrate transfer route in the resist coating / developing system shown in FIG. 1. ポストベークゾーン(POB)とクーリングゾーン(COL)の概略構造を示す側面図。The side view which shows schematic structure of a post-baking zone (POB) and a cooling zone (COL). ローラー部材の概略形状を示す斜視図。The perspective view which shows the schematic shape of a roller member. ポストベークゾーン(POB)に配置されるヒータの概略構造を示す斜視図。The perspective view which shows schematic structure of the heater arrange | positioned at a post-baking zone (POB).

符号の説明Explanation of symbols

1;第1処理部
2;第2処理部
3;第1搬送部
4;第2搬送部
5;インターフェース部
6;容器搬入出部
11a〜11d・12a〜12d;処理ブロック
56;ポストベークゾーン(POB)
57;クーリングゾーン(COL)
7l;基板搬送機構
71a;ローラー部材
72;ヒータ
74;加熱ガス供給装置
75;吸気装置
76;IR(赤外線)ヒータ
77;冷却板
78;冷却ガス噴射装置
100;レジスト塗布・現像処理システム
G;基板(LCD基板)
DESCRIPTION OF SYMBOLS 1; 1st processing part 2; 2nd processing part 3; 1st conveyance part 4; 2nd conveyance part 5; Interface part 6; Container carry-in / out part 11a-11d * 12a-12d; Processing block 56; POB)
57; Cooling zone (COL)
7l; Substrate transport mechanism 71a; Roller member 72; Heater 74; Heated gas supply device 75; Intake device 76; IR (infrared) heater 77; Cooling plate 78; Cooling gas injection device 100; Resist coating / development processing system G; (LCD board)

Claims (8)

基板を略水平姿勢で水平方向に搬送しながら熱的処理する熱的処理装置であって、
基板を水平方向に搬送するための基板搬送機構と、
基板を加熱するために、前記基板搬送機構による基板の搬送ルート上の所定の高さ位置に当該搬送ルートに沿って所定間隔で隙間を設けながら配置された複数のパネル形状のヒータと、
を具備し、
前記ヒータはそれぞれ複数の小ヒータから構成され、前記複数の小ヒータの継ぎ目に起因して基板に転写痕が発生することを防止するために、前記複数の小ヒータはその継ぎ目が一定の距離範囲内において基板搬送方向と平行にならないように連結されていることを特徴とする熱的処理装置。
A thermal processing apparatus for performing thermal processing while conveying a substrate in a horizontal direction in a substantially horizontal posture,
A substrate transport mechanism for transporting the substrate in a horizontal direction;
In order to heat the substrate, a plurality of panel-shaped heaters arranged at predetermined intervals along the transfer route at predetermined height positions on the transfer route of the substrate by the substrate transfer mechanism,
Comprising
Each of the heaters is composed of a plurality of small heaters, and in order to prevent transfer marks from being generated on the substrate due to the joints of the plurality of small heaters, the joints of the plurality of small heaters have a certain distance range. The thermal processing apparatus is connected so as not to be parallel to the substrate transport direction.
前記ヒータはそれぞれ、大きさの異なる複数種の小ヒータを複数組み合わせて構成されていることを特徴とする請求項1に記載の熱的処理装置。   The thermal processing apparatus according to claim 1, wherein each of the heaters is configured by combining a plurality of types of small heaters having different sizes. 前記複数のヒータ間に設けられた隙間へ所定温度に加熱されたガスを供給する加熱ガス供給装置と、前記複数のヒータ間に設けられた隙間から吸気を行うための吸気装置と、をさらに具備し、
前記加熱ガス供給装置からのガス供給ポイントと、前記吸気装置からの吸気ポイントは、基板搬送方向に沿って存在する前記複数のヒータ間の隙間に交互に設けられていることを特徴とする請求項1または請求項2に記載の熱的処理装置。
A heating gas supply device that supplies a gas heated to a predetermined temperature to a gap provided between the plurality of heaters; and an intake device for performing intake air from the gap provided between the plurality of heaters. And
The gas supply point from the heating gas supply device and the intake point from the intake device are alternately provided in a gap between the plurality of heaters existing along the substrate transfer direction. The thermal processing apparatus of Claim 1 or Claim 2.
前記基板搬送機構は、基板搬送方向に垂直な方向を長軸方向として基板搬送方向に所定間隔で並べられた複数の円柱状のローラー部材と、前記複数のローラー部材を回転させるためのローラー駆動手段と、を有し、
さらに、前記基板搬送機構によって搬送される基板の裏面から当該基板を加熱するためのIRヒータまたは熱放射能を有するランプを具備し、
前記IRヒータまたは前記ランプによって前記ローラー部材が加熱され、前記ローラー部材から基板への熱伝達によっても当該基板が加熱されることを特徴とする請求項1から請求項3のいずれか1項に記載の熱的処理装置。
The substrate transport mechanism includes a plurality of cylindrical roller members arranged at predetermined intervals in the substrate transport direction with a direction perpendicular to the substrate transport direction as a major axis direction, and roller driving means for rotating the plurality of roller members And having
Furthermore, it comprises an IR heater for heating the substrate from the back surface of the substrate transported by the substrate transport mechanism or a lamp having thermal radiation,
The said roller member is heated by the said IR heater or the said lamp | ramp, The said board | substrate is heated also by the heat transfer from the said roller member to a board | substrate, The Claim 1 characterized by the above-mentioned. Thermal processing equipment.
前記IRヒータまたは前記ランプによって加熱されるローラー部材は、蓄熱性材料から構成されていることを特徴とする請求項4に記載の熱的処理装置。   The thermal processing apparatus according to claim 4, wherein the roller member heated by the IR heater or the lamp is made of a heat storage material. さらに、前記ヒータにより加熱された基板を冷却するために、当該基板の搬送ルート上の所定の高さ位置に設けられた冷却板を具備することを特徴とする請求項1から請求項5のいずれか1項に記載の熱的処理装置。   Furthermore, in order to cool the board | substrate heated by the said heater, the cooling plate provided in the predetermined height position on the conveyance route | root of the said board | substrate is provided, The any one of Claim 1-5 characterized by the above-mentioned. The thermal processing apparatus of Claim 1. さらに、前記基板搬送機構によって搬送される基板の裏面から当該基板を冷却するために前記基板の裏面に冷却ガスを吹き付けるための冷却ガス噴射装置を具備することを特徴とする請求項1から請求項5のいずれか1項に記載の熱的処理装置。   2. The apparatus according to claim 1, further comprising a cooling gas injection device for spraying a cooling gas to the back surface of the substrate to cool the substrate from the back surface of the substrate transported by the substrate transport mechanism. The thermal processing apparatus according to any one of 5. 前記冷却ガスの雰囲気にさらされることによって冷却されるローラー部材は、熱伝導性の高い材料で構成されていることを特徴とする請求項7に記載の熱的処理装置。   The thermal processing apparatus according to claim 7, wherein the roller member cooled by being exposed to the atmosphere of the cooling gas is made of a material having high thermal conductivity.
JP2005055944A 2005-03-01 2005-03-01 Heat-treating apparatus Pending JP2006245110A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2005055944A JP2006245110A (en) 2005-03-01 2005-03-01 Heat-treating apparatus
TW095106655A TWI295415B (en) 2005-03-01 2006-02-27 Thermal processing unit
KR1020060019378A KR101237092B1 (en) 2005-03-01 2006-02-28 Thermal processing unit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005055944A JP2006245110A (en) 2005-03-01 2005-03-01 Heat-treating apparatus

Publications (2)

Publication Number Publication Date
JP2006245110A true JP2006245110A (en) 2006-09-14
JP2006245110A5 JP2006245110A5 (en) 2007-04-19

Family

ID=37051248

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005055944A Pending JP2006245110A (en) 2005-03-01 2005-03-01 Heat-treating apparatus

Country Status (3)

Country Link
JP (1) JP2006245110A (en)
KR (1) KR101237092B1 (en)
TW (1) TWI295415B (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008160011A (en) * 2006-12-26 2008-07-10 Tokyo Electron Ltd Substrate treating equipment
JP2008172104A (en) * 2007-01-12 2008-07-24 Tokyo Electron Ltd Reflow processing system and reflow processing method
JP2008218593A (en) * 2007-03-02 2008-09-18 Tokyo Electron Ltd Substrate-treating apparatus
JP2008224192A (en) * 2007-03-15 2008-09-25 Koyo Thermo System Kk Continuous type kiln
JP2008311250A (en) * 2007-06-12 2008-12-25 Tokyo Electron Ltd Reflow system and reflow method
JP2011066318A (en) * 2009-09-18 2011-03-31 Tokyo Electron Ltd Heat processing apparatus
WO2011148716A1 (en) * 2010-05-25 2011-12-01 シャープ株式会社 Bake device
JP2018029137A (en) * 2016-08-18 2018-02-22 株式会社アルバック Conveying device

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100811695B1 (en) * 2006-11-28 2008-03-11 엔티엠 주식회사 Apparatus for drying substrate
KR101052758B1 (en) * 2008-11-18 2011-08-01 세메스 주식회사 Flat panel display device manufacturing device
KR102410492B1 (en) * 2015-07-23 2022-06-20 삼성디스플레이 주식회사 Glass molding apparatus

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09237965A (en) * 1996-02-29 1997-09-09 Furukawa Electric Co Ltd:The Reflow furnace
JP3756402B2 (en) * 2000-12-08 2006-03-15 富士写真フイルム株式会社 Substrate transfer apparatus and method
JP2003332727A (en) * 2002-05-15 2003-11-21 Sony Corp Heat shielding member and reflow apparatus

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008160011A (en) * 2006-12-26 2008-07-10 Tokyo Electron Ltd Substrate treating equipment
JP2008172104A (en) * 2007-01-12 2008-07-24 Tokyo Electron Ltd Reflow processing system and reflow processing method
JP2008218593A (en) * 2007-03-02 2008-09-18 Tokyo Electron Ltd Substrate-treating apparatus
JP2008224192A (en) * 2007-03-15 2008-09-25 Koyo Thermo System Kk Continuous type kiln
JP2008311250A (en) * 2007-06-12 2008-12-25 Tokyo Electron Ltd Reflow system and reflow method
JP2011066318A (en) * 2009-09-18 2011-03-31 Tokyo Electron Ltd Heat processing apparatus
WO2011148716A1 (en) * 2010-05-25 2011-12-01 シャープ株式会社 Bake device
JP2018029137A (en) * 2016-08-18 2018-02-22 株式会社アルバック Conveying device

Also Published As

Publication number Publication date
KR101237092B1 (en) 2013-02-25
KR20060096903A (en) 2006-09-13
TW200641553A (en) 2006-12-01
TWI295415B (en) 2008-04-01

Similar Documents

Publication Publication Date Title
JP2006245110A (en) Heat-treating apparatus
JP4542577B2 (en) Normal pressure drying apparatus, substrate processing apparatus, and substrate processing method
JP4592787B2 (en) Substrate processing equipment
JP4384685B2 (en) Normal pressure drying apparatus, substrate processing apparatus, and substrate processing method
JP4384686B2 (en) Normal pressure drying apparatus, substrate processing apparatus, and substrate processing method
JP4341978B2 (en) Substrate processing equipment
CN100454482C (en) Heat treatment unit, heat treatiment method, control program and computer-readable recording medium
JP2009290207A (en) Substrate processing apparatus and method used for manufacture of flat panel display
JP4407971B2 (en) Substrate processing equipment
JP4638931B2 (en) Substrate processing equipment
JP2008160011A (en) Substrate treating equipment
JP4813583B2 (en) Substrate processing equipment
JP4804332B2 (en) Baking apparatus and substrate processing apparatus
JP4967013B2 (en) SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, AND RECORDING MEDIUM RECORDING PROGRAM FOR EXECUTING THE SUBSTRATE PROCESSING METHOD
JP2011103422A (en) Substrate processing apparatus
JP4954642B2 (en) Development processing apparatus and development processing method
JP4028351B2 (en) Baking method and baking apparatus
JP7405889B2 (en) Substrate processing equipment and substrate processing method
JP4897035B2 (en) SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, AND RECORDING MEDIUM RECORDING PROGRAM FOR EXECUTING THE SUBSTRATE PROCESSING METHOD
TWI833460B (en) Substrate processing apparatus and substrate processing method
JP2004022992A (en) Method and apparatus for heat treatment
JP2004200574A (en) Heat treatment device
TW202336822A (en) Substrate processing apparatus and substrate processing method
JP4796040B2 (en) Substrate processing apparatus, substrate processing method, and substrate manufacturing method
JP2000068187A (en) Apparatus and method of heat-treating substrate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061113

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090811

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091009

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091124

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110602

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110602