JP2006209600A - 集積回路のシミュレーション装置と設計方法 - Google Patents

集積回路のシミュレーション装置と設計方法 Download PDF

Info

Publication number
JP2006209600A
JP2006209600A JP2005023043A JP2005023043A JP2006209600A JP 2006209600 A JP2006209600 A JP 2006209600A JP 2005023043 A JP2005023043 A JP 2005023043A JP 2005023043 A JP2005023043 A JP 2005023043A JP 2006209600 A JP2006209600 A JP 2006209600A
Authority
JP
Japan
Prior art keywords
integrated circuit
path
circuit
information
netlist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005023043A
Other languages
English (en)
Inventor
Takashi Sumikawa
敬 隅川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2005023043A priority Critical patent/JP2006209600A/ja
Priority to US11/341,652 priority patent/US20060173667A1/en
Publication of JP2006209600A publication Critical patent/JP2006209600A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

【課題】集積回路のパスの回路構成およびパスの情報に依存して、例えばパスのゲート段数、ファンアウト数、セル構成などの影響により遅延ばらつき分布に違いが生じる。
【解決手段】複数の同期回路セルを有する集積回路における同期回路セルどうし間の経路中の特定のパスを対象として、パスのネットリストを格納する第1の記憶手段と、トランジスタのゲート長、ゲート幅等に関するばらつき情報をネットリストに付加する第1の入力手段と、ネットリストにばらつき情報を付加した結果のばらつきネットリストを格納する第2の記憶手段と、ばらつきネットリストを用いてシミュレーションを実行し遅延ばらつき分布を算出する実行手段と、パスの回路情報を与える第2の入力手段と、遅延ばらつき分布と回路情報とに基づいて回路の設計マージンを設定し出力する出力手段とを備える。
【選択図】図1

Description

本発明は、半導体集積回路の設計マージンを設定するシミュレーション装置およびシミュレーションを用いて行う集積回路の設計方法に関する。
従来、半導体集積回路の設計、解析を行うために回路シミュレーションが用いられている。ところで、集積回路の性能の向上を目的とした微細化の進展により、トランジスタのデバイス長が短くなってきている。集積回路の製造工程では、製造条件にゆらぎが発生する。このゆらぎが回路素子の形状や物理的な条件に影響を与えるので、集積回路の特性のばらつきは避けることができない。集積回路の微細化により、回路特性のばらつきは次第に大きくなってきている。集積回路の開発、設計段階では、この製造工程に起因するばらつきを考慮した回路シミュレーションを行い、回路の動作検証をしたり、適切な設計マージンを設定したりすることが重要である。
製造工程に起因するばらつきを考慮したシミュレーション方法として、モンテカルロシミュレーションを用いることが多い。モンテカルロシミュレーションは、ばらつきを確率的に表現し、乱数に置き換えることで、複数回のシミュレーションを行う方法であり、シミュレーションに用いるコーナーパラメータの作成やパラメータ間の相関を考慮する場合などに用いられる。
従来の統計的なシミュレーションは、複数のパラメータの値を組み合わせたサンプリング点を離散的に複数設定し、半導体物理に関する方程式を解くことによってサンプリング点のそれぞれにおける集積回路の特性を算出し、その結果を応答曲面法(RSM:Response Surface Methodology)の利用により補間し、任意のサンプリング点における特性を予測している(例えば、特許文献1参照)。
また、N型およびP型半導体装置のイオン注入濃度の値とゲート長の値をそれぞれ独立に求め、シミュレーションを行って飽和電流値を算出し、飽和電流値の散布図に基づいてシミュレーション用のパラメータを抽出しているものもある(例えば、特許文献2参照)。
特開平10−56167号公報(第3−5頁、第1−2図) 特開2002−305253号公報(第4−5頁、第1,5図)
しかし、上記の統計的なシミュレーションの場合、集積回路の微細化がさらに進展すると、製造工程に起因するばらつきに対して最悪条件下でも動作するタイミング設計マージンの設定が望まれる。
そこで、本発明者は、集積回路の設計マージンを設定する回路シミュレーションについて、シミュレーションに用いる回路などの影響を詳細に検討した。集積回路には多くの種類があり、その種類ごとにセル構成が異なるので、一意的に集積回路の設計マージンを設定することは困難である。例えば、図12に示す集積回路のように、同図(a)と同図(b)では回路のセル構成が異なる。同図(a)は、回路がすべて同一種類のセルで構成されたものである。同図(b)は、回路が複数種類のセルで構成されたものである。各セル間では、製造条件に起因して生じるばらつき以外に、セルのレイアウト形状に依存して生じるばらつきが存在する。したがって、複数種類のセルによって集積回路を形成した場合には、同一種類のセルによって形成した場合に比べて、集積回路の特性変動が大きく異なることが分かった。
本発明は、このような事情に鑑みて創作したものであり、半導体集積回路の特徴を分析し、設計マージンを適切に設定できるようにすることを目的としている。
上記課題を解決するために本発明は、回路が複数種類のセルで構成されていても、その回路の構成情報をあらかじめ入力することを特徴とする。
すなわち、本発明による集積回路のシミュレーション装置は、複数の同期回路セル(フリップフロップなど)を有する集積回路のシミュレーションを実行する装置であって、
前記同期回路セルどうし間の経路中の特定のパスを対象として、前記パスのネットリストを格納する第1の記憶手段と、
トランジスタのゲート長、ゲート幅等に関するばらつき情報を前記第1の記憶手段からの前記ネットリストに付加する第1の入力手段と、
前記第1の記憶手段からの前記ネットリストに前記第1の入力手段からの前記ばらつき情報を付加した結果のばらつきネットリストを格納する第2の記憶手段と、
前記第2の記憶手段からの前記ばらつきネットリストを用いてシミュレーションを実行し遅延ばらつき分布を算出する実行手段と、
前記パスの回路情報を与える第2の入力手段と、
前記実行手段による前記遅延ばらつき分布と前記第2の入力手段からの前記回路情報とに基づいて回路の設計マージンを設定し出力する出力手段とを備えたものである。
この構成によれば、セル間経路中の特定のパスに応じて設計マージンを適切に設定できるとともに、そのパスに対応する回路情報の入力によって設計マージンの高精度化を図ることができる。また、設計マージンと回路情報との関係を明確にできるので、集積回路の設計効率を高めることができる。
上記の構成において、前記の特定のパスについては、次のようないくつかの態様がある。
すなわち、前記特定のパスとして、前記同期回路セルどうし間の経路中で遅延が最大のクリティカルパスを対象とする場合がある。この場合、クリティカルパスを対象とすることにより、設計マージンを最適化して設定することができる。
また、前記特定のパスとして、実際の回路ブロックにおける任意の1つのパスを対象とする場合がある。この場合、任意の1つのパスとして遅延ばらつきの大きいパスを選択することにより、設計マージンが過剰もしくは過小にならないように、高精度な設計マージンを設定することができる。
また、前記特定のパスとして、実際の回路ブロックにおける任意の複数のパスを対象とする場合がある。この場合、任意の複数のパスを選択することにより、パスによる遅延ばらつきの違いを考慮して設計マージンを設定することができる。
また、特定のパスとして実際の回路ブロックにおける任意の複数のパスを対象とする場合において、前記出力手段については、前記任意の複数のパスそれぞれの設計マージンの値を平均して算出した値を集積回路の設計マージンとして設定することが好ましい。
また、特定のパスとして実際の回路ブロックにおける任意の複数のパスを対象とする場合において、前記出力手段については、前記任意の複数のパスそれぞれの設計マージンの値の中で最大の値を集積回路の設計マージンとして設定することが好ましい。
また、上記構成において、前記第1の記憶手段については、互いに異なる複数種類のセルから構成されたモデル回路のネットリストを格納するように構成されていることは好ましい。この場合、集積回路のパスの情報が十分に揃っていない開発早期の段階でも設計マージンを設定することができる。
また、上記構成において、さらに、実測による遅延ばらつき分布を入力する第3の入力手段を有し、前記シミュレーションの実行により算出した遅延ばらつき分布と前記実測による遅延ばらつき分布を比較することにより前記設計マージンを設定するという構成も好ましい。この場合、設計マージンをより高精度に設定することができる。
さらに、前記実測による遅延ばらつき分布に対して前記シミュレーションによる遅延ばらつき分布が一致するように、トランジスタのゲート長、ゲート幅等に関するばらつき情報を補正することが好ましい。これにより、設計マージンをさらに高精度にすることができる。
また、前記第2の入力手段については、シミュレーションを実行するパスの回路情報とは異なる任意の回路情報を入力するように構成してもよい。この場合、パス情報としてシミュレーションに用いる集積回路そのものの情報を入力する場合と比較し、任意のパス情報を入力することで、ゲート段数、ファンアウト、配線ピッチなどの影響を考慮しつつ、設計マージンを設定することができる。
なお、前記同期回路セルとしてはフリップフロップを対象とする場合が多い。
本発明による集積回路の設計方法は、複数の同期回路セルを有する集積回路の設計方法であって、前記集積回路のネットリストを作成し、トランジスタのゲート長、ゲート幅等に関するばらつき情報を用いて、前記ネットリストからばらつきネットリストを作成し、回路シミュレーションの実行により遅延ばらつき分布を求め、前記集積回路に関するパス情報を用いて設計マージンを設定し、集積回路の設計を行うことを特徴とするものである。これによれば、設計マージンの設定に用いるパスの情報が特定されるので、設計マージンは従来よりも適切に設定される。
以上説明したように、本発明による集積回路のシミュレーション装置と設計方法では、パスの相違による設計マージンを適切に設定できるとともに、回路情報の入力によって、設計マージンの高精度化を図ることができる。また、設計マージンと回路情報との関係を明確にできるので、集積回路の設計時の効果は大きい。
以下、本発明にかかわる集積回路のシミュレーション装置の実施の形態を図面を参照しながら説明する。
(実施の形態1)
図1は、本発明の実施の形態1における集積回路のシミュレーション装置の構成を示すブロック図である。シミュレーション装置00は、記憶手段01、入力手段02、実行手段03、出力手段04の4つの部分から構成されている。
まず、シミュレーション装置00に入力する集積回路の情報として、回路のフリップフロップとフリップフロップの経路の中で最も遅延が大きいパスすなわちクリティカルパスを選択する(ステップS11)。そして、ステップS11で選択したパスのネットリストを作成し(ステップS12)、記憶手段01にそのネットリストを格納する(ステップS13:第1の記憶手段)。
次に、記憶手段01に格納されているネットリストを取り出す。一方、入力手段02では、トランジスタのゲート長、ゲート幅等に関するばらつき情報を入力する(ステップS14:第1の入力手段)。ここで、トランジスタのばらつき情報は、ゲート長、ゲート幅に限定されるものではなく、酸化膜厚や、内部抵抗、内部容量などを用いてもよい。
図2は、図1に示した集積回路の入力手段02のトランジスタばらつき情報入力手段(S14)の入力画面を示す。同図では、トランジスタのゲート長L、ゲート幅W、酸化膜厚Toxを例に、中心値からのばらつき幅をそれぞれΔL,ΔW,ΔToxとして表している。ΔL,ΔW,ΔToxにそれぞれのばらつき幅の値を入力する。
そして、ステップS13で格納したネットリストと、ステップS14で入力したトランジスタのばらつき情報を用いて、パスのネットリストにトランジスタのゲート長、ゲート幅等に関するばらつき情報が付加されたパスのばらつきネットリストを作成し、記憶手段01にパスのばらつきネットリストを格納する(ステップS15:第2の記憶手段)。
続いて、記憶手段01に格納されているパスのばらつきネットリストを用いて、実行手段03で回路シミュレーションを実行し(ステップS16)、遅延ばらつき分布を算出する(ステップS17)。一方、入力手段02では、パスの情報を入力する(ステップS18:第2の入力手段)。
図3は、図1に示した集積回路の実行手段03の遅延ばらつき分布図を示す。同図では、遅延ばらつきの平均値をμとして表し、遅延ばらつき幅をxとして表している。ここで、xの値はいかなる基準で設定してもよく、例えば、遅延ばらつき分布の標準偏差の値を用いてもよい。
図4は、図1に示した集積回路の入力手段02のパス情報入力手段(S18)の入力画面を示す。同図では、パスのゲート段数、ファンアウト、配線ピッチ、敷き詰め率を入力する。ここで、パス情報を入力する変数は、ゲート段数、ファンアウト、配線ピッチ、敷き詰め率に限定されず、回路におけるセルの使用頻度など用いてもよい。パス情報はステップS11で選択したパスに基づくもので、一意に決まる。パス情報を入力することによって、各変数が設計マージンへ与える効果を定量的に表すことができる。
そして、ステップS17で算出した遅延ばらつき分布と、ステップS18で入力したパス情報を用いて、設計マージンを設定し、出力手段04で設計マージンを出力する(ステップS19)。ここで、ステップS19で出力する設計マージンは、遅延ばらつき分布から求めることにしているが、電源電圧、温度などのばらつき分布を加えて、設計マージンを設定してもよい。
本実施の形態によれば、セル間経路中の特定のパスであるクリティカルパスに応じて設計マージンを最適化して設定できるとともに、そのパスに対応する回路情報の入力によって設計マージンの高精度化を図ることができる。また、設計マージンと回路情報との関係を明確にできるので、集積回路の設計効率を高めることができる。
(実施の形態2)
次に、本発明の実施の形態2における集積回路のシミュレーション装置を説明する。
上記実施の形態1では、シミュレーションに用いる集積回路の情報として、フリップフロップどうし間の経路中で遅延が最大のクリティカルパスを用いた。これに対して本実施の形態では、実際の回路ブロックの任意の1つのパスのネットリストを用い、パスによる遅延ばらつきへの影響を考慮して設計マージンを設定するものである。
図5は、本発明の実施の形態2における集積回路のシミュレーション装置の構成を示すブロック図である。図5において、実施の形態1の図におけるのと同じ符号は同一構成要素を指しているので、詳しい説明は省略する。シミュレーション装置00に入力する集積回路の情報として、実際の回路ブロックから任意の1つのパスを選択する(ステップS11a)。例えば、実際の回路ブロックの任意の1つのパスに、遅延ばらつきの大きいパスを選択することにより、設計マージンが過剰、もしくは、過小にならないように、高精度な設計マージンを設定することができる。
(実施の形態3)
次に、本発明の実施の形態3における集積回路のシミュレーション装置を説明する。
上記実施の形態2では、シミュレーションに用いる集積回路の情報として、実際の回路ブロックの任意の1つのパスを用いた。これに対して本実施の形態では、実際の回路ブロックの任意の複数のパスのネットリストを用い、複数のパスによる遅延ばらつきへの影響を考慮して、設計マージンを設定するものである。
図6は、本発明の実施の形態3における集積回路のシミュレーション装置の構成を示すブロック図である。図6において、実施の形態1の図におけるのと同じ符号は同一構成要素を指しているので、詳しい説明は省略する。シミュレーション装置00に入力する集積回路の情報として、実際の回路ブロックの任意の複数のパスを選択する(ステップS11b)。
図7は、図6に示した集積回路の実行手段03の遅延ばらつき分布図を示す。同図にパスP1,P2,P3それぞれの遅延ばらつき分布を示している。パスP1,P2,P3それぞれの遅延ばらつき幅をX,Y,Z(X≠Y≠Z)とする。ここで、X,Y,Zは、すべて同じ基準で設定されたものである。また、パスの数はいくつあってもよい。出力手段04で設計マージンを設定するとき、パスによる遅延ばらつきの違いを平均して用いてもよいし、パスの遅延ばらつきの最大のものを用いてもよい。
本実施の形態によれば、実際の回路ブロックの任意の複数のパスを選択することにより、パスによる遅延ばらつきの違いを考慮して設計マージンを設定することができる。
(実施の形態4)
次に、本発明の実施の形態4における集積回路のシミュレーション装置を説明する。
上記実施の形態3では、シミュレーションに用いる集積回路の情報として、実際の回路ブロックの任意の複数のパスを用いた。これに対して本実施の形態では、互いに異なる複数種類のセルから構成されたモデル回路を用い、設計マージンを設定するものである。
図8は、本発明の実施の形態4における集積回路のシミュレーション装置の構成を示すブロック図である。図8において、実施の形態1の図におけるのと同じ符号は同一構成要素を指しているので、詳しい説明は省略する。シミュレーション装置00に入力する集積回路の情報として、互いに異なる複数種類のセルから構成されたモデル回路を選択する(ステップS11c)。
本実施の形態によれば、集積回路のパスの情報が十分に揃っていない開発早期の段階でも設計マージンを設定することができる。
(実施の形態5)
次に、本発明の実施の形態5における集積回路のシミュレーション装置を説明する。
上記実施の形態4では、シミュレーションに用いる集積回路の情報として、互いに異なる複数種類のセルから構成されたモデル回路を用いた。これに対して本実施の形態では、シミュレーションにより算出した遅延ばらつき分布と実測による遅延ばらつき分布を比較することにより、設計マージンを設定するものである。
図9は、本発明の実施の形態5における集積回路のシミュレーション装置の構成を示すブロック図である。図9において、実施の形態1の図におけるのと同じ符号は同一構成要素を指しているので、詳しい説明は省略する。入力手段02に、集積回路のパスの実測による遅延ばらつき分布を入力する(ステップS20:第3の入力手段)。
そして、ステップS17で算出したシミュレーションによる遅延ばらつき分布と、ステップS20の実測による遅延ばらつき分布と、ステップS18で入力したパス情報を用いて設計マージンを設定し、出力手段04で設計マージンを出力する(ステップS19)。ここで、シミュレーションによる遅延ばらつき分布と実測による遅延ばらつき分布を比較し、シミュレーションと実測の遅延ばらつき誤差を考慮しつつ設計マージンを設定する。
なお、上記とは別に、実測による遅延ばらつき分布に対してシミュレーションによる遅延ばらつき分布が一致するように、入力手段02に入力するトランジスタのゲート長、ゲート幅等に関する情報を補正することで設計マージンを設定してもよい。
本実施の形態によれば、設計マージンをより高精度に設定することができる。
(実施の形態6)
次に、本発明の実施の形態6における集積回路のシミュレーション装置を説明する。
上記実施の形態1〜5では、シミュレーションに用いる集積回路のパス情報として、シミュレーションに用いる集積回路そのものの情報を用いた。これに対して本実施の形態では、任意にパス情報を入力するものである。
図10は、本発明の実施の形態6における集積回路のシミュレーション装置の構成を示すブロック図である。図10において、実施の形態1の図におけるのと同じ符号は同一構成要素を指しているので、詳しい説明は省略する。入力手段02に任意のパス情報を入力する(ステップS18a)。上記実施の形態1〜5で、パス情報としてシミュレーションに用いる集積回路そのものの情報を入力する場合と比較し、任意のパス情報を入力することで、図4に示すゲート段数、ファンアウト、配線ピッチなどの影響を考慮しつつ、設計マージンを設定することができる。
(実施の形態7)
次に、本発明の実施の形態7における集積回路の設計方法を説明する。上記実施の形態1〜6では、設計マージンを設定するための集積回路のシミュレーション装置について説明したが、本実施の形態は、集積回路の設計に設計マージンを利用するものである。
図11は、本発明の実施の形態における集積回路の設計方法の手順を示すフローチャートである。
まず、集積回路の設計を行う回路を用意する(ステップS31)。また、集積回路のネットリストを作成する(ステップS32)。また、集積回路に関するパス情報のデータベースを作成する(ステップS33)。ここで、パス情報は、ゲート段数、ファンアウト、配線ピッチなどである。
一方、トランジスタのゲート長、ゲート幅等に関するばらつき情報のデータベースを作成する(ステップS34)。ここで、トランジスタのばらつき情報は、ゲート長、ゲート幅に限定されるものではなく、酸化膜厚や、内部抵抗、内部容量などを用いてもよい。
次に、ステップS32で作成したネットリストと、ステップS34で作成したトランジスタのゲート長、ゲート幅等に関するばらつき情報のデータベースを用いてばらつきネットリストを作成する(ステップS35)。
次に、ステップS35で作成したばらつきネットリストを用いて回路シミュレーションを実行する(ステップS36)。
次いで、実行結果から遅延ばらつき分布を求める(ステップS37)。
続いて、ステップS33で作成したパス情報のデータベースと、ステップS37で求めた遅延ばらつき分布を用いて、設計マージンを設定する(ステップS38)。
最後に、ステップS38で設定した設計マージンを用いて、集積回路の設計を行う(ステップS39)。
出力した設計マージンの値をA、集積回路の動作目標とする周波数の値をF[Hz]とすれば、A×F[Hz]で動作するように集積回路のタイミング設計を行う。ここで、ステップS38で設定する設計マージンは、集積回路の動作周波数に対して適用するだけでなく、消費電力、面積などの性能指標に適用してもよい。
本実施の形態によれば、設計マージン設定に用いるパスの情報が特定されるので、設計マージンを従来よりも適切に設定することができる。
以上説明したように、集積回路のパス情報を考慮して設計マージンを設定する本発明のシミュレーション装置は、EDA(Electronic Design Automation)ツールの開発やスタンダードセルのライブラリ開発等に適用され、そのようなスタンダードセルを複数用いて高性能な半導体集積回路を作製する場合などに有用である。
本発明の実施の形態1における集積回路のシミュレーション装置の構成を示すブロック図 本発明の実施の形態1においてトランジスタばらつき情報入力手段の入力画面を表した図 本発明の実施の形態1においてシミュレーション実行手段の遅延ばらつき分布を表した図 本発明の実施の形態1においてパス情報入力手段の入力画面を表した図 本発明の実施の形態2における集積回路のシミュレーション装置の構成を示すブロック図 本発明の実施の形態3における集積回路のシミュレーション装置の構成を示すブロック図 本発明の実施の形態3においてシミュレーション実行手段の遅延ばらつき分布を表した図 本発明の実施の形態4における集積回路のシミュレーション装置の構成を示すブロック図 本発明の実施の形態5における集積回路のシミュレーション装置の構成を示すブロック図 本発明の実施の形態6における集積回路のシミュレーション装置の構成を示すブロック図 本発明の実施の形態7の集積回路の設計方法の手順を示すフローチャート (a)はすべて同一種類のセルで回路が構成されていることを示した図、(b)は複数種類のセルで回路が構成されていることを示した図
符号の説明
00 シミュレーション装置
01 記憶手段
02 入力手段
03 実行手段
04 出力手段

Claims (12)

  1. 複数の同期回路セルを有する集積回路における同期回路セルどうし間の経路中の特定のパスを対象として、前記パスのネットリストを格納する第1の記憶手段と、
    トランジスタのゲート長、ゲート幅等に関するばらつき情報を前記第1の記憶手段からの前記ネットリストに付加する第1の入力手段と、
    前記第1の記憶手段からの前記ネットリストに前記第1の入力手段からの前記ばらつき情報を付加した結果のばらつきネットリストを格納する第2の記憶手段と、
    前記第2の記憶手段からの前記ばらつきネットリストを用いてシミュレーションを実行し遅延ばらつき分布を算出する実行手段と、
    前記パスの回路情報を与える第2の入力手段と、
    前記実行手段による前記遅延ばらつき分布と前記第2の入力手段からの前記回路情報とに基づいて回路の設計マージンを設定し出力する出力手段とを備えた集積回路のシミュレーション装置。
  2. 前記特定のパスとして、前記同期回路セルどうし間の経路中で遅延が最大のクリティカルパスを対象とする請求項1に記載の集積回路のシミュレーション装置。
  3. 前記特定のパスとして、実際の回路ブロックにおける任意の1つのパスを対象とする請求項1に記載の集積回路のシミュレーション装置。
  4. 前記特定のパスとして、実際の回路ブロックにおける任意の複数のパスを対象とする請求項1に記載の集積回路のシミュレーション装置。
  5. 前記出力手段は、前記任意の複数のパスそれぞれの設計マージンの値を平均して算出した値を集積回路の設計マージンとして設定する請求項4に記載の集積回路のシミュレーション装置。
  6. 前記出力手段は、前記任意の複数のパスそれぞれの設計マージンの値の中で最大の値を集積回路の設計マージンとして設定する請求項4に記載の集積回路のシミュレーション装置。
  7. 前記第1の記憶手段は、互いに異なる複数種類のセルから構成されたモデル回路のネットリストを格納する請求項1に記載の集積回路のシミュレーション装置。
  8. さらに、実測による遅延ばらつき分布を入力する第3の入力手段を有し、前記シミュレーションの実行により算出した遅延ばらつき分布と前記実測による遅延ばらつき分布を比較することにより前記設計マージンを設定する請求項1から請求項7までのいずれかに記載の集積回路のシミュレーション装置。
  9. 前記実測による遅延ばらつき分布に対して前記シミュレーションによる遅延ばらつき分布が一致するように、トランジスタのゲート長、ゲート幅等に関するばらつき情報を補正する請求項8に記載の集積回路のシミュレーション装置。
  10. 前記第2の入力手段は、シミュレーションを実行するパスの回路情報とは異なる任意の回路情報を入力する請求項1に記載の集積回路のシミュレーション装置。
  11. 前記同期回路セルとしてフリップフロップを対象とする請求項1から請求項10までのいずれかに記載の集積回路のシミュレーション装置。
  12. 複数の同期回路セルを有する集積回路の設計方法であって、前記集積回路のネットリストを作成し、トランジスタのゲート長、ゲート幅等に関するばらつき情報を用いて、前記ネットリストからばらつきネットリストを作成し、回路シミュレーションの実行により遅延ばらつき分布を求め、前記集積回路に関するパス情報を用いて設計マージンを設定し、集積回路の設計を行うことを特徴とする集積回路の設計方法。


JP2005023043A 2005-01-31 2005-01-31 集積回路のシミュレーション装置と設計方法 Pending JP2006209600A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005023043A JP2006209600A (ja) 2005-01-31 2005-01-31 集積回路のシミュレーション装置と設計方法
US11/341,652 US20060173667A1 (en) 2005-01-31 2006-01-30 Simulation device for integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005023043A JP2006209600A (ja) 2005-01-31 2005-01-31 集積回路のシミュレーション装置と設計方法

Publications (1)

Publication Number Publication Date
JP2006209600A true JP2006209600A (ja) 2006-08-10

Family

ID=36757738

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005023043A Pending JP2006209600A (ja) 2005-01-31 2005-01-31 集積回路のシミュレーション装置と設計方法

Country Status (2)

Country Link
US (1) US20060173667A1 (ja)
JP (1) JP2006209600A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012155418A (ja) * 2011-01-24 2012-08-16 Fujitsu Ltd 解析支援プログラム、解析支援装置、および解析支援方法
JP2019133412A (ja) * 2018-01-31 2019-08-08 株式会社日立製作所 保守計画装置、及び保守計画方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8935146B2 (en) * 2007-03-05 2015-01-13 Fujitsu Semiconductor Limited Computer aided design apparatus, computer aided design program, computer aided design method for a semiconductor device and method of manufacturing a semiconductor circuit based on characteristic value and simulation parameter
JP2009170459A (ja) * 2008-01-10 2009-07-30 Panasonic Corp 半導体集積回路装置の設計方法、設計装置および半導体集積回路装置
US9058462B2 (en) * 2013-08-30 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for leakage estimation for standard integrated circuit cells with shared polycrystalline silicon-on-oxide definition-edge (PODE)
JP6851632B2 (ja) * 2018-11-13 2021-03-31 ジャングルX株式会社 公開市場システム、公開市場の提供方法及びプログラム

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002110489A (ja) * 2000-09-26 2002-04-12 Toshiba Corp 半導体装置の回路特性のシミュレータ、及び、シミュレート方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012155418A (ja) * 2011-01-24 2012-08-16 Fujitsu Ltd 解析支援プログラム、解析支援装置、および解析支援方法
JP2019133412A (ja) * 2018-01-31 2019-08-08 株式会社日立製作所 保守計画装置、及び保守計画方法

Also Published As

Publication number Publication date
US20060173667A1 (en) 2006-08-03

Similar Documents

Publication Publication Date Title
Mutlu et al. Statistical methods for the estimation of process variation effects on circuit operation
US7716023B2 (en) Multidimensional process corner derivation using surrogate based simultaneous yield analysis
US7197728B2 (en) Method for setting design margin for LSI
Kahng New game, new goal posts: A recent history of timing closure
US8275584B2 (en) Unified model for process variations in integrated circuits
US20050276135A1 (en) Timing analysis method and apparatus
US20170103153A1 (en) Semiconductor device simulation
JP2006209600A (ja) 集積回路のシミュレーション装置と設計方法
US8380478B2 (en) Statistical evaluation of circuit robustness separating local and global variation
JP2010218252A (ja) 統計的タイミング解析用セルライブラリ作成装置、統計的タイミング解析装置、統計的タイミング解析用セルライブラリ作成方法および統計的タイミング解析方法
US6356861B1 (en) Deriving statistical device models from worst-case files
Zhang et al. Aging-aware gate-level modeling for circuit reliability analysis
KR20080080881A (ko) 응력 영향을 고려한 집적회로 시뮬레이션 방법
US7228516B2 (en) Negative bias temperature instability modeling
Mutlu et al. A parametric approach for handling local variation effects in timing analysis
JP2009122732A (ja) 動作タイミング検証装置及びプログラム
Reimann et al. Gate sizing and threshold voltage assignment for high performance microprocessor designs
Kukner et al. NBTI aging on 32-bit adders in the downscaling planar FET technology nodes
JP2010134775A (ja) 回路シミュレーション方法、回路シミュレーションプログラムおよび回路シミュレーション装置
CN113408231B (zh) 退化模拟模型建立方法
JP2010003770A (ja) 半導体装置の解析及び設計装置、及び半導体装置の解析及び設計方法
JP2010039575A (ja) 遅延ばらつき解析装置及び遅延ばらつき算出方法
McAndrew Statistical modeling using backward propagation of variance (BPV)
Balaskas et al. Variability-aware approximate circuit synthesis via genetic optimization
US20050177356A1 (en) Circuit simulation method and circuit simulation apparatus