JP2006066635A - Integrated circuit, and method and program for analyzing consumed current - Google Patents

Integrated circuit, and method and program for analyzing consumed current Download PDF

Info

Publication number
JP2006066635A
JP2006066635A JP2004247298A JP2004247298A JP2006066635A JP 2006066635 A JP2006066635 A JP 2006066635A JP 2004247298 A JP2004247298 A JP 2004247298A JP 2004247298 A JP2004247298 A JP 2004247298A JP 2006066635 A JP2006066635 A JP 2006066635A
Authority
JP
Japan
Prior art keywords
integrated circuit
circuit
current
clock signal
functional
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2004247298A
Other languages
Japanese (ja)
Inventor
Koji Matsushima
幸治 松島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2004247298A priority Critical patent/JP2006066635A/en
Publication of JP2006066635A publication Critical patent/JP2006066635A/en
Withdrawn legal-status Critical Current

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To make effective the evaluation of a consumed current of an integrated circuit such as a digital LSi, and improve the accuracy of the same in relation to the evaluation. <P>SOLUTION: The integrated circuit(digital LSi2) with a function circuit operated by a single or a plurality of clock signals with different frequencies mounted thereon comprises a plurality of function blocks (logic blocks 4A, 4B, 4C), which are set to the function circuit and are capable of starting and stopping the clock signal independently; and a recording part (operation hysteresis storage blocks 20A, 20B, 20C) for recording operation details based on the clock signal for every function block. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、ディジタルLSi(Large Scale integration )等の集積回路の消費電流評価に関し、特に、低消費電力化を目的とした複雑な制御内容や、その制御に基づいた消費電流の測定結果の解析に用いる集積回路、その消費電流解析方法及び消費電流解析プログラムに関する。
The present invention relates to an evaluation of current consumption of an integrated circuit such as digital LSi (Large Scale integration), and in particular to analysis of complex control contents for the purpose of reducing power consumption and measurement results of current consumption based on the control. The present invention relates to an integrated circuit to be used, a consumption current analysis method thereof, and a consumption current analysis program.

ディジタルLSiに関し、そのチップ全体の消費電流の測定結果を電流シミュレーションの結果と比較すれば、消費電流の妥当性等の評価が可能である。LSiの回路規模が比較的小さく、低消費電力化に対する回路構成や制御が複雑でなければ、このような手法の適用に問題はなく、その評価も妥当性の高いものとなるであろう。   Regarding the digital LSi, the validity of the current consumption can be evaluated by comparing the measurement result of the current consumption of the whole chip with the result of the current simulation. If the circuit scale of LSi is relatively small and the circuit configuration and control for low power consumption are not complicated, there is no problem in applying such a method, and the evaluation will be highly valid.

このようなディジタルLSiの電力消費に関し、特許文献1には、マイクロプロセッサの機能ユニット内の電力消費の自己監査制御に関する装置及び方法が開示されている。また、特許文献2には、半導体チップに関し、搭載される複数の回路モジュールや内部バスの複雑化に対応し、内部情報をモニターするためのトレース情報やその属性情報を外部に出力させるデータプロセッサが開示されている。
特開平10−91298号公報 特開2002−149442号公報
Regarding the power consumption of such digital LSi, Patent Document 1 discloses an apparatus and method relating to self-audit control of power consumption in a functional unit of a microprocessor. Patent Document 2 discloses a data processor that outputs a trace information for monitoring internal information and its attribute information to the outside corresponding to the complexity of a plurality of circuit modules and internal buses mounted on the semiconductor chip. It is disclosed.
JP-A-10-91298 JP 2002-149442 A

回路規模の拡大したディジタルLSiでは、搭載される論理回路も複雑化しており、特に、W−CDMA(Wideband Code Division Multiple Access)の携帯端末等に適用されるLSiにおいては、消費電流を削減するための対策が必要であるとともに、その消費電流測定結果の妥当性等の評価を困難にしている。LSiを複数のブロックに分割し、各ブロックの平均消費電流を個別に測定することは可能であるし、電流計等で測定した消費電流値と、消費電流シミュレーションの結果とを比較することでその妥当性を確認することも可能である。   In digital LSi with an expanded circuit scale, the logic circuit to be mounted is also complicated. In particular, in LSi applied to a mobile terminal of W-CDMA (Wideband Code Division Multiple Access), etc., in order to reduce current consumption. Therefore, it is difficult to evaluate the validity of the current consumption measurement results. It is possible to divide LSi into a plurality of blocks and measure the average current consumption of each block individually, and compare the current consumption value measured with an ammeter etc. with the result of current consumption simulation. It is also possible to confirm the validity.

ところで、低消費電力化のためにハードウェアやファームウェアの制御により、各論理ブロックの起動・停止制御が複雑化し、起動・停止を頻繁に行うように構成されたLSiにおいては、特に、起動する論理ブロックが輻輳している場合には、その消費電流値の測定及び評価は厄介である。このようなLSiでは、消費電流と動作との関係の見極めが不可欠である。そこで、ある任意の時間内での消費電流遷移を測定し、それが制御仕様通りの動作になっているのかを確認する必要があるが、消費電流値の遷移は期待通りになるとは言えず、その場合、測定した消費電流値の遷移だけでは、論理回路が意図した通りに動作しているか否かを推測なしに見極めるのは非常に困難である。例え、結果が期待通りになっていたとしても、その結果の妥当性判断には時間と労力が必要である。このような課題について、特許文献1、2にはその開示はなく、その課題解決の手段の示唆や開示もない。   By the way, the start / stop control of each logical block is complicated by the control of hardware and firmware to reduce power consumption, and especially in the LSi configured to frequently start / stop, the logic to start When a block is congested, measuring and evaluating its current consumption value is cumbersome. In such LSi, it is essential to determine the relationship between current consumption and operation. Therefore, it is necessary to measure the current consumption transition within a certain arbitrary time and check whether it is operating according to the control specifications, but it cannot be said that the current consumption transition is as expected. In that case, it is very difficult to determine whether or not the logic circuit is operating as intended only by the transition of the measured current consumption value. Even if the result is as expected, it takes time and effort to determine the validity of the result. Patent Documents 1 and 2 do not disclose such problems, and there is no suggestion or disclosure of means for solving the problems.

そこで、本発明は、ディジタルLSi等の集積回路の消費電流の評価に関し、その効率化とともに、精度を高めることを目的とする。
SUMMARY OF THE INVENTION The present invention relates to evaluation of current consumption of an integrated circuit such as digital LSi, and aims to improve efficiency and accuracy.

上記目的を達成するため、本発明は、ディジタルLSi等の集積回路に関し、各論理ブロックの動作に用いるクロック信号の供給、停止に着目し、各論理ブロックの動作内容を記録することにより、消費電流の実測値の評価機能を高めたものである。   In order to achieve the above object, the present invention relates to an integrated circuit such as a digital LSi, and pays attention to supply and stop of a clock signal used for the operation of each logic block, and records the operation contents of each logic block, thereby reducing current consumption. This is an improved evaluation function for actual measured values.

上記目的を達成するため、本発明の集積回路は、周波数の異なる単一又は複数のクロック信号により動作する機能回路が搭載された集積回路であって、前記機能回路に設定され、独立して前記クロック信号の起動及び停止が行える複数の機能ブロックと、これら機能ブロック毎に前記クロック信号に基づく動作内容を記録する記録部とを備えたものである。   In order to achieve the above object, an integrated circuit of the present invention is an integrated circuit on which a functional circuit that operates by a single or a plurality of clock signals having different frequencies is mounted, and is set in the functional circuit and independently A plurality of functional blocks capable of starting and stopping the clock signal, and a recording unit for recording the operation content based on the clock signal for each functional block.

斯かる構成において、周波数の異なる単一又は複数のクロック信号により動作する機能回路が集積回路に搭載されている場合、その機能回路は独立してクロック信号の起動及び停止が行える複数の機能ブロックに分割可能である。分割された機能ブロック毎にクロック信号に基づく動作内容が異なる。そこで、記録部には、各機能ブロック毎の動作内容が記録される。この動作内容は、記録部から集積回路の外部に取り出され、消費電流等の評価情報に利用することができる。   In such a configuration, when a functional circuit that operates by a single or a plurality of clock signals having different frequencies is mounted on the integrated circuit, the functional circuit is provided in a plurality of functional blocks that can start and stop the clock signal independently. It can be divided. The operation content based on the clock signal differs for each divided functional block. Therefore, the operation content for each functional block is recorded in the recording unit. This operation content is taken out of the integrated circuit from the recording unit, and can be used for evaluation information such as current consumption.

上記目的を達成するためには、本発明の集積回路において、前記記録部は、前記動作内容を記録する期間が設定される構成としてもよい。斯かる構成とすれば、動作内容とその期間とを対応させることができる。   In order to achieve the above object, in the integrated circuit of the present invention, the recording unit may be configured to set a period for recording the operation content. With such a configuration, the operation content can correspond to the period.

上記目的を達成するためには、本発明の集積回路において、前記クロック信号の周波数を分周数の設定値により判断する判断部を備え、前記記録部に前記機能ブロックの動作周波数情報を記録させる構成としてもよい。斯かる構成とすれば、分周数の設定値によりクロック信号の周波数を判断する判断部を記録部に備えたことにより、記録部には機能ブロックの動作内容に加えて動作周波数情報を記録させることができ、この動作周波数情報を消費電流評価に用いることができる。   To achieve the above object, in the integrated circuit of the present invention, the integrated circuit includes a determination unit that determines the frequency of the clock signal based on a set value of the frequency division number, and causes the recording unit to record the operating frequency information of the functional block. It is good also as a structure. With such a configuration, since the recording unit includes the determination unit that determines the frequency of the clock signal based on the set value of the frequency division number, the recording unit records the operating frequency information in addition to the operation content of the functional block. This operating frequency information can be used for current consumption evaluation.

上記目的を達成するため、本発明の集積回路の消費電流解析方法は、周波数の異なる単一又は複数のクロック信号により動作する機能回路が搭載された集積回路の消費電流解析方法であって、前記機能回路に設定されて独立して前記クロック信号の起動及び停止が行える複数の機能ブロック毎に前記クロック信号に基づく電流値を記録する処理と、シミュレーションにより前記機能ブロックの電流値を求める処理と、前記シミュレーションによって求められた電流値と、前記機能ブロックの動作によって得られた電流値とを比較する処理とを含んで前記集積回路の消費電流を解析する構成である。   In order to achieve the above object, a method of analyzing current consumption of an integrated circuit according to the present invention is a method of analyzing current consumption of an integrated circuit on which a functional circuit operating with a single or a plurality of clock signals having different frequencies is mounted. A process of recording a current value based on the clock signal for each of a plurality of functional blocks set in the functional circuit and capable of starting and stopping the clock signal independently; a process of obtaining a current value of the functional block by simulation; In this configuration, the current consumption of the integrated circuit is analyzed including a process of comparing the current value obtained by the simulation with the current value obtained by the operation of the functional block.

既述した通り、集積回路が周波数の異なる単一又は複数のクロック信号により動作する機能回路を搭載している場合、その機能回路は独立してクロック信号の起動及び停止が行える複数の機能ブロックに分割可能であり、各機能ブロック毎にクロック信号に基づく動作内容が異なる。そこで、各機能ブロック毎にクロック信号に基づく電流値を記録するとともに、シミュレーションにより各機能ブロックの電流値を求め、これら電流値を比較すれば、集積回路の消費電流を解析することが可能である。即ち、各機能ブロック毎にしかも、周波数の異なるクロック信号毎に消費電流値を測定し、かつシミュレーションによる消費電流値とを比較することにより、測定結果の妥当性判断が行え、消費電流の評価精度が高められる。   As described above, when the integrated circuit is equipped with a functional circuit that operates with a single or a plurality of clock signals having different frequencies, the functional circuit is divided into a plurality of functional blocks that can start and stop the clock signal independently. The operation can be divided, and the operation content based on the clock signal is different for each functional block. Therefore, it is possible to analyze the current consumption of the integrated circuit by recording the current value based on the clock signal for each functional block, obtaining the current value of each functional block by simulation, and comparing these current values. . In other words, the current consumption value is measured for each functional block and for each clock signal with a different frequency, and by comparing the current consumption value by simulation, the validity of the measurement result can be judged, and the current consumption evaluation accuracy Is increased.

上記目的を達成するため、本発明の集積回路の消費電流解析プログラムは、周波数の異なる単一又は複数のクロック信号により動作する機能回路が搭載された集積回路の消費電流解析プログラムであって、前記機能回路に設定されて独立して前記クロック信号の起動及び停止が行える複数の機能ブロック毎に前記クロック信号に基づく電流値を記録するステップと、シミュレーションにより前記機能ブロックの電流値を求めるステップと、前記シミュレーションによって求められた電流値と、前記機能ブロックの動作によって得られた電流値とを比較するステップとをコンピュータに実行させることにより、前記集積回路の消費電流を解析する構成である。   In order to achieve the above object, an integrated circuit consumption current analysis program according to the present invention is an integrated circuit consumption current analysis program in which functional circuits that operate according to single or plural clock signals having different frequencies are mounted. Recording a current value based on the clock signal for each of a plurality of functional blocks set in the functional circuit and capable of starting and stopping the clock signal independently; obtaining a current value of the functional block by simulation; In this configuration, the current consumption of the integrated circuit is analyzed by causing a computer to execute a step of comparing the current value obtained by the simulation with the current value obtained by the operation of the functional block.

このようなプログラムの実行により、既述の集積回路の消費電流解析をコンピュータにより実行することができ、周波数の異なるクロック信号毎に測定された実測値である消費電流値と、シミュレーションにより求められた消費電流値との比較により、測定結果の妥当性判断が行え、消費電流の評価精度が高められる。
By executing such a program, the current consumption analysis of the integrated circuit described above can be executed by a computer, and the current consumption value that is an actual measurement value measured for each clock signal having a different frequency is obtained by simulation. By comparing with the current consumption value, the validity of the measurement result can be judged, and the evaluation accuracy of the current consumption can be improved.

以上の通り、本発明によれば、次の効果が得られる。   As described above, according to the present invention, the following effects can be obtained.

本発明の集積回路によれば、周波数の異なる単一又は複数のクロック信号により動作する機能回路が集積回路に搭載されている場合に、その機能回路を独立してクロック信号の起動及び停止が行える複数の機能ブロックに分割し、その動作内容を論理ブロック毎に集積回路に搭載された記録部に格納する構成としたので、その記録部から集積回路の各機能ブロックの動作内容を表す情報を集積回路外に取り出すことができ、その動作内容の確認ができるとともに、集積回路の消費電流値の妥当性判断に用いることができ、消費電流の評価精度の向上に寄与することができる。   According to the integrated circuit of the present invention, when a functional circuit that operates with a single or a plurality of clock signals having different frequencies is mounted on the integrated circuit, the functional circuit can be started and stopped independently. Divided into a plurality of functional blocks, and the operation content is stored in a recording unit mounted in the integrated circuit for each logical block, so that information representing the operation content of each functional block of the integrated circuit is integrated from the recording unit It can be taken out of the circuit, its operation content can be confirmed, and it can be used to judge the validity of the current consumption value of the integrated circuit, which can contribute to the improvement of the current consumption evaluation accuracy.

また、この集積回路において、集積回路に搭載された記録部が各機能ブロックの動作内容を記録する期間が設定される構成とすれば、論理ブロックの動作内容をその期間に対応させることができ、期間毎の消費電流の妥当性判断等が可能であり、消費電流の評価精度の向上に寄与することができる。   Further, in this integrated circuit, if the recording unit mounted on the integrated circuit is configured to set a period for recording the operation content of each functional block, the operation content of the logic block can be made to correspond to the period, It is possible to judge the validity of the current consumption for each period and contribute to the improvement of the accuracy of current consumption evaluation.

また、この集積回路において、クロック信号の周波数を分周数の設定値により判断する判断部を備え、記録部に機能ブロックの動作周波数情報を記憶させる構成とすれば、分周数の設定値によりクロック信号の周波数を判断部で判断し、機能ブロックの動作内容に加えて動作周波数情報を記憶させ、動作周波数情報を消費電流評価に用いることができるので、周波数毎の消費電流の妥当性判断が可能である等、消費電流の評価精度の向上に寄与することができる。     The integrated circuit further includes a determination unit that determines the frequency of the clock signal based on the setting value of the frequency division number, and the operation frequency information of the functional block is stored in the recording unit. Since the frequency of the clock signal is determined by the determination unit, the operation frequency information can be stored in addition to the operation content of the functional block, and the operation frequency information can be used for the consumption current evaluation. For example, it is possible to contribute to the improvement of the evaluation accuracy of current consumption.

本発明の集積回路の消費電流解析方法によれば、各機能ブロック毎に前記クロック信号に基づく電流値を記録部に記録するとともに、シミュレーションにより各機能ブロックの電流値を求め、これら電流値を比較するので、測定結果の妥当性判断が行え、消費電流の評価精度が高めることができる。   According to the integrated circuit current consumption analysis method of the present invention, the current value based on the clock signal is recorded in the recording unit for each functional block, the current value of each functional block is obtained by simulation, and the current values are compared. Therefore, the validity of the measurement result can be determined, and the evaluation accuracy of the current consumption can be improved.

本発明の集積回路の消費電流解析プログラムによれば、集積回路の消費電流解析をコンピュータにより実行することができ、周波数の異なるクロック信号毎に測定された実測値である消費電流値と、シミュレーションにより求められた消費電流値との比較により、測定結果の妥当性判断が行え、消費電流の評価精度を高めることができる。
According to the integrated circuit consumption current analysis program of the present invention, the consumption current analysis of the integrated circuit can be executed by a computer, and a current consumption value that is an actual measurement value measured for each clock signal having a different frequency and a simulation. By comparing with the obtained current consumption value, the validity of the measurement result can be judged, and the evaluation accuracy of the current consumption can be improved.

第1の実施形態
本発明の第1の実施形態について、図1を参照して説明する。図1は、第1の実施形態に係るディジタルLSiの構成例を示している。
First Embodiment A first embodiment of the present invention will be described with reference to FIG. FIG. 1 shows a configuration example of the digital LSi according to the first embodiment.

このディジタルLSi2は周波数の異なる単一又は複数のクロック信号により動作する機能回路を搭載した集積回路の一例である。このディジタルLSi2は例えば、W−CDMA端末に搭載される低消費電力化を目的としたディジタルLSiであって、各論理ブロック4A、4B、4Cは、ディジタルLSi2に搭載された論理回路から、独立してクロック信号の起動及び停止が行える複数の機能ブロックとしてグルーピングし、分割したものである。そこで、各論理ブロック4A、4B、4Cは、共通のブロック起動制御回路6により、起動及びその停止の制御が可能であるとともに、起動信号がディセーブルの場合にはブロック内部に供給されているクロック信号を停止することが可能である。   The digital LSi2 is an example of an integrated circuit on which a functional circuit that operates by a single or a plurality of clock signals having different frequencies is mounted. This digital LSi2 is, for example, a digital LSi that is mounted on a W-CDMA terminal for the purpose of reducing power consumption. Each logical block 4A, 4B, 4C is independent of a logical circuit mounted on the digital LSi2. Grouped and divided into a plurality of functional blocks capable of starting and stopping the clock signal. Therefore, each of the logical blocks 4A, 4B, and 4C can be controlled to start and stop by the common block start control circuit 6, and when the start signal is disabled, the clock supplied to the inside of the block It is possible to stop the signal.

論理ブロック4Aは、クロックジェネレータ8から供給されるクロック信号により動作を行うが、クロック制御ブロック10によりクロック動作を停止することが可能である。また、論理ブロック4Bは、クロックジェネレータ8から供給されたクロック信号を内部クロック生成回路12にて分周して周波数の異なる複数のクロック信号を生成し、そのクロック信号を動作クロック選択回路14によりクロック選択情報に基づいて選択することにより、動作クロック信号の周波数を遅くして動作させることが可能である。これは、プロセッサ等のギヤダウン機能に相当し、特に急いで処理する必要のない場合には、動作速度を下げ、消費電流を削減する機能を持つものである。また、論理ブロック4Cは、外部クロック信号CK1により動作し、論理ブロック4Bと同様にクロック信号を生成する内部クロック生成回路16や、クロック選択情報に基づいて動作クロック信号を選択するための動作クロック選択回路18等を備えている。   The logic block 4A operates according to the clock signal supplied from the clock generator 8, but the clock control block 10 can stop the clock operation. The logic block 4B divides the clock signal supplied from the clock generator 8 by the internal clock generation circuit 12 to generate a plurality of clock signals having different frequencies, and the operation clock selection circuit 14 generates the clock signal. By selecting based on the selection information, the operation clock signal can be operated with a reduced frequency. This corresponds to a gear-down function of a processor or the like, and has a function of reducing the operating speed and reducing the current consumption when processing is not particularly urgent. The logic block 4C operates with the external clock signal CK1 and generates an clock signal in the same manner as the logic block 4B, or an operation clock selection for selecting an operation clock signal based on clock selection information. A circuit 18 and the like are provided.

また、論理ブロック4A、4B、4Cの動作内容を記録する記録部として、また、クロック信号の周波数を分周数の設定値により判断する判断部として、各論理ブロック4A、4B、4Cに対応する動作履歴格納ブロック20A、20B、20Cが設置され、この実施形態では、論理ブロック4Aにその動作履歴を記録する動作履歴格納ブロック20A、論理ブロック4Bにその動作履歴を記録する動作履歴格納ブロック20B、論理ブロック4Cにその動作履歴を記録する動作履歴格納ブロック20Cが設置されている。また、各動作履歴格納ブロック20A、20B、20Cには外部クロック信号CK2が加えられているとともに、共通に接続されたタイマ回路22からタイマ信号が加えられている。各動作履歴格納ブロック20A、20B、20Cには、論理ブロック4A、4B、4Cの動作周波数情報が記録され、この実施形態では、動作周波数情報としてのクロック選択情報が動作履歴格納ブロック20B、20Cに取り込まれている。   Further, as a recording unit that records the operation contents of the logical blocks 4A, 4B, and 4C, and as a determination unit that determines the frequency of the clock signal based on the set value of the frequency division number, each of the logical blocks 4A, 4B, and 4C corresponds. Operation history storage blocks 20A, 20B, and 20C are installed. In this embodiment, an operation history storage block 20A that records the operation history in the logical block 4A, and an operation history storage block 20B that records the operation history in the logical block 4B. An operation history storage block 20C for recording the operation history is installed in the logical block 4C. In addition, an external clock signal CK2 is applied to each of the operation history storage blocks 20A, 20B, and 20C, and a timer signal is applied from a commonly connected timer circuit 22. In each of the operation history storage blocks 20A, 20B, and 20C, the operation frequency information of the logical blocks 4A, 4B, and 4C is recorded. In this embodiment, clock selection information as the operation frequency information is stored in the operation history storage blocks 20B and 20C. It has been captured.

これら動作履歴格納ブロック20A、20B、20CにはディジタルLSi2の外部に設置された動作記録部24が記録収集用バス26により接続され、条件設定書込み又は動作履歴読出しが実行される。この動作記録部24には、動作履歴収集回路28、収集条件設定回路30等が備えられている。   These operation history storage blocks 20A, 20B, and 20C are connected to an operation recording unit 24 installed outside the digital LSi 2 through a recording / collecting bus 26, and condition setting writing or operation history reading is executed. The operation recording unit 24 includes an operation history collection circuit 28, a collection condition setting circuit 30, and the like.

そして、動作記録部24にはICE(Incircuit Emulator)装置32を介してパーソナルコンピュータ(PC)34が接続され、PC34には各動作履歴格納ブロック20A、20B、20Cから論理ブロック4A、4B、4Cの動作履歴データが取り込まれる。このPC34は、ディジタルLSi2の消費電流評価部を構成するとともに、電流シミュレーション部を構成している。   A personal computer (PC) 34 is connected to the operation recording unit 24 via an ICE (Incircuit Emulator) device 32, and each of the operation history storage blocks 20A, 20B, and 20C to the logic blocks 4A, 4B, and 4C is connected to the PC 34. Operation history data is captured. The PC 34 constitutes a consumption current evaluation unit for the digital LSi2 and also constitutes a current simulation unit.

このPC34は例えば、図2に示すように、プログラムの実行処理等を行うCPU(Central Processing Unit )36、記録媒体であるROM(Read-Only Memory)38及びRAM(Random-Access Memory)40が設置されているとともに、データの入力に用いられる入力部42、データの出力に用いられる出力部44、データの提示に用いられる表示部46等から構成されている。ROM38には消費電流解析プログラム、消費電流シミュレーションプログラム等の各種のプログラムが格納されている。   For example, as shown in FIG. 2, the PC 34 is provided with a CPU (Central Processing Unit) 36 for executing program execution processing, a ROM (Read-Only Memory) 38 and a RAM (Random-Access Memory) 40 as recording media. And an input unit 42 used for data input, an output unit 44 used for data output, a display unit 46 used for data presentation, and the like. The ROM 38 stores various programs such as a current consumption analysis program and a current consumption simulation program.

次に、ディジタルLSi2の動作制御仕様について、図3を参照して説明する。図3は、ディジタルLSi2の論理ブロック4A、4B、4Cの動作制御仕様を示している。   Next, operation control specifications of the digital LSi2 will be described with reference to FIG. FIG. 3 shows operation control specifications of the logic blocks 4A, 4B, and 4C of the digital LSi2.

このディジタルLSi2では、制御1、制御2、制御3・・・制御10の制御パターンが設定され、制御1、2、3・・・10に対応して論理ブロック4A、4B、4Cが択一的に選択される。この論理ブロック4A、4B、4Cの選択に対応し、設定されるクロック信号の周波数は、周波数f1又は周波数f2が制御内容に対応して選択され、制御内容には、起動又は停止の何れかが選択されている。このような制御内容に対応して、動作電流の遷移、即ち、消費電流の遷移が生じることになる。   In this digital LSi2, a control pattern of control 1, control 2, control 3... Control 10 is set, and logical blocks 4A, 4B, 4C are alternatives corresponding to controls 1, 2, 3,. Selected. Corresponding to the selection of the logic blocks 4A, 4B, and 4C, the frequency f1 or the frequency f2 is selected corresponding to the control content as the frequency of the set clock signal, and the control content is either activated or stopped Is selected. Corresponding to such control contents, a transition of operating current, that is, a transition of current consumption occurs.

次に、集積回路の消費電流解析方法について、図4、図5、図6及び図7を参照して説明する。図4、図5、図6及び図7は、集積回路の消費電流解析方法の一例を示したものであり、図4は、各論理ブロックの電流シミュレーション結果、図5は、消費電流の遷移図、図6は、各論理ブロックの動作履歴格納例、図7は、各論理ブロックの動作履歴収集例を示している。   Next, a method for analyzing current consumption of an integrated circuit will be described with reference to FIGS. 4, 5, 6, and 7. FIG. 4, 5, 6, and 7 show an example of a current consumption analysis method for an integrated circuit. FIG. 4 shows a current simulation result of each logic block, and FIG. 5 shows a current consumption transition diagram. 6 shows an operation history storage example of each logical block, and FIG. 7 shows an operation history collection example of each logical block.

各論理ブロック4A、4B、4Cには図4に示すように、消費電流シミュレーションにより平均消費電流値の算出結果が得られる。この算出結果では、論理ブロック4Aは周波数f1で平均電流値はA〔mA〕、論理ブロック4Bは周波数f1で平均電流値はB1〔mA〕、周波数f2で平均電流値はB2〔mA〕、また、論理ブロック4Cは周波数f1で平均電流値はC1〔mA〕、周波数f2で平均電流値はC2〔mA〕である。これに対し、図5は、実際の消費電流の遷移図を示している。実際の消費電流にあっては、図5に示すように、横軸に動作履歴収集時間(A〜I)、動作中の論理ブロック4A、4B、4C及び周波数f1、f2が取られ、縦軸に消費電流(A〔mA〕、B1〔mA〕、B2〔mA〕、C1〔mA〕、C2〔mA〕)が取られている。図5において、例えば、時間Gでは、論理ブロック4A、4B、4Cの動作により、消費電流の積算値は、A+B2+C2〔mA〕となる。   As shown in FIG. 4, each logic block 4A, 4B, 4C obtains an average consumption current value calculation result by consumption current simulation. In this calculation result, the logic block 4A has a frequency f1 and the average current value is A [mA], the logic block 4B has the frequency f1 and the average current value is B1 [mA], the frequency f2 and the average current value is B2 [mA], and In the logic block 4C, the average current value is C1 [mA] at the frequency f1, and the average current value is C2 [mA] at the frequency f2. On the other hand, FIG. 5 shows a transition diagram of actual consumption current. In the actual current consumption, as shown in FIG. 5, the operation history collection time (A to I), the operating logic blocks 4A, 4B, and 4C and the frequencies f1 and f2 are taken on the horizontal axis, and the vertical axis Current consumption (A [mA], B1 [mA], B2 [mA], C1 [mA], C2 [mA]). In FIG. 5, for example, at time G, the integrated value of current consumption becomes A + B2 + C2 [mA] due to the operation of the logic blocks 4A, 4B, and 4C.

そして、各動作履歴格納ブロック20A、20B、20Cに格納される動作履歴格納情報には、図6に示すように、起動時間、完了時間及び周波数設定が設定され、論理ブロック4Aの起動時間には時間C、論理ブロック4Bの起動時間には時間B、F、論理ブロック4Cの起動時間には時間A、Dが設定され、論理ブロック4Aの完了時間には時間I、論理ブロック4Bの完了時間には時間E、H、論理ブロック4Cの完了時間には時間C、Gが設定され、また、論理ブロック4Aの周波数設定には周波数f1、論理ブロック4Bの周波数設定には周波数f1、f2、論理ブロック4Cの周波数設定には周波数f1、f2が格納される。   In the operation history storage information stored in each of the operation history storage blocks 20A, 20B, and 20C, as shown in FIG. 6, the start time, completion time, and frequency setting are set, and the start time of the logic block 4A includes Times B and F are set as the time C and the start time of the logical block 4B, and times A and D are set as the start time of the logical block 4C. The time I is set as the completion time of the logical block 4A, and the completion time of the logical block 4B. Are time E and H, time C and G are set for the completion time of the logical block 4C, frequency f1 is set for the frequency setting of the logical block 4A, and frequency f1 and f2 are set for the frequency setting of the logical block 4B. The frequencies f1 and f2 are stored in the 4C frequency setting.

また、各論理ブロック4A、4B、4Cの動作履歴格納ブロック20A、20B、20Cに記録された情報は、記録収集用バス26からディジタルLSi2の外部に設定されている動作記録部24の動作履歴収集回路28に収集され、その動作履歴格納情報には、ブロック、起動時間、完了時間、周波数設定、各論理ブロック4A、4B、4C毎の設定時間が含まれる。図7の動作履歴収集例では、図6の動作履歴格納例を整理し、設定時間内において、起動時間では論理ブロック4Aは時間C、論理ブロック4Bは時間B、F、論理ブロック4Cは時間A、Dであり、完了時間では論理ブロック4Aは時間I、論理ブロック4Bは時間E、H、論理ブロック4Cは時間C、Gであり、また、周波数設定では、論理ブロック4Aの起動時間Cでは周波数f1、論理ブロック4Bの時間B(起動時間)では周波数f1、論理ブロック4Bの時間F(起動時間)では周波数f2、論理ブロック4Cの時間A(起動時間)では周波数f1、論理ブロック4Cの時間D(起動時間)では周波数f2である。   The information recorded in the operation history storage blocks 20A, 20B, and 20C of the logical blocks 4A, 4B, and 4C is collected from the operation history of the operation recording unit 24 set outside the digital LSi2 from the recording / collection bus 26. The operation history storage information collected by the circuit 28 includes block, start-up time, completion time, frequency setting, and setting time for each logical block 4A, 4B, 4C. In the operation history collection example of FIG. 7, the operation history storage example of FIG. 6 is arranged. Within the set time, the logical block 4A is time C, the logical block 4B is time B, F, and the logical block 4C is time A at the start time. D at the completion time, the logical block 4A is time I, the logical block 4B is time E, H, the logical block 4C is time C, G, and the frequency setting is the frequency at the start time C of the logical block 4A. f1, frequency f1 at time B (startup time) of logic block 4B, frequency f2 at time F (startup time) of logic block 4B, frequency f1 at time A (startup time) of logic block 4C, time D of logic block 4C In (start-up time), the frequency is f2.

そこで、各論理ブロック4A、4B、4Cの動作制御仕様に基づき、ディジタルLSi2の外部から動作記録部24により動作記録収集時間を設定する。ディジタルLSi2を動作させ、設定時間内の消費電流の遷移に基づき、図5に示す遷移図(グラフ)が得られる。消費電流の測定と同時に、各論理ブロック4A、4B、4C内の動作履歴格納ブロック20A、20B、20Cには、図6に示す動作履歴が格納される。設定時間内の消費電流測定完了後、ディジタルLSi2から動作履歴収集回路28により、各論理ブロック4A、4B、4Cの動作履歴が収集され、図7に示す結果が得られる。このように、消費電流の遷移に対し、各論理ブロック4A、4B、4Cの消費電流シミュレーション結果と、各論理ブロック4A、4B、4Cの動作履歴を比較することにより、実測値である消費電流の遷移が、論理ブロック4A、4B、4Cの制御仕様と一致しているか否かが容易に確認され、その電流値の妥当性の確認をし、評価することができる。   Therefore, based on the operation control specifications of the logical blocks 4A, 4B, and 4C, the operation recording collection time is set by the operation recording unit 24 from outside the digital LSi2. The digital LSi2 is operated, and the transition diagram (graph) shown in FIG. 5 is obtained based on the transition of the current consumption within the set time. Simultaneously with the measurement of current consumption, the operation histories shown in FIG. 6 are stored in the operation history storage blocks 20A, 20B, and 20C in the logical blocks 4A, 4B, and 4C. After completion of current consumption measurement within the set time, the operation history of each of the logic blocks 4A, 4B, 4C is collected from the digital LSi2 by the operation history collection circuit 28, and the result shown in FIG. 7 is obtained. As described above, by comparing the current consumption simulation result of each of the logic blocks 4A, 4B, and 4C with the operation history of each of the logic blocks 4A, 4B, and 4C with respect to the transition of the current consumption, It is easily confirmed whether or not the transition matches the control specifications of the logic blocks 4A, 4B, and 4C, and the validity of the current value can be confirmed and evaluated.

第2の実施形態
次に、本発明の第2の実施形態について、図8を参照して説明する。図8は、ディジタルLSi評価装置の一例を示している。
Second Embodiment Next, a second embodiment of the present invention will be described with reference to FIG. FIG. 8 shows an example of a digital LSi evaluation apparatus.

このディジタルLSi評価装置50には評価用ボード52が備えられ、この評価用ボード52には評価対象である集積回路として例えば、ディジタルLSi2が搭載されているとともに、このディジタルLSi2の周辺回路として、記憶装置54、電源部56、動作記録部24、カレントプローブ58、アナログLSi60、高周波部(RF)62等が搭載されている。カレントプローブ58は、ディジタルLSi2の消費電流を検出可能な任意の電流検出ポイントに設置される。この場合、ディジタルLSi2には例えば、W−CDMA通信端末装置用LSiのW−CDMA用ディジタル・ベースバンドチップ(Digital Baseband Chip )が用いられている。記憶装置54は、フラッシュメモリ(Flash memory)又はSDRAM(Synchronous Dynamic Random-Access Memory)で構成され、ディジタルLSi2を動作させるためのプログラムの格納に用いられている。電源部56は、DC−DCコンバータで構成されており、そのVDD端子とGND端子との間には低電圧電源64が接続されている。低電圧電源64から加えられた低電圧は、電源部56のDC−DCコンバータで最適な直流電圧に変換された後、ディジタルLSi2の電源端子(Power Pin )66に加えられている。この場合、電源端子66と電源部56との間の電源ライン68に電流検出ポイントが設定され、この電流検出ポイントにカレントプローブ58が接続され、カレントプローブ58で検出された動作電流は電流プローブアンプ70に加えられ、その電流値が電圧値に変換される。動作電流に対応した電圧値は、電圧計を構成するオシロスコープ72に加えられ、その値が測定される。このオシロスコープ72は、ディジタルLSi2のトリガ端子74に接続され、電流値を測定するタイミングを取るため、シングルトリガーセットが実行される。   The digital LSi evaluation apparatus 50 is provided with an evaluation board 52. The evaluation board 52 is equipped with, for example, a digital LSi2 as an integrated circuit to be evaluated, and stores as a peripheral circuit of the digital LSi2. A device 54, a power supply unit 56, an operation recording unit 24, a current probe 58, an analog LSi 60, a high frequency unit (RF) 62, and the like are mounted. The current probe 58 is installed at an arbitrary current detection point capable of detecting the consumption current of the digital LSi2. In this case, for example, a W-CDMA digital baseband chip (Digital Baseband Chip) of LSi for W-CDMA communication terminal apparatus is used for the digital LSi2. The storage device 54 is configured by a flash memory or SDRAM (Synchronous Dynamic Random-Access Memory), and is used for storing a program for operating the digital LSi2. The power supply unit 56 is configured by a DC-DC converter, and a low voltage power supply 64 is connected between the VDD terminal and the GND terminal. The low voltage applied from the low voltage power supply 64 is converted into an optimum DC voltage by the DC-DC converter of the power supply unit 56 and then applied to the power supply terminal (Power Pin) 66 of the digital LSi2. In this case, a current detection point is set on the power supply line 68 between the power supply terminal 66 and the power supply unit 56, a current probe 58 is connected to this current detection point, and the operating current detected by the current probe 58 is a current probe amplifier. The current value is converted into a voltage value. The voltage value corresponding to the operating current is added to the oscilloscope 72 constituting the voltmeter, and the value is measured. The oscilloscope 72 is connected to the trigger terminal 74 of the digital LSi2, and a single trigger set is executed in order to take a timing for measuring a current value.

また、動作記録部24には、ディジタルLSi2の各動作履歴格納ブロック20A、20B、20C(図1)から取り出した動作記録データが格納される。この動作記録部24に格納されたデータは、ICE装置32を介してPC34に加えられている。このPC34がディジタルLSi2の消費電流の評価部を構成していることは既述の通りである。   The operation recording unit 24 stores the operation record data extracted from the operation history storage blocks 20A, 20B, and 20C (FIG. 1) of the digital LSi2. Data stored in the operation recording unit 24 is added to the PC 34 via the ICE device 32. As described above, the PC 34 constitutes a current consumption evaluation unit of the digital LSi2.

また、ディジタルLSi2のJTAGI/F端子76にはICE装置78を介してPC80が接続されている。そして、ディジタルLSi2には、アナログLSi60及びRF62を介して無線シミュレータ82が接続され、この無線シミュレータ82には、無線電波84等によってPC86が接続されている。   Further, the PC 80 is connected to the JTAGI / F terminal 76 of the digital LSi 2 via the ICE device 78. A radio simulator 82 is connected to the digital LSi 2 via analog LSi 60 and RF 62, and a PC 86 is connected to the radio simulator 82 by a radio wave 84 or the like.

次に、ディジタルLSi2の構成について、図9及び図10を参照して説明する。図9は、動作履歴格納ブロック20A、20B、20C及びブロック起動制御回路6の設置前のディジタルLSi2の構成、図10は動作履歴格納ブロック20A、20B、20Cの設置後のディジタルLSi2を示している。   Next, the configuration of the digital LSi2 will be described with reference to FIGS. 9 shows the configuration of the digital LSi2 before installation of the operation history storage blocks 20A, 20B, and 20C and the block activation control circuit 6, and FIG. 10 shows the digital LSi2 after installation of the operation history storage blocks 20A, 20B, and 20C. .

このディジタルLSi2には、無線データ受信部90からなる論理ブロック4A、復調部制御用DSP92からなる論理ブロック4B、CPU94からなる論理ブロック4Cとともに、復号部96、USB(Universal Serial Bus)等周辺部98、クロックジェネレータ100、パワーセーブコントローラ102、グローバルタイマ104等が搭載されている。クロックジェネレータ100の出力クロックは、パワーセーブコントローラ102により制御される。   The digital LSi2 includes a logic block 4A including a wireless data receiver 90, a logic block 4B including a demodulator control DSP 92, and a logic block 4C including a CPU 94, as well as a peripheral unit 98 such as a decoder 96 and a USB (Universal Serial Bus). A clock generator 100, a power save controller 102, a global timer 104, and the like are mounted. The output clock of the clock generator 100 is controlled by the power save controller 102.

論理ブロック4A(無線データ受信部90)は、クロック制御ブロック10に対応するクロックコントローラ106、DSP−I/F108、逆拡散部110、復調部112等を備えている。DSP−I/F108によるDSP制御により、クロックコントローラ106のクロック制御が可能である。復号部94は、BUS−I/F114等を備えている。   The logical block 4A (wireless data reception unit 90) includes a clock controller 106, a DSP-I / F 108, a despreading unit 110, a demodulation unit 112, and the like corresponding to the clock control block 10. Clock control of the clock controller 106 is possible by DSP control by the DSP-I / F 108. The decoding unit 94 includes a BUS-I / F 114 and the like.

論理ブロック4B(復調部制御用DSP92)は、内部クロック生成回路12に対応するクロックコントローラ116、PCアドレスカウンタ等の機能部118、命令RAM120、BUS−I/F122等を備えている。クロックコントローラ116によるプログラム制御により、クロック信号の周波数のギアダウンが可能であり、基本周波数の1/2、1/4等の周波数制御の実行が可能である。   The logical block 4B (demodulation unit control DSP 92) includes a clock controller 116 corresponding to the internal clock generation circuit 12, a functional unit 118 such as a PC address counter, an instruction RAM 120, a BUS-I / F 122, and the like. By the program control by the clock controller 116, the frequency of the clock signal can be reduced, and the frequency control such as 1/2 or 1/4 of the fundamental frequency can be executed.

論理ブロック4C(CPU94)は、CPUCORE124、命令RAM126、内部クロック生成回路16に対応するクロックジェネレータ128、動作クロック選択回路18に対応するクロックコントローラ130、BUS−I/F132等を備えている。また、USB等周辺部98は、BUS−I/F134等を備えている。クロックコントローラ130の出力クロックは、プログラム制御によりギアダウンが可能であり、基本周波数の1/2、1/4等の周波数制御が実行される。   The logic block 4C (CPU 94) includes a CPUCORE 124, an instruction RAM 126, a clock generator 128 corresponding to the internal clock generation circuit 16, a clock controller 130 corresponding to the operation clock selection circuit 18, a BUS-I / F 132, and the like. Further, the peripheral part 98 such as a USB includes a BUS-I / F 134 and the like. The output clock of the clock controller 130 can be gear-down by program control, and frequency control such as 1/2 or 1/4 of the fundamental frequency is executed.

また、このディジタルLSi2の周辺部には、動作確認のための構成として、TCXO136、ABBLSi138、記憶装置54、ICE装置78、ドライバIC140、PC142等が設置されている。   In addition, TCXO 136, ABBLSi 138, a storage device 54, an ICE device 78, a driver IC 140, a PC 142, and the like are installed in the peripheral portion of the digital LSi2 as a configuration for operation confirmation.

そして、このディジタルLSi2において、既述のディジタルLSi2と対比すれば明らかなように、無線データ受信部90が論理ブロック4A、復調部制御用DSP92が論理ブロック4B、CPU94が論理ブロック4Cと対応関係にある。そこで、このディジタルLSi2には、図10に示す通り、無線データ受信部90には既述の動作履歴格納ブロック20A、復調部制御用DSP92には動作履歴格納ブロック20B、CPU94には動作履歴格納ブロック20Cが設置されているとともに、各動作履歴格納ブロック20A、20B、20Cにはタイマ回路22が接続されている。また、各動作履歴格納ブロック20A、20B、20Cには記録収集用バス26を介して動作記録部24が接続され、この動作記録部24に格納された履歴データは、ICE装置32を介してPC34に取り込まれる。   In this digital LSi2, the radio data receiving unit 90 corresponds to the logical block 4A, the demodulating unit control DSP 92 corresponds to the logical block 4B, and the CPU 94 corresponds to the logical block 4C, as apparent from comparison with the digital LSi2 described above. is there. Therefore, in this digital LSi2, as shown in FIG. 10, the wireless data receiver 90 has the operation history storage block 20A described above, the demodulator control DSP 92 has an operation history storage block 20B, and the CPU 94 has an operation history storage block. 20C is installed, and a timer circuit 22 is connected to each operation history storage block 20A, 20B, 20C. Each operation history storage block 20A, 20B, 20C is connected to an operation recording unit 24 via a recording / collecting bus 26. The history data stored in the operation recording unit 24 is stored in the PC 34 via the ICE device 32. Is taken in.

次に、本発明の集積回路の消費電流解析方法及び消費電流解析プログラムについて、図11を参照して説明する。図11は、第2の実施形態に係るディジタルLSiの消費電流解析方法又は消費電流解析プログラムの処理手順を示している。   Next, a consumption current analysis method and a consumption current analysis program for an integrated circuit according to the present invention will be described with reference to FIG. FIG. 11 shows the processing procedure of the consumption current analysis method or consumption current analysis program of the digital LSi according to the second embodiment.

消費電流解析のため、カレントプローブ58を測定ポイントに接続する(ステップS1)。図8に示す評価用ボード52では、電源部56とディジタルLSi2との間の電源ライン68にカレントプローブ58の測定探針を接続している。評価系に給電し(ステップS2)、PC80側でディジタルLSi2を動作させるためのプログラム選択が実行される(ステップS3)。このプログラムは、ICE装置78を通してディジタルLSi2に伝送され、ディジタルLSi2に接続されている記憶装置54にダウンロードされる(ステップS4)。   For current consumption analysis, the current probe 58 is connected to the measurement point (step S1). In the evaluation board 52 shown in FIG. 8, the measurement probe of the current probe 58 is connected to the power supply line 68 between the power supply unit 56 and the digital LSi2. Power is supplied to the evaluation system (step S2), and program selection for operating the digital LSi2 on the PC 80 side is executed (step S3). This program is transmitted to the digital LSi2 through the ICE device 78 and downloaded to the storage device 54 connected to the digital LSi2 (step S4).

オシロスコープ72に対し、ディジタルLSi2からシングルトリガーセットが実行され(ステップS5)、PC80から発せられたプログラムスタート指令がICE装置78を通してディジタルLSi2に伝達され、そのプログラムが実行される(ステップS6)。このような処理を通して測定結果が動作記録部24に取得され(ステップS7)、このような測定結果の取得は、プログラム毎に実行される。また、ステップS3のプログラム選択に対応し、電流シミュレーションプログラムの実行により(ステップS8)、電流期待値がPC34から算出される(ステップS9)。   A single trigger set is executed from the digital LSi2 to the oscilloscope 72 (step S5), a program start command issued from the PC 80 is transmitted to the digital LSi2 through the ICE device 78, and the program is executed (step S6). Through such processing, the measurement result is acquired in the operation recording unit 24 (step S7), and such acquisition of the measurement result is executed for each program. Corresponding to the program selection in step S3, an expected current value is calculated from the PC 34 by executing the current simulation program (step S8) (step S9).

そして、ステップS7で得られたプログラム毎の測定結果と、ステップS9で得られた電流期待値とが比較、検証され(ステップS10)、その評価結果が得られる。この比較結果は、PC34の表示部46(図2)に表示される。   Then, the measurement result for each program obtained in step S7 and the expected current value obtained in step S9 are compared and verified (step S10), and the evaluation result is obtained. The comparison result is displayed on the display unit 46 (FIG. 2) of the PC 34.

ところで、ディジタルLSi2の内部に設置された動作履歴格納ブロック20A、20B、20Cにはプログラムの動作毎に消費電流の推移が動作履歴データとして格納されている。また、電流シミュレーションでは、各論理ブロック4A、4B、4Cの各消費電流値及びその積算消費電流値が算出される。   By the way, in the operation history storage blocks 20A, 20B, and 20C installed in the digital LSi2, the transition of current consumption is stored as operation history data for each operation of the program. In the current simulation, each current consumption value of each logic block 4A, 4B, 4C and its accumulated current consumption value are calculated.

このような結果を参照し、動作履歴格納ブロック20A、20B、20Cに格納されている動作記録内容と、電流シミュレーションで得られた記録期間内における実際の消費電流測定値又は積算消費電流値とを時間軸上で相互比較することにより、ディジタルLSi2の論理回路における積算消費電流の解析、その効率化並びに妥当性判断が可能である。   With reference to such a result, the operation record contents stored in the operation history storage blocks 20A, 20B, and 20C, and the actual measured current consumption value or the accumulated current consumption value within the recording period obtained by the current simulation are obtained. By comparing each other on the time axis, it is possible to analyze the accumulated current consumption in the logic circuit of the digital LSi2, to improve its efficiency and to determine its validity.

第3の実施形態
次に、本発明の第3の実施形態について、図12を参照して説明する。図12は、ディジタルLSi2又はディジタルLSi2に搭載された動作履歴格納ブロック20A、20B、20C及び動作記録部24の具体的な構成例を示している。図12において、図1と同一部分には同一符号を付してある。
Third Embodiment Next, a third embodiment of the present invention will be described with reference to FIG. FIG. 12 shows a specific configuration example of the operation history storage blocks 20A, 20B, and 20C and the operation recording unit 24 mounted on the digital LSi2 or the digital LSi2. In FIG. 12, the same parts as those of FIG.

各動作履歴格納ブロック20A、20B、20Cは設置される論理ブロック4A、4B、4Cが異なっているが、各構成は同一である。そこで、動作履歴格納ブロック20Aについて、その構成を説明する。   The operation history storage blocks 20A, 20B, and 20C are different in the installed logic blocks 4A, 4B, and 4C, but the configurations are the same. Therefore, the configuration of the operation history storage block 20A will be described.

動作履歴格納ブロック20Aの入出力について、マスタークロック信号MCK、起動イネーブル信号SE、周波数設定信号FS、タイマ値信号TSはディジタルLSi2の論理ブロック4A側から加えられ、書込み制御信号WC、読出しアドレス信号RA、読出し制御信号RCは動作記録部24から加えられ、動作履歴データARDは動作履歴格納ブロック20Aから動作記録部24に出力される。   Regarding the input / output of the operation history storage block 20A, the master clock signal MCK, the start enable signal SE, the frequency setting signal FS, and the timer value signal TS are added from the logic block 4A side of the digital LSi2, and the write control signal WC and the read address signal RA. The read control signal RC is applied from the operation recording unit 24, and the operation history data ARD is output from the operation history storage block 20A to the operation recording unit 24.

動作履歴格納ブロック20Aには、論理ブロック4Aの動作履歴を格納する第1及び第2のRAM150、152が設置されているとともに、アドレス値レジスタ154、アドレスカウンタ156、制御レジスタ158、変化点検出回路160、RAM制御回路162、RAM読出制御回路164等が設置されている。RAM150、152及びアドレス値レジスタ154には、アドレスカウンタ156からアドレス値を表す出力が加えられており、アドレスカウンタ156は、マスタークロック信号MCKを受け、マスタークロックをカウントしている。アドレスカウンタ156には、制御レジスタ158からのアドレスリセット信号を受け、カウント値のリセットが行われるとともに、変化点検出回路160の出力が加えられている。   The operation history storage block 20A is provided with first and second RAMs 150 and 152 for storing the operation history of the logic block 4A, as well as an address value register 154, an address counter 156, a control register 158, and a change point detection circuit. 160, a RAM control circuit 162, a RAM read control circuit 164, and the like are provided. The RAMs 150 and 152 and the address value register 154 receive an output representing an address value from the address counter 156. The address counter 156 receives the master clock signal MCK and counts the master clock. The address counter 156 receives the address reset signal from the control register 158, resets the count value, and receives the output of the change point detection circuit 160.

RAM150及び変化点検出回路160には、起動イネーブル信号SE及び周波数設定信号FSが加えられており、この実施形態では、動作履歴格納ブロック20Aに設置された変化点検出回路160が、クロック信号の周波数を分周数から判断する判断部を構成しており、起動イネーブル信号SE及び周波数設定信号FSの変化点を検出している。この検出信号がRAM制御回路162に加えられている。RAM制御回路162はRAM150、152の制御を行う。動作記録部24から出力される書込み制御信号WC及び読出しアドレス信号RAは、制御レジスタ158に加えられており、アドレスカウンタ156のアドレスリセットに用いられている。   A start enable signal SE and a frequency setting signal FS are added to the RAM 150 and the change point detection circuit 160, and in this embodiment, the change point detection circuit 160 installed in the operation history storage block 20A has the frequency of the clock signal. Is determined from the frequency division number, and the change point of the start enable signal SE and the frequency setting signal FS is detected. This detection signal is applied to the RAM control circuit 162. The RAM control circuit 162 controls the RAMs 150 and 152. The write control signal WC and the read address signal RA output from the operation recording unit 24 are added to the control register 158 and used for address reset of the address counter 156.

RAM150、152に格納された動作履歴データは、動作記録部24からの読出しアドレス信号RA及び読出し制御信号RCに基づき、RAM読出制御回路164を通じて出力され、RAM読出制御回路164の読出し制御信号は、RAM150、152及びアドレス値レジスタ154に加えられている。即ち、動作記録部24からの読出しアドレス信号RAにより、アドレス値が指定されるとともに、読出制御信号RCにより、RAM150から読み出された動作履歴データARDが動作記録部24に加えられている。   The operation history data stored in the RAMs 150 and 152 is output through the RAM read control circuit 164 based on the read address signal RA and the read control signal RC from the operation recording unit 24. The read control signal of the RAM read control circuit 164 is: It is added to the RAMs 150 and 152 and the address value register 154. That is, an address value is specified by the read address signal RA from the operation recording unit 24, and the operation history data ARD read from the RAM 150 is added to the operation recording unit 24 by the read control signal RC.

このような動作履歴格納ブロック20Aの構成は、他の動作履歴格納ブロック20B、20Cも同様である。そして、動作記録部24には、プロセッサ166及びレジスタ制御部168が設置されており、プロセッサ166によりデータ書込み及び読出し、アドレス指定等の制御が実行される。レジスタ制御部168は、プロセッサ166からの命令に従い、既述の書込み制御信号WC、読出アドレス信号RA及び読出制御信号RCが動作履歴格納ブロック20A、20B、20Cに加えられ、この動作履歴格納ブロック20A、20B、20Cから出力された動作履歴データARDの取込みを行う。そして、動作記録部24に格納された動作履歴データARDは評価データとして用いられ、ICE装置32を通じてPC34に加えられる。   The configuration of the operation history storage block 20A is the same for the other operation history storage blocks 20B and 20C. The operation recording unit 24 is provided with a processor 166 and a register control unit 168, and the processor 166 executes control such as data writing and reading, and address designation. The register control unit 168 adds the write control signal WC, the read address signal RA, and the read control signal RC described above to the operation history storage blocks 20A, 20B, and 20C in accordance with an instruction from the processor 166, and this operation history storage block 20A. , 20B, and 20C, the operation history data ARD is fetched. The operation history data ARD stored in the operation recording unit 24 is used as evaluation data and added to the PC 34 through the ICE device 32.

各動作履歴格納ブロック20A、20B、20Cに格納される周波数設定信号、起動イネーブル及び動作履歴格納情報を説明すると、この実施形態では、周波数設定信号FSは例えば、図13に示すように、そのアドレス値とともにクロック停止及び異なるクロック信号周波数が設定され、起動イネーブル信号SEは例えば、図14に示すように、アドレス値とともに起動イネーブルの内容が設定され、また、動作履歴格納情報は例えば、図15に示すように、アドレス値とともに設定されるタイマ値、周波数及び起動イネーブルから構成されている。   The frequency setting signal, start enable, and operation history storage information stored in each operation history storage block 20A, 20B, 20C will be described. In this embodiment, the frequency setting signal FS has its address as shown in FIG. The clock stop and different clock signal frequencies are set with the value, and the start enable signal SE is set with the contents of the start enable together with the address value, for example, as shown in FIG. 14, and the operation history storage information is shown in FIG. As shown, it is composed of a timer value, a frequency, and a start enable that are set together with the address value.

斯かる構成によれば、各論理ブロック4A、4B、4Cの複数回に渡るクロック信号毎の供給・停止制御の供給開始時間、供給完了時間を記録して保持するための回路、その制御内容を記録しておく期間を任意に設定可能な回路としてRAM150、152、制御レジスタ158、アドレスカウンタ156等が設置され、記録内容を保持した値をディジタルLSi2の外部に出力するための回路としてRAM読出制御回路164が設置されている。   According to such a configuration, the circuit for recording and holding the supply start time and the supply completion time of the supply / stop control for each clock signal of each logic block 4A, 4B, 4C multiple times, and the control contents RAMs 150 and 152, a control register 158, an address counter 156, and the like are provided as circuits capable of arbitrarily setting a recording period, and RAM read control is performed as a circuit for outputting a value holding a recorded content to the outside of the digital LSi2. A circuit 164 is installed.

そして、ディジタルLSi2(例えば、図9)に示すように、論理ブロック4A、4B、4Cに供給されているクロック信号の周波数が分周回路等(例えば、クロックコントローラ106、116、130)で変更可能であるので、論理ブロック4A、4B、4Cにて使用しているクロック信号の周波数を分周数の設定値(クロック選択情報、周波数設定信号)によって判断する回路として変化点検出回路160が設置されており、その記録内容を保持する回路としてRAM150を備えている。斯かる構成により、動作周波数情報が動作履歴格納ブロック20A、20B、20Cに格納され、その情報を消費電流の評価に用いることができる。   Then, as shown in the digital LSi2 (for example, FIG. 9), the frequency of the clock signal supplied to the logic blocks 4A, 4B, and 4C can be changed by a frequency divider circuit (for example, the clock controllers 106, 116, and 130). Therefore, the change point detection circuit 160 is installed as a circuit that determines the frequency of the clock signal used in the logic blocks 4A, 4B, and 4C by the set value of the frequency division number (clock selection information, frequency setting signal). A RAM 150 is provided as a circuit for holding the recorded contents. With such a configuration, the operating frequency information is stored in the operation history storage blocks 20A, 20B, and 20C, and the information can be used for evaluation of current consumption.

このような動作履歴情報に基づき、ディジタルLSi2の動作内容を確認することができ、その動作履歴情報に基づいた動作内容を以て消費電流の測定値の評価に用いられ、信頼性の高い評価が可能となる。   Based on such operation history information, the operation content of the digital LSi 2 can be confirmed, and the operation content based on the operation history information is used for evaluating the measured value of the current consumption, enabling highly reliable evaluation. Become.

次に、以上述べた集積回路、その消費電流解析方法及び消費電流解析プログラムの実施形態から技術的な事項を抽出し、その技術的な意義、変形例、その他、技術的な拡張事項等を以下に列挙する。   Next, technical matters are extracted from the embodiments of the integrated circuit, the current consumption analysis method and the current consumption analysis program described above, and the technical significance, modifications, and other technical extension items are described below. Are listed.

(1) 以上の通り、ディジタルLSiの消費電流評価について、低消費電力化を目的とした複雑な制御内容や、制御に基づいた消費電流の測定結果の解析方法、解析プログラム及び集積回路を提供するものである。   (1) As described above, for the current consumption evaluation of digital LSi, a complicated control content aiming at low power consumption, a method for analyzing the measurement result of current consumption based on the control, an analysis program, and an integrated circuit are provided. Is.

(2) 上記実施形態について、集積回路の一例であるディジタルLSiの消費電流の測定及び解析においては、各論理ブロック4A、4B、4Cの各動作パターンでのシミュレーションを実施し、電流期待値を作成しておくことが必要である。また、ディジタルLSi2の消費電流は、回路規模の増大と動作周波数の高速化のため、ドライブ能力の大きなセル(クロックバッファ等)の割合が支配的であり、各論理ブロック4A、4B、4Cのクロック供給を停止させることで消費電流を削減することが最も簡易で一般的である。   (2) In the above embodiment, in the measurement and analysis of the current consumption of the digital LSi that is an example of the integrated circuit, simulation is performed with each operation pattern of each of the logic blocks 4A, 4B, and 4C, and an expected current value is created. It is necessary to keep it. In addition, the current consumption of the digital LSi2 is dominated by the proportion of cells (clock buffer, etc.) having a large drive capability in order to increase the circuit scale and the operating frequency, and the clocks of the logical blocks 4A, 4B, 4C. It is the simplest and most common to reduce current consumption by stopping supply.

(3) そこで、上記実施形態では、W−CDMA端末に搭載される低消費電力化を目的としたディジタルLSi2の各論理ブロック4A、4B、4Cが、単一又は複数の一定周期のクロック信号によって動作し、各論理ブロック4A、4B、4Cで使用しているクロック毎に供給・停止を制御可能な論理回路を構成しており、その一例として、既述した通り、タイマ回路22、各論理ブロック4A、4B、4Cの複数回に渡るクロック毎の供給・停止制御の供給開始時間、供給完了時間を記録して保持するための回路、その制御内容を記録しておく期間を任意に設定可能な回路、記録内容を保持した値をLSi外部に出力するための回路として動作履歴格納ブロック20A、20B、20C各論理ブロック毎に付加したディジタルLSi2が構成されている。   (3) Therefore, in the above-described embodiment, each logical block 4A, 4B, 4C of the digital LSi2 for the purpose of reducing power consumption mounted on the W-CDMA terminal is converted into a single or a plurality of fixed-cycle clock signals. A logic circuit that operates and can control supply / stop for each clock used in each of the logic blocks 4A, 4B, and 4C is configured. As an example, as described above, the timer circuit 22 and each logic block are configured. A circuit for recording and holding the supply start time and the supply completion time of the supply / stop control for each clock of 4A, 4B, and 4C, and a period for recording the control contents can be arbitrarily set. Digital LSi2 added to each logic block of the operation history storage blocks 20A, 20B, and 20C is configured as a circuit for outputting a value holding the recorded contents to the outside of the LSi. Has been.

(4) また、ディジタルLSi2(図9)に示すように、論理ブロック4A、4B、4Cに供給されているクロック信号の周波数が分周回路等(例えば、クロックコントローラ106、116、130)で変更可能である場合には、論理ブロック4A、4B、4Cにて使用しているクロック信号の周波数を分周数の設定値(クロック選択情報、周波数設定信号)によって判断する回路として変化点検出回路160、その記録内容を保持する回路としてRAM150を備えた動作履歴格納ブロック20A、20B、20CをディジタルLSi2に付加した構成とする。第1の実施形態では、動作履歴格納ブロック20A、20B、20Cがクロック信号の周波数を分周数の設定値により判断する判断部を構成し、第3の実施形態では、変化点検出回路160等を内蔵させて判断部としているが、第1の実施形態に示した動作クロック選択回路14、18の機能を利用して判断部として構成してもよい。   (4) Also, as shown in the digital LSi2 (FIG. 9), the frequency of the clock signal supplied to the logic blocks 4A, 4B, and 4C is changed by a frequency divider or the like (for example, the clock controllers 106, 116, and 130). If possible, the change point detection circuit 160 is a circuit that determines the frequency of the clock signal used in the logic blocks 4A, 4B, and 4C based on the set value of the frequency division number (clock selection information, frequency setting signal). The operation history storage blocks 20A, 20B, and 20C having the RAM 150 as a circuit for holding the recorded contents are added to the digital LSi2. In the first embodiment, the operation history storage blocks 20A, 20B, and 20C constitute a determination unit that determines the frequency of the clock signal based on the set value of the frequency division number. In the third embodiment, the change point detection circuit 160 and the like. However, the determination unit may be configured using the functions of the operation clock selection circuits 14 and 18 shown in the first embodiment.

(5) また、上記実施形態では、ディジタルLSi2において、論理ブロック4A、4B、4Cは論理回路で構成しているが、例えば、メモリで構成してもよく、そのメモリがインヒビット制御可能であり、各メモリのインヒビット信号を制御可能なメモリからなる機能回路(論理回路)に対して、既述した通りのタイマ回路22、動作履歴格納ブロック20A、20B、20Cを設置し、各動作履歴格納ブロック20A、20B、20Cが、各メモリの複数回に渡るインヒビット信号毎の制御のインヒビット開始時間、インヒビット完了時間を記録して保持するための回路、その制御内容を記録しておく期間を任意に設定可能な回路及び、記録内容を保持した値をディジタルLSiの外部に出力するための回路を各メモリ毎に付加したディジタルLSiとして構成してもよい。   (5) In the above embodiment, in the digital LSi2, the logic blocks 4A, 4B, and 4C are composed of logic circuits. However, for example, the logic blocks 4A, 4B, and 4C may be composed of memories, and the memories are capable of inhibit control. As described above, the timer circuit 22 and the operation history storage blocks 20A, 20B, and 20C are provided for the functional circuit (logic circuit) including the memory capable of controlling the inhibit signal of each memory, and each operation history storage block 20A is provided. , 20B and 20C can arbitrarily set a circuit for recording and holding the control inhibit start time and the inhibit completion time for each inhibit signal of each memory multiple times, and a period for recording the control contents. Digital circuit having a circuit for outputting recorded values stored outside the digital LSi to each memory. You may comprise as LLSi.

(6) 上記実施形態では、既述の通り、周波数の異なる単一又は複数のクロック信号により動作する機能回路を備えた集積回路の一例として、W−CDMA端末に搭載される低消費電力化を目的としたディジタルLSiを想定している。このW−CDMAベースバンドLSiでは省電力化のための動作条件をハードウェアやファームウェアにより複雑に制御しており、ディジタルLSi2内部の多数の機能ブロックを無線通信プロトコルに則って時系列で多重制御を行っている。ここで、動作条件とは、クロックON/OFF制御、クロック周波数変更、メモリのインヒビット等を示しており、消費電力に大きく影響する要素である。そこで、このW−CDMAベースバンドLSiの消費電力モニタでは、ディジタルLSi2の各機能ブロック(論理ブロック4A、4B、4C)に対応した回路として動作履歴格納ブロック20A、20B、20CをディジタルLSi2内に搭載している。各動作履歴格納ブロック20A、20B、20Cでは、指定の時間帯における動作条件、即ち、クロック制御、動作周波数、メモリ制御の履歴を格納する格納部、時間帯指定を任意に設定する設定部等を備えている。   (6) In the above embodiment, as described above, as an example of an integrated circuit including a functional circuit that operates by a single or a plurality of clock signals having different frequencies, low power consumption mounted in a W-CDMA terminal is reduced. The intended digital LSi is assumed. In this W-CDMA baseband LSi, the operating conditions for power saving are complicatedly controlled by hardware and firmware, and multiple functional blocks inside the digital LSi2 are multiplexed in time series according to the wireless communication protocol. Is going. Here, the operating conditions indicate clock ON / OFF control, clock frequency change, memory inhibit, and the like, and are elements that greatly affect power consumption. Therefore, in this W-CDMA baseband LSi power consumption monitor, operation history storage blocks 20A, 20B, and 20C are mounted in the digital LSi2 as circuits corresponding to the respective functional blocks (logic blocks 4A, 4B, and 4C) of the digital LSi2. is doing. Each of the operation history storage blocks 20A, 20B, and 20C includes an operation condition in a specified time zone, that is, a storage unit that stores a history of clock control, operation frequency, and memory control, a setting unit that arbitrarily sets a time zone specification, and the like. I have.

(7) 上記実施形態では、周波数の異なる単一又は複数のクロック信号により動作する機能回路を搭載した集積回路の一例としてディジタルLSi2を例示しているが、本発明は、周波数の異なる単一又は複数のクロック信号により動作する機能回路を搭載した集積回路であればよく、既述のW−CDMA端末に搭載される低消費電力化を目的としたディジタルLSiに限定されるものではない。   (7) In the above embodiment, the digital LSi2 is exemplified as an example of an integrated circuit equipped with a functional circuit that operates by a single or a plurality of clock signals having different frequencies. Any integrated circuit including functional circuits that operate with a plurality of clock signals may be used, and the present invention is not limited to digital LSi for the purpose of reducing power consumption mounted in the W-CDMA terminal described above.

(8) 上記実施形態で説明したように、集積回路としてのディジタルLSi2に対して論理ブロック4A、4B、4Cを設定するとともに、動作履歴格納ブロック20A、20B、20Cを内蔵させれば、その動作内容の記録に基づき、その記録データを外部の評価装置等に提供することにより、比較的容易にディジタルLSi2の消費電流値の妥当性の判断を行うことができる。   (8) As described in the above embodiment, when the logic blocks 4A, 4B, and 4C are set for the digital LSi2 as an integrated circuit and the operation history storage blocks 20A, 20B, and 20C are incorporated, the operation is performed. The validity of the current consumption value of the digital LSi2 can be determined relatively easily by providing the recorded data to an external evaluation device or the like based on the recorded contents.

(9) 以上実施形態で記載した通り、実際に測定したディジタルLSi2の消費電流値の信頼性を容易に向上させることができる。即ち、各論理ブロック4A、4B、4Cの動作履歴としてクロック信号の制御状態を監視するだけでよく、クロック信号以外の動作消費電流は、クロック系の消費電流に比べて少ないから、それによる誤差が無視できるからである。携帯端末等のディジタルLSiでは、実際に測定された消費電流値の遷移から通話時間や待ち受け時間等を見積もり、論理的な裏付けを取るために多くの労力を必要とするが、本発明によれば、容易に性能の裏付けを取ることができる。   (9) As described in the above embodiment, the reliability of the consumption current value of the actually measured digital LSi2 can be easily improved. That is, it is only necessary to monitor the control state of the clock signal as the operation history of each logic block 4A, 4B, 4C. It can be ignored. In digital LSi such as a portable terminal, much effort is required to estimate the call time, standby time, etc. from the transition of the actually measured current value, and to take a logical support, according to the present invention. , Can easily take back the performance.

(10)各論理ブロック4A、4B、4Cの動作履歴から、論理ブロック4A、4B、4Cの制御系統の動作品質の向上に寄与する。ファームウェアを用いて制御を実施している場合には、ハードウェアとのタイミング検証及びその評価について、本発明を適用すれば、その検証及び評価時間の短縮等の効果が得られる。   (10) The operation history of each logical block 4A, 4B, 4C contributes to the improvement of the operation quality of the control system of the logical blocks 4A, 4B, 4C. When control is performed using firmware, if the present invention is applied to timing verification with hardware and its evaluation, effects such as verification and shortening of the evaluation time can be obtained.

(11) そして、動作履歴格納ブロック20A、20B、20Cに動作履歴を格納する領域を拡大すれば、非常に長い時間、例えば、数時間単位での測定が可能である。動作履歴格納ブロック20A、20B、20Cは、外部からクロック信号を供給することで、評価時以外は消費電流を抑えることが可能である。さらに、ある一定周期(例えば間欠周期)毎に情報を読み出すことで、消費電流の論理的な監視も可能となる。   (11) If the area for storing the operation history is expanded in the operation history storage blocks 20A, 20B, and 20C, measurement in a very long time, for example, several hours is possible. The operation history storage blocks 20A, 20B, and 20C can suppress current consumption except during evaluation by supplying a clock signal from the outside. Furthermore, the current consumption can be logically monitored by reading out information at every certain period (for example, intermittent period).

次に、以上述べた本発明の集積回路、その消費電流解析方法及び消費電流解析プログラムの各実施形態から抽出される技術的思想を請求項の記載形式に準じて付記として列挙する。本発明に係る技術的思想は上位概念から下位概念まで、様々なレベルやバリエーションにより把握できるものであり、以下の付記に本発明が限定されるものではない。   Next, technical ideas extracted from the embodiments of the integrated circuit, the consumption current analysis method and the consumption current analysis program of the present invention described above are listed as appendices according to the description format of the claims. The technical idea according to the present invention can be grasped by various levels and variations from a superordinate concept to a subordinate concept, and the present invention is not limited to the following supplementary notes.

(付記1) 周波数の異なる単一又は複数のクロック信号により動作する機能回路が搭載された集積回路であって、
前記機能回路に設定され、独立して前記クロック信号の起動及び停止が行える複数の機能ブロックと、
これら機能ブロック毎に前記クロック信号に基づく動作内容を記録する記録部と、
を備えたことを特徴とする集積回路。
(Supplementary Note 1) An integrated circuit on which a functional circuit that operates by a single or a plurality of clock signals having different frequencies is mounted,
A plurality of functional blocks set in the functional circuit and capable of starting and stopping the clock signal independently;
A recording unit for recording the operation content based on the clock signal for each functional block,
An integrated circuit comprising:

(付記2) 前記記録部は、前記動作内容を記録する期間が設定されることを特徴とする付記1記載の集積回路。   (Supplementary note 2) The integrated circuit according to supplementary note 1, wherein the recording unit has a period for recording the operation content.

(付記3) 前記クロック信号の周波数を分周数の設定値により判断する判断部を備え、前記記録部に前記機能ブロックの動作周波数情報を記録させることを特徴とする付記1記載の集積回路。   (Additional remark 3) The integrated circuit of Additional remark 1 characterized by including the determination part which determines the frequency of the said clock signal by the setting value of a frequency division number, and makes the said recording part record the operating frequency information of the said functional block.

(付記4) 周波数の異なる単一又は複数のクロック信号により動作する機能回路が搭載された集積回路の消費電流解析方法であって、
前記機能回路に設定されて独立して前記クロック信号の起動及び停止が行える複数の機能ブロック毎に前記クロック信号に基づく電流値を記録する処理と、
シミュレーションにより前記機能ブロックの電流値を求める処理と、
前記シミュレーションによって求められた電流値と、前記機能ブロックの動作によって得られた電流値とを比較する処理と、
を含んで前記集積回路の消費電流を解析することを特徴とする集積回路の消費電流解析方法。
(Additional remark 4) It is the consumption current analysis method of the integrated circuit by which the functional circuit which operate | moves with the single or several clock signal from which a frequency differs, Comprising:
A process of recording a current value based on the clock signal for each of a plurality of functional blocks set in the functional circuit and capable of starting and stopping the clock signal independently;
Processing to obtain the current value of the functional block by simulation;
A process of comparing the current value obtained by the simulation with the current value obtained by the operation of the functional block;
A method for analyzing current consumption of an integrated circuit, comprising: analyzing the current consumption of the integrated circuit.

(付記5) 周波数の異なる単一又は複数のクロック信号により動作する機能回路が搭載された集積回路の消費電流解析プログラムであって、
前記機能回路に設定されて独立して前記クロック信号の起動及び停止が行える複数の機能ブロック毎に前記クロック信号に基づく電流値を記録するステップと、
シミュレーションにより前記機能ブロックの電流値を求めるステップと、
前記シミュレーションによって求められた電流値と、前記機能ブロックの動作によって得られた電流値とを比較するステップと、
をコンピュータに実行させることにより、前記集積回路の消費電流を解析することを特徴とする集積回路の消費電流解析プログラム。
(Additional remark 5) It is the consumption current analysis program of the integrated circuit by which the functional circuit which operate | moves with the single or several clock signal from which a frequency differs, Comprising:
Recording a current value based on the clock signal for each of a plurality of functional blocks set in the functional circuit and capable of starting and stopping the clock signal independently;
Obtaining a current value of the functional block by simulation;
Comparing the current value obtained by the simulation with the current value obtained by the operation of the functional block;
A computer-executable current consumption analysis program for analyzing the current consumption of the integrated circuit.

(付記6) 前記記録部に時間情報を付与するタイマ回路を備えたことを特徴とする付記1記載の集積回路。   (Additional remark 6) The integrated circuit of Additional remark 1 provided with the timer circuit which provides time information to the said recording part.

(付記7) 前記記録部は、前記機能ブロック毎に設置されているとともに、前記クロック信号毎に供給時間を記録するとともに、記録時間を設定可能な構成としたことを特徴とする付記1記載の集積回路。   (Additional remark 7) The said recording part is set for every said functional block, and while setting supply time for every said clock signal, it was set as the structure which can set recording time, The additional description 1 characterized by the above-mentioned. Integrated circuit.

(付記8) 前記機能ブロックは前記クロック信号の周波数を分周数により変更可能であり、前記記録部は前記分周数により前記クロック信号の周波数を判断するとともに、前記機能ブロックの周波数情報を格納する構成としたことを特徴とする付記1記載の集積回路。   (Supplementary Note 8) The function block can change the frequency of the clock signal according to the frequency division number, and the recording unit determines the frequency of the clock signal based on the frequency division number and stores the frequency information of the function block. The integrated circuit according to appendix 1, wherein the integrated circuit is configured as described above.

(付記9) 前記記録部は、前記機能ブロックに供給されたクロック信号をカウントし、そのカウント値を保持する保持回路を備えることを特徴とする付記1記載の集積回路。   (Additional remark 9) The said recording part is provided with the holding circuit which counts the clock signal supplied to the said functional block, and hold | maintains the count value, The integrated circuit of Additional remark 1 characterized by the above-mentioned.

(付記10) 周波数の異なる単一又は複数のクロック信号により動作する機能回路が搭載された集積回路であって、
前記機能回路に設定され、独立して前記クロック信号の起動及び停止が行える複数のメモリと、
これらメモリ毎に前記クロック信号に基づく動作内容を記録する記録部と、
を備えたことを特徴とする集積回路。

以上説明したように、本発明の最も好ましい実施の形態等について説明したが、本発明は、上記記載に限定されるものではなく、特許請求の範囲に記載され、又は発明の詳細な説明に開示された発明の要旨に基づき、当業者において様々な変形や変更が可能であることは勿論であり、係る変形や変更が、本発明の範囲に含まれることは言うまでもない。
(Supplementary Note 10) An integrated circuit on which a functional circuit that operates with a single or a plurality of clock signals having different frequencies is mounted,
A plurality of memories set in the functional circuit and capable of starting and stopping the clock signal independently;
A recording unit for recording the operation content based on the clock signal for each memory;
An integrated circuit comprising:

As described above, the most preferred embodiment of the present invention has been described. However, the present invention is not limited to the above description, and is described in the claims or disclosed in the detailed description of the invention. It goes without saying that various modifications and changes can be made by those skilled in the art based on the gist of the invention, and such modifications and changes are included in the scope of the present invention.

本発明は、ディジタルLSi等の集積回路の消費電流の評価に関し、周波数の異なる単一又は複数のクロック信号により動作する機能回路が搭載された集積回路であって、独立してクロック信号の起動及び停止が行える複数の機能ブロックを設定し、各機能ブロック毎にクロック信号に基づく動作内容を記録する記録部を備え、この記録部から履歴情報を集積回路の外部に取り出し、消費電流等の評価情報に利用することができ、評価精度を高め、信頼性の高い集積回路の提供に寄与することができ、有用である。
The present invention relates to evaluation of current consumption of an integrated circuit such as a digital LSi, and is an integrated circuit equipped with a functional circuit that operates by a single or a plurality of clock signals having different frequencies, and independently activates a clock signal and A plurality of functional blocks that can be stopped are set, and each functional block is provided with a recording unit that records the operation content based on the clock signal, and history information is taken out of the integrated circuit from this recording unit, and evaluation information such as current consumption Therefore, it is useful because it can improve the evaluation accuracy and contribute to the provision of a highly reliable integrated circuit.

本発明の第1の実施形態に係る集積回路を示すブロック図である。1 is a block diagram showing an integrated circuit according to a first embodiment of the present invention. パーソナルコンピュータの構成の概要を示すブロック図である。It is a block diagram which shows the outline | summary of a structure of a personal computer. 論理ブロックの動作制御仕様を示す図である。It is a figure which shows the operation control specification of a logic block. 論理ブロックの電流シミュレーション結果を示す図である。It is a figure which shows the electric current simulation result of a logic block. ディジタルLSiの消費電流の遷移を示す図である。It is a figure which shows the transition of the consumption current of digital LSi. 論理ブロックの動作履歴の格納例を示す図である。It is a figure which shows the example of storage of the operation | movement history of a logical block. 論理ブロックの動作履歴の収集例を示す図である。It is a figure which shows the collection example of the operation | movement history of a logic block. 本発明の第2の実施形態に係るディジタルLSi評価装置の概要を示す図である。It is a figure which shows the outline | summary of the digital LSi evaluation apparatus which concerns on the 2nd Embodiment of this invention. 第2の実施形態に係るディジタルLSiの基本構成を示すブロック図である。It is a block diagram which shows the basic composition of digital LSi which concerns on 2nd Embodiment. 第2の実施形態に係るディジタルLSiの構成を示すブロック図である。It is a block diagram which shows the structure of digital LSi which concerns on 2nd Embodiment. 第2の実施形態に係るディジタルLSiの消費電流解析方法及び消費電流解析プログラムの処理手順を示すフローチャートである。It is a flowchart which shows the processing procedure of the consumption current analysis method and consumption current analysis program of digital LSi which concern on 2nd Embodiment. 第3の実施形態に係る動作履歴格納ブロック及び動作記録部の構成例を示すブロック図である。It is a block diagram which shows the structural example of the operation | movement history storage block and operation | movement recording part which concern on 3rd Embodiment. 周波数設定信号のアドレス及び内容を示す図である。It is a figure which shows the address and content of a frequency setting signal. 起動イネーブル信号のアドレス及び内容を示す図である。It is a figure which shows the address and content of a starting enable signal. 論理ブロックの動作履歴格納情報を示す図である。It is a figure which shows the operation | movement log storage information of a logical block.

符号の説明Explanation of symbols

2 ディジタルLSi
4A、4B、4C 論理ブロック(機能ブロック)
20A、20B、20C 動作履歴格納ブロック(記録部)
24 動作記録部
34 PC
50 ディジタルLSi評価装置
160 変化点検出回路(判断部)
2 Digital LSi
4A, 4B, 4C logic block (functional block)
20A, 20B, 20C Operation history storage block (recording unit)
24 Operation recording unit 34 PC
50 Digital LSi Evaluation Device 160 Change Point Detection Circuit (Judgment Unit)

Claims (5)

周波数の異なる単一又は複数のクロック信号により動作する機能回路が搭載された集積回路であって、
前記機能回路に設定され、独立して前記クロック信号の起動及び停止が行える複数の機能ブロックと、
これら機能ブロック毎に前記クロック信号に基づく動作内容を記録する記録部と、
を備えたことを特徴とする集積回路。
An integrated circuit on which a functional circuit that operates by a single or a plurality of clock signals having different frequencies is mounted,
A plurality of functional blocks set in the functional circuit and capable of starting and stopping the clock signal independently;
A recording unit for recording the operation content based on the clock signal for each functional block,
An integrated circuit comprising:
前記記録部は、前記動作内容を記録する期間が設定されることを特徴とする請求項1記載の集積回路。   The integrated circuit according to claim 1, wherein a period for recording the operation content is set in the recording unit. 前記クロック信号の周波数を分周数の設定値により判断する判断部を備え、前記記録部に前記機能ブロックの動作周波数情報を記録させることを特徴とする請求項1記載の集積回路。   The integrated circuit according to claim 1, further comprising a determination unit that determines a frequency of the clock signal based on a set value of a frequency division number, and causes the recording unit to record operating frequency information of the functional block. 周波数の異なる単一又は複数のクロック信号により動作する機能回路が搭載された集積回路の消費電流解析方法であって、
前記機能回路に設定されて独立して前記クロック信号の起動及び停止が行える複数の機能ブロック毎に前記クロック信号に基づく電流値を記録する処理と、
シミュレーションにより前記機能ブロックの電流値を求める処理と、
前記シミュレーションによって求められた電流値と、前記機能ブロックの動作によって得られた電流値とを比較する処理と、
を含んで前記集積回路の消費電流を解析することを特徴とする集積回路の消費電流解析方法。
A method for analyzing current consumption of an integrated circuit equipped with a functional circuit that operates by a single or a plurality of clock signals having different frequencies,
A process of recording a current value based on the clock signal for each of a plurality of functional blocks set in the functional circuit and capable of starting and stopping the clock signal independently;
Processing to obtain the current value of the functional block by simulation;
A process of comparing the current value obtained by the simulation with the current value obtained by the operation of the functional block;
A method for analyzing current consumption of an integrated circuit, comprising: analyzing the current consumption of the integrated circuit.
周波数の異なる単一又は複数のクロック信号により動作する機能回路が搭載された集積回路の消費電流解析プログラムであって、
前記機能回路に設定されて独立して前記クロック信号の起動及び停止が行える複数の機能ブロック毎に前記クロック信号に基づく電流値を記録するステップと、
シミュレーションにより前記機能ブロックの電流値を求めるステップと、
前記シミュレーションによって求められた電流値と、前記機能ブロックの動作によって得られた電流値とを比較するステップと、
をコンピュータに実行させることにより、前記集積回路の消費電流を解析することを特徴とする集積回路の消費電流解析プログラム。
A current consumption analysis program for an integrated circuit equipped with a functional circuit that operates by a single or a plurality of clock signals having different frequencies,
Recording a current value based on the clock signal for each of a plurality of functional blocks set in the functional circuit and capable of starting and stopping the clock signal independently;
Obtaining a current value of the functional block by simulation;
Comparing the current value obtained by the simulation with the current value obtained by the operation of the functional block;
A computer-executable current consumption analysis program for analyzing the current consumption of the integrated circuit.
JP2004247298A 2004-08-26 2004-08-26 Integrated circuit, and method and program for analyzing consumed current Withdrawn JP2006066635A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004247298A JP2006066635A (en) 2004-08-26 2004-08-26 Integrated circuit, and method and program for analyzing consumed current

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004247298A JP2006066635A (en) 2004-08-26 2004-08-26 Integrated circuit, and method and program for analyzing consumed current

Publications (1)

Publication Number Publication Date
JP2006066635A true JP2006066635A (en) 2006-03-09

Family

ID=36112836

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004247298A Withdrawn JP2006066635A (en) 2004-08-26 2004-08-26 Integrated circuit, and method and program for analyzing consumed current

Country Status (1)

Country Link
JP (1) JP2006066635A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007317722A (en) * 2006-05-23 2007-12-06 Fujitsu Ltd Circuit function module, voltage/clock indication module, and electronic system
CN113311319A (en) * 2021-06-01 2021-08-27 成都海光集成电路设计有限公司 Integrated circuit chip and configuration method, and test system and test method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007317722A (en) * 2006-05-23 2007-12-06 Fujitsu Ltd Circuit function module, voltage/clock indication module, and electronic system
CN113311319A (en) * 2021-06-01 2021-08-27 成都海光集成电路设计有限公司 Integrated circuit chip and configuration method, and test system and test method
CN113311319B (en) * 2021-06-01 2024-02-13 成都海光集成电路设计有限公司 Integrated circuit chip and configuration method, and test system and test method

Similar Documents

Publication Publication Date Title
Stathopoulos et al. The energy endoscope: Real-time detailed energy accounting for wireless sensor nodes
CN102737724B (en) Nonvolatile random access memory method of testing
CN107015891B (en) Information processing method and test chip
CN103116382B (en) The method that power and trace investigation system and execution power and trace are detected
JP4523656B2 (en) Computer testing method and computer system
CN101162259A (en) Function testing device and method for small electric quantity extra battery
CN103389419A (en) Sleep wake-up test device of vehicle-mounted system
CN111836347A (en) Electric quantity display method, device and equipment and readable storage medium
US20150377937A1 (en) Calculating Power Consumption of Electonic Devices
CN112834864A (en) Waveform acquisition method and device, waveform acquisition equipment and storage medium
US10361683B2 (en) Semiconductor integrated circuit
KR20080104177A (en) Firmware extendable commands for a microcontroller based flash memory digital controller
JP2006066635A (en) Integrated circuit, and method and program for analyzing consumed current
JP2015005189A (en) Ecu evaluation device, computer program, and ecu evaluation method
CN100412761C (en) Method for displaying awakening event of computer system in graphics context mode
CN114121137B (en) Nand Flash particle power consumption testing system and method
EP2811309A1 (en) Semiconductor circuit apparatus and electronic apparatus
US20070028010A1 (en) Peripheral device utilization monitoring
JP2008209201A (en) Current measuring method and semiconductor integrated circuit
CN113191113B (en) Power consumption optimization method and system based on register transmission level and related components
US20050209715A1 (en) Monitoring device for monitoring internal signals during initialization of an electronic circuit unit
JP2008117500A (en) Device test equipment
CN113741672B (en) Mainboard power supply leakage detection method, system and related components
CN112363955B (en) Hardware automation integration test method and system
CN116184255B (en) Method and control system for testing transient response of power supply in chip

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20071106