JP2008209201A - Current measuring method and semiconductor integrated circuit - Google Patents

Current measuring method and semiconductor integrated circuit Download PDF

Info

Publication number
JP2008209201A
JP2008209201A JP2007045468A JP2007045468A JP2008209201A JP 2008209201 A JP2008209201 A JP 2008209201A JP 2007045468 A JP2007045468 A JP 2007045468A JP 2007045468 A JP2007045468 A JP 2007045468A JP 2008209201 A JP2008209201 A JP 2008209201A
Authority
JP
Japan
Prior art keywords
circuit
functional block
current
self
clock signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007045468A
Other languages
Japanese (ja)
Inventor
Tetsuya Yamada
哲也 山田
Kazuo Otsuga
一雄 大津賀
Kenichi Osada
健一 長田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2007045468A priority Critical patent/JP2008209201A/en
Publication of JP2008209201A publication Critical patent/JP2008209201A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a technique which enables current measurement for each functional block, after chip manufacturing. <P>SOLUTION: Supply of a clock signal to functional blocks other than a functional block being an object of current measurement out of a plurality of functional blocks (2A-2D) is stopped, and a built-in self test circuit and a scan circuit are operated in the functional block which is the object of current measurement. Under this condition, current flowing in a power source terminal (11) of a semiconductor integrated circuit chip is measured. Since the supply of the clock signal, here, to the other functional blocks excluding the functional block being the object of current measurement is stopped, current measurement for each functional block becomes possible. Moreover, since the current measurement for each functional block is made possible by measuring the current flowing through the power source terminal of the semiconductor chip (1), current measurement, after the manufacture of the chip, becomes possible. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、半導体集積回路における消費電流評価技術に関する。   The present invention relates to a current consumption evaluation technique in a semiconductor integrated circuit.

微細化の進展に伴い、LSIの集積度が向上し、チップ上に複数の機能ブロックが実装されることで、SoC(System on Chip)が形成される。上記機能ブロックには、マイクロコンピュータや、その周辺回路など、それぞれ所定の機能を発揮するように形成された回路ブロックが含まれる。携帯電話やPDAなどのバッテリー駆動のディジタル機器にもSoCが内蔵され、多様なアプリケーションソフトウェアが実行される。これらバッテリー駆動のディジタル機器では、低消費電力であることが強く求められており、SoC上のハードウェアや、SoCで動作されるソフトウェアによって、きめ細かい電力制御が行われている。SoCの低消費電力化において、消費電力評価は欠かせない。一般にSoCのチップ製造前や製造後に、SoCのどの部分がどの程度の電力を消費するかが評価される。そして必要に応じて低消費電力化の方針が立てられ、低消費電力化の効果が調べられる。   With the progress of miniaturization, the degree of integration of LSI is improved, and a plurality of functional blocks are mounted on a chip, thereby forming a SoC (System on Chip). The functional blocks include circuit blocks formed to perform predetermined functions such as a microcomputer and its peripheral circuits. Battery-powered digital devices such as mobile phones and PDAs also have a built-in SoC and execute various application software. These battery-driven digital devices are strongly required to have low power consumption, and fine power control is performed by hardware on the SoC and software operated on the SoC. Evaluation of power consumption is indispensable in reducing SoC power consumption. In general, it is evaluated which part of the SoC consumes how much power before and after the SoC chip is manufactured. Then, if necessary, a policy for reducing power consumption is established, and the effect of reducing power consumption is investigated.

チップ製造前段階での電力評価では、従来、特定の短い機能テストパターン信号を用いたゲートシミュレーションが行なわれてきた。全ゲートの出力ネットの0と1の反転数(トグルと呼ぶ)データから全ゲートの電力値が累算される。このゲートシミュレーションによれば、精度が高い反面、(a)ゲートシミュレーションのため、実行速度が遅い、(b)パターン信号は短い機能試験用で、実アプリケーションレベルのパターン信号は実時間では実行できない、(c)テストパターン信号の開発工数が大きい、(d)各機能ブロックの電力を評価するためには機能ブロックごとにテストパターン信号を準備する必要がある、など改善すべき点がある。   In power evaluation at a stage before chip manufacture, gate simulation using a specific short function test pattern signal has been conventionally performed. The power values of all gates are accumulated from the inversion numbers (called toggles) of 0 and 1 of the output nets of all gates. According to this gate simulation, although the accuracy is high, (a) the execution speed is slow because of the gate simulation, (b) the pattern signal is for a short function test, and the pattern signal at the actual application level cannot be executed in real time. There are points to be improved, such as (c) a large number of man-hours for developing test pattern signals, (d) it is necessary to prepare a test pattern signal for each functional block in order to evaluate the power of each functional block.

上記(a),(b)に関しては、トグル計数回路を埋め込んだFPGAを用いた電力評価が提案されている(例えば特許文献1参照)。上記(c),(d)に関しては、ATPGによるテストパターン信号の自動化が提案されている(例えば特許文献2参照)。   Regarding the above (a) and (b), power evaluation using an FPGA in which a toggle counting circuit is embedded has been proposed (for example, see Patent Document 1). Regarding the above (c) and (d), automation of a test pattern signal by ATPG has been proposed (for example, see Patent Document 2).

チップ製造後に関しては、テスタによるテストパターン信号の電流測定、又は実装ボードによるテストパターン信号の電流測定が行われる。実アプリケーションソフトウェアが開発された後は実装ボードにより電力測定が行われる。SoCの外部のジャンパに直列に電流計を挿入するか、電流プローブを用いて電流が測定される。   After chip manufacture, test pattern signal current measurement by a tester or test pattern signal current measurement by a mounting board is performed. After actual application software is developed, power is measured by the mounting board. The current is measured by inserting an ammeter in series with a jumper external to the SoC or using a current probe.

しかしながら、上記テストパターン信号では単一の機能ブロックの測定はできない。クロック信号制御部、バス、マイクロプロセッサなど複数の機能ブロックが動作した状態での電流測定となる。従来より、クロック信号制御レジスタによるクロック信号のオン、オフで差分電流を測ることが行われているが、制御レジスタのビットがない機能ブロックに関しては差分電流による測定ができない。   However, a single functional block cannot be measured with the test pattern signal. Current measurement is performed in a state where a plurality of functional blocks such as a clock signal control unit, a bus, and a microprocessor are operating. Conventionally, the differential current is measured by turning on and off the clock signal by the clock signal control register. However, the function block having no control register bit cannot be measured by the differential current.

特開2002−288257号公報JP 2002-288257 A 特開2003−85233号公報JP 2003-85233 A

上記特許文献1によれば、FPGA(Field Programmable Gate Array)にトグル計数回路を埋込むことでトグル計数を高速化することができる。トグル計数回路は、実際の製品には搭載されず、FPGA上でのみ実装される機能である。トグル計数回路は、フリップフロップ回路、EOR(排他的論理和)ゲート、加算器により構成され、インバータとバッファを除く各ゲートの出力に挿入される。トグル結果はスキャンチェーンにより出力される。本願発明者の検討によれば、この場合のトグル計数回路は、単体でもFF(フリップフロップ回路)と加算器を含むため論理規模が大きいことと、各ゲートに挿入されるため対象箇所が多く、トグル計数回路の全体の回路規模は非常に大きいことが見いだされた。   According to Patent Document 1, the toggle count can be speeded up by embedding a toggle count circuit in an FPGA (Field Programmable Gate Array). The toggle counting circuit is a function that is not mounted on an actual product but is mounted only on the FPGA. The toggle counting circuit includes a flip-flop circuit, an EOR (exclusive OR) gate, and an adder, and is inserted into the output of each gate excluding the inverter and the buffer. The toggle result is output by the scan chain. According to the study of the present inventor, the toggle counting circuit in this case has a large logical scale because it includes a single FF (flip-flop circuit) and an adder, and there are many target portions because it is inserted into each gate, It has been found that the overall circuit scale of the toggle counting circuit is very large.

上記特許文献2記載の技術は、シミュレーションを前提とした電力評価となっており、実チップでの測定には対応していない。   The technique described in Patent Document 2 is power evaluation based on simulation, and does not support measurement with an actual chip.

そして、チップ製造後において、上記のようにテストパターン信号では単一の機能ブロックの測定に対して、クロック信号制御レジスタによるクロック信号のオン、オフで差分電流を測ることが行われているが、制御レジスタのビットがない機能ブロックに関しては上記差分電流による測定ができない。また、実チップにて単一の機能ブロックの測定可能とするためには、単一の機能ブロックのみを動作させるように回路を独立して動作させなければならない。   Then, after the chip is manufactured, the difference current is measured by turning on and off the clock signal by the clock signal control register for the measurement of the single functional block in the test pattern signal as described above. For functional blocks that do not have control register bits, measurement using the differential current is not possible. Further, in order to be able to measure a single functional block on an actual chip, the circuit must be operated independently so that only a single functional block is operated.

しかしながら、実チップにおける単一の機能ブロックの電流測定を行うことについては、上記特許文献において記載も示唆もされていない。   However, there is no description or suggestion in the above-mentioned patent document about performing current measurement of a single functional block in an actual chip.

本発明の目的は、チップ製造後の実チップにおける機能ブロック毎の電流測定を可能とする技術を提供することにある。   An object of the present invention is to provide a technique that enables current measurement for each functional block in an actual chip after chip manufacture.

本発明の別の目的は、実チップにて単一の機能ブロックの電流測定を可能とするために回路を独立して動作することができる半導体集積回路を提供することにある。   Another object of the present invention is to provide a semiconductor integrated circuit capable of operating a circuit independently to enable current measurement of a single functional block on an actual chip.

本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち代表的なものについて簡単に説明すれば下記のとおりである。   A representative one of the inventions disclosed in the present application will be briefly described as follows.

すなわち、複数の機能ブロックのうち電流測定対象とされる機能ブロックを除く他の機能ブロックについて上記クロック信号の供給を停止し、且つ、上記電流測定対象とされる機能ブロックにおける上記ビルトインセルフテスト回路と上記スキャン回路とを動作させる。この状態で半導体集積回路チップの電源端子に流れる電流を測定する。   That is, the supply of the clock signal is stopped for the other functional blocks excluding the functional block that is the current measurement target among the plurality of functional blocks, and the built-in self-test circuit in the functional block that is the current measurement target; The scan circuit is operated. In this state, the current flowing through the power supply terminal of the semiconductor integrated circuit chip is measured.

本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記の通りである。   The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows.

すなわち、チップ製造後の実チップにおける機能ブロック毎の電流測定を可能とする技術を提供することができる。   That is, it is possible to provide a technique that enables current measurement for each functional block in an actual chip after chip manufacture.

1.代表的な実施の形態
先ず、本願において開示される発明の代表的な実施の形態について概要を説明する。代表的な実施の形態についての概要説明で括弧を付して参照する図面の参照符号はそれが付された構成要素の概念に含まれるものを例示するに過ぎない。
1. Representative Embodiment First, an outline of a typical embodiment of the invention disclosed in the present application will be described. The reference numerals in the drawings referred to with parentheses in the outline description of the representative embodiments merely exemplify what are included in the concept of the components to which the reference numerals are attached.

〔1〕本発明の代表的な実施の形態に係る電流測定方法は、複数の機能ブロックのうち電流測定対象とされる機能ブロックを除く他の機能ブロックについて上記クロック信号の供給を停止し、且つ、上記電流測定対象とされる機能ブロックにおける上記ビルトインセルフテスト回路と上記スキャン回路とを動作させた状態で半導体集積回路チップの電源端子に流れる電流を測定する。   [1] A current measurement method according to a representative embodiment of the present invention stops the supply of the clock signal for the other functional blocks excluding the functional block that is the current measurement target among the plurality of functional blocks, and Then, the current flowing through the power supply terminal of the semiconductor integrated circuit chip is measured in a state where the built-in self-test circuit and the scan circuit in the functional block that is the current measurement target are operated.

上記の構成によれば、電流測定対象とされる機能ブロックにおける上記ビルトインセルフテスト回路と上記スキャン回路とを動作させた状態で半導体集積回路チップの電源端子に流れる電流が測定される。このとき、電流測定対象とされる機能ブロックを除く他の機能ブロックについて上記クロック信号の供給が停止されているため、機能ブロック毎の電流測定が可能とされる。しかも、上記機能ブロック毎の電流測定は、半導体集積回路チップの電源端子に流れる電流を測定することによって可能とされるため、実チップにおける機能ブロック毎の電流測定をも可能とされる。   According to the above configuration, the current flowing through the power supply terminal of the semiconductor integrated circuit chip is measured in a state where the built-in self-test circuit and the scan circuit in the functional block that is a current measurement target are operated. At this time, the supply of the clock signal is stopped for the other functional blocks excluding the functional block that is the current measurement target, so that the current measurement for each functional block is possible. Moreover, since the current measurement for each functional block is made possible by measuring the current flowing through the power supply terminal of the semiconductor integrated circuit chip, it is also possible to measure the current for each functional block in the actual chip.

〔2〕このとき、上記複数の機能ブロックのうち電流測定対象とされる機能ブロックを除く他の機能ブロックについて上記クロック信号の供給を停止し、且つ、上記電流測定対象とされる機能ブロックにおける上記ビルトインセルフテスト回路と上記スキャン回路とを動作させた状態で上記半導体集積回路チップの電源端子に流れる電流と、上記複数の機能ブロックの全てについて上記クロック信号の供給を停止した状態で上記半導体集積回路チップの電源端子に流れる電流との差分を求めることで、上記機能ブロック毎の実動作電流を得ることができる。   [2] At this time, supply of the clock signal is stopped for the other functional blocks excluding the functional block that is the current measurement target among the plurality of functional blocks, and the functional block that is the current measurement target The semiconductor integrated circuit in a state in which supply of the clock signal is stopped for all of the plurality of functional blocks and the current flowing through the power supply terminal of the semiconductor integrated circuit chip in a state where the built-in self-test circuit and the scan circuit are operated By obtaining the difference from the current flowing in the power supply terminal of the chip, the actual operating current for each functional block can be obtained.

〔3〕本発明の別の観点によれば、複数の機能ブロック(2A,2B,2C,2D)と、上記複数の機能ブロックのうち電流測定対象とされる機能ブロックに対してクロック信号を選択的に供給可能な制御回路(4)とを含んで半導体集積回路を構成する。このとき、上記機能ブロックは、上記制御回路の制御によって供給された上記クロック信号に基づいて自己診断のためのパターン信号を発生可能なビルトインセルフテスト回路(6)と、上記ビルトインセルフテスト回路によって発生されたパターン信号を、上記制御回路の制御によって供給された上記クロック信号に同期してスキャン可能なスキャン回路(SCN−CHN)とを含んで構成する。   [3] According to another aspect of the present invention, a clock signal is selected for a plurality of functional blocks (2A, 2B, 2C, 2D) and a functional block to be measured for current among the plurality of functional blocks. And a control circuit (4) that can be supplied in an integrated manner to constitute a semiconductor integrated circuit. At this time, the functional block is generated by the built-in self-test circuit (6) capable of generating a pattern signal for self-diagnosis based on the clock signal supplied by the control of the control circuit, and the built-in self-test circuit. The pattern signal is configured to include a scan circuit (SCN-CHN) that can scan in synchronization with the clock signal supplied by the control of the control circuit.

上記の構成によれば、電流測定対象とされる機能ブロックを除く他の機能ブロックについて上記クロック信号の供給を停止することができるため、機能ブロック毎の電流測定が可能とされる。しかも、上記機能ブロック毎の電流測定は、半導体集積回路チップの電源端子に流れる電流を測定することによって可能とされるため、実チップにおける電流測定が可能とされる。   According to said structure, since supply of the said clock signal can be stopped about other functional blocks except the functional block used as electric current measurement object, the electric current measurement for every functional block is attained. In addition, since the current measurement for each functional block is made possible by measuring the current flowing through the power supply terminal of the semiconductor integrated circuit chip, the current measurement in the actual chip is possible.

〔4〕上記機能ブロックは、上記制御回路から供給されたイネーブル信号に応じて、上記ビルトインセルフテスト回路及び上記スキャン回路への上記クロック信号の供給を制御可能な論理ゲート(13)を含めることができる。   [4] The functional block includes a logic gate (13) that can control the supply of the clock signal to the built-in self-test circuit and the scan circuit in accordance with an enable signal supplied from the control circuit. it can.

〔5〕上記機能ブロックは、ランダムアクセス可能なメモリ(8)を設けることができる。その場合において、上記ビルトインセルフテスト回路は、自己診断のために上記スキャン回路に供給されるパターン信号を生成可能な第1ビルトインセルフテスト回路(6A)と、自己診断のために上記メモリに書き込まれるパターン信号を生成可能な第2ビルトインセルフテスト回路(6B)とを含んで構成することができる。   [5] The function block may be provided with a randomly accessible memory (8). In that case, the built-in self-test circuit is written in the first built-in self-test circuit (6A) capable of generating a pattern signal supplied to the scan circuit for self-diagnosis and the memory for self-diagnosis. And a second built-in self-test circuit (6B) capable of generating a pattern signal.

〔6〕上記機能ブロックは、上記ビルトインセルフテスト回路によって発生されたパターン信号を上記クロック信号に同期してスキャンイン及びスキャンアウト可能な複数のフリップフロップ回路(22)と、上記フリップフロップ回路における出力論理のトグル数に基づいて上記フリップフロップ回路を含むロジック部の電力を検出可能なロジック電力情報検出部(30)と、上記メモリの電力を検出可能なメモリ電力情報検出部(31)とを含んで構成することができる。   [6] The functional block includes a plurality of flip-flop circuits (22) capable of scanning in and scanning out the pattern signal generated by the built-in self-test circuit in synchronization with the clock signal, and an output in the flip-flop circuit A logic power information detection unit (30) capable of detecting the power of the logic unit including the flip-flop circuit based on the number of logic toggles; and a memory power information detection unit (31) capable of detecting the power of the memory. Can be configured.

〔7〕上記機能ブロックは、上記フリップフロップ回路に入力されるデータと、上記フリップフロップ回路から出力されるデータとの排他的論理和を得るゲート回路(32)を含んで構成することができる。その場合において、上記ロジック電力情報検出部は、測定サイクルの期間中における上記ゲート回路の出力をカウントすることで上記フリップフロップ回路のトグル数を累積し、その累積結果に基づいて上記ロジック部の電力検出を行うように構成することができる。   [7] The functional block may include a gate circuit (32) that obtains an exclusive OR of data input to the flip-flop circuit and data output from the flip-flop circuit. In that case, the logic power information detection unit accumulates the number of toggles of the flip-flop circuit by counting the output of the gate circuit during a measurement cycle, and the power of the logic unit is based on the accumulation result. It can be configured to perform detection.

〔8〕上記メモリ電力情報検出部は、上記メモリ部を選択可能なイネーブル信号に対して所定の係数で重み付けした信号を上記メモリ部の電流値計算の情報として使用するように構成することができる。   [8] The memory power information detection unit can be configured to use a signal weighted by a predetermined coefficient with respect to an enable signal that can select the memory unit as information for calculating a current value of the memory unit. .

2.実施の形態の説明
次に、実施の形態について更に詳述する。
2. Next, the embodiment will be described in more detail.

図1には、本発明にかかる半導体集積回路の第1の実施形態が適用されたシステムオンチップ(SoC)1が示される。このシステムオンチップ1は、特に制限されないが、公知のCMOS(相補型MOSトランジスタ)やバイポーラトランジスタなどを形成する半導体集積回路技術によって、単結晶シリコン基板のような1個の半導体基板に形成される。   FIG. 1 shows a system on chip (SoC) 1 to which a first embodiment of a semiconductor integrated circuit according to the present invention is applied. The system-on-chip 1 is not particularly limited, but is formed on one semiconductor substrate such as a single crystal silicon substrate by a semiconductor integrated circuit technology for forming a known CMOS (complementary MOS transistor), bipolar transistor, or the like. .

システムオンチップ1は、それぞれ所定の機能を実現する機能ブロック(FB)2A、2B、2C、2D、クロック信号を形成するPLL(フェーズ・ロックド・ループ)3、制御部(CTL)4、機能ブロック間を接続するための内部バス9、内部バス制御部(BC)5から構成される。特に制限されないが、内部バス9はクロスバ型とされ、内部バス制御部5は、上記内部バス9のスイッチ制御を行う。電源端子11,12が設けられ、この電源端子11,12を介して電源電圧VDD,VSSの取り込みが行われる。   The system-on-chip 1 includes function blocks (FB) 2A, 2B, 2C, and 2D that realize predetermined functions, a PLL (phase-locked loop) 3 that forms a clock signal, a control unit (CTL) 4, and a function block An internal bus 9 and an internal bus control unit (BC) 5 are used to connect each other. Although not particularly limited, the internal bus 9 is a crossbar type, and the internal bus control unit 5 performs switch control of the internal bus 9. Power supply terminals 11 and 12 are provided, and power supply voltages VDD and VSS are taken in via the power supply terminals 11 and 12.

PLL3は、ユーザクロック信号usr_ckと、テストクロック信号tst_ckとを出力する。機能ブロック2A、2B、2C、2Dのテスト時の入力クロック信号ckは、機能ブロック2A、2B、2C、2Dのテスト時と、テスト以外の動作(通常動作)時とで異なる。すなわち、テスト時には、セレクタSELによってテストクロック信号tst_ckが選択的に機能ブロック2A、2B、2C、2D及び制御部4に伝達される。通常動作時には、セレクタSELによってユーザクロック信号usr_ckが選択的に機能ブロック2A、2B、2C、2D及び制御部4に伝達される。セレクタSELの動作制御は制御部4によって行われる。コントローラ4は、セレクタSELの動作制御信号cnt、クロック信号の有効性を示すクロックイネーブル信号cken、テスト関連信号tet_sigを出力する。クロックイネーブル信号cken、及びテスト関連信号tet_sigは、機能ブロック2A、2B、2C、2Dに供給される。   The PLL 3 outputs a user clock signal usr_ck and a test clock signal tst_ck. The input clock signal ck at the time of testing the functional blocks 2A, 2B, 2C, and 2D is different between the testing of the functional blocks 2A, 2B, 2C, and 2D and the operation other than the test (normal operation). That is, during the test, the test clock signal tst_ck is selectively transmitted to the functional blocks 2A, 2B, 2C, and 2D and the control unit 4 by the selector SEL. During normal operation, the selector SEL selectively transmits the user clock signal usr_ck to the functional blocks 2A, 2B, 2C, 2D and the control unit 4. Operation control of the selector SEL is performed by the control unit 4. The controller 4 outputs an operation control signal cnt for the selector SEL, a clock enable signal cken indicating the validity of the clock signal, and a test related signal tet_sig. The clock enable signal cken and the test related signal tet_sig are supplied to the functional blocks 2A, 2B, 2C, and 2D.

図2には、上記機能ブロック2Aの構成例が示される。   FIG. 2 shows a configuration example of the functional block 2A.

機能ブロック2Aは、BIST(ビルトインセルフテスト)回路6、ロジック(LGC)部7、メモリ(MEM)部8、及び2入力アンドゲート13を含む。ロジック部7は、組合せ回路29A、29B、29C、29Dと、この組合せ回路29A、29B、29C、29DにスキャンチェーンSCN−CHNで接続された複数のスキャン端子付フリップフロップ回路22とを含む。スキャン端子付フリップフロップ回路22は、スキャンイン端子SI、データ端子D、クロック端子、スキャンアウト端子SO、及びテスト端子TSTを含む。スキャン端子付フリップフロップ回路22のスキャンアウト端子SOが、別のスキャン端子付フリップフロップ回路22のスキャンイン端子SIに結合されることで、スキャンチェーンSCN−CHNが形成される。   The functional block 2 </ b> A includes a BIST (built-in self test) circuit 6, a logic (LGC) unit 7, a memory (MEM) unit 8, and a two-input AND gate 13. The logic unit 7 includes combinational circuits 29A, 29B, 29C, and 29D, and a plurality of flip-flop circuits 22 with scan terminals connected to the combinational circuits 29A, 29B, 29C, and 29D by a scan chain SCN-CHN. The flip-flop circuit 22 with a scan terminal includes a scan-in terminal SI, a data terminal D, a clock terminal, a scan-out terminal SO, and a test terminal TST. The scan-out terminal SO of the flip-flop circuit 22 with scan terminal is coupled to the scan-in terminal SI of another flip-flop circuit 22 with scan terminal, thereby forming a scan chain SCN-CHN.

メモリ部8は、上記ロジック部7に結合された複数のSRAM(スタティック・ランダム・アクセス・メモリ)23を含んで成る。SRAM23は、クロック信号clk、クロックイネーブル信号cen、テストイネーブル信号tenが取り込まれる。クロック信号clk、クロックイネーブル信号cenはロジック部7で生成される。テストイネーブル信号tenは、制御部4で生成される。   The memory unit 8 includes a plurality of SRAMs (Static Random Access Memory) 23 coupled to the logic unit 7. The SRAM 23 receives a clock signal clk, a clock enable signal cen, and a test enable signal ten. The clock signal clk and the clock enable signal cen are generated by the logic unit 7. The test enable signal ten is generated by the control unit 4.

BIST回路6は、ロジック部7に対応するロジックBIST部6Aと、メモリ部8に対応するメモリBIST部6Bとを含む。ロジックBIST部6Aは、ランダムパターン信号を生成するロジックランダムパターン生成部(LRPG)20、及びテスト結果を圧縮するロジックテスト出力圧縮器(LTOC)21を含んで成る。メモリBIST部6Bは、アドレス生成器(ADR_GEN)24、データ生成器(DATA_GEN)25、制御部(MBIST_CTL)26、メモリ出力比較器(MCMP)27を含んで成る。テスト関連のI/Fとして、テストモード、テストクロック信号、入出力データをまとめてテスト関連信号tst_sigとされる。2入力アンドゲート13は、入力クロック信号ckとクロックイネーブル信号ckenとのアンド論理を得る。クロックイネーブル信号ckenがハイレベルの期間に、入力クロック信号ckがロジック部7及びメモリ部8に伝達される。   The BIST circuit 6 includes a logic BIST unit 6A corresponding to the logic unit 7 and a memory BIST unit 6B corresponding to the memory unit 8. The logic BIST unit 6A includes a logic random pattern generation unit (LRPG) 20 that generates a random pattern signal, and a logic test output compressor (LTOC) 21 that compresses a test result. The memory BIST unit 6B includes an address generator (ADR_GEN) 24, a data generator (DATA_GEN) 25, a control unit (MBIST_CTL) 26, and a memory output comparator (MCMP) 27. As a test-related I / F, a test mode, a test clock signal, and input / output data are collectively used as a test-related signal tst_sig. The 2-input AND gate 13 obtains an AND logic between the input clock signal ck and the clock enable signal cken. The input clock signal ck is transmitted to the logic unit 7 and the memory unit 8 while the clock enable signal cken is at a high level.

尚、他の機能ブロック2B、2C、2Dも上記機能ブロック2Aと同様に構成される。   The other functional blocks 2B, 2C, and 2D are configured similarly to the functional block 2A.

図4には、システムオンチップ1における機能ブロック2Aに流れる電流の実測の様子が示される。   FIG. 4 shows a state of actual measurement of the current flowing through the functional block 2A in the system-on-chip 1.

システムオンチップ1は、SoC実装ボード60に搭載されている。SoC実装ボード60の電源端子67には、電源装置66から電源電圧が供給される。この電源装置66から供給された電源電圧は、ジャンパ68を介してシステムオンチップ1に供給される。オシロスコープ62には高精度電流プローブ61が接続される。高精度電流プローブ61の先端部を上記ジャンパ68に近接すると、上記ジャンパ68に流れる電流、すなわち、システムオンチップ1に流れる電流の情報をオシロスコープ62に表示することができる。オシロスコープ62の拡大表示画面62DSPには、ロジックBIST(LBIST)6Aを用いたときの電流測定結果が示される。64は、スキャンチェーンSCN−CHNでスキャンインした期間である。63は、ユーザクロック信号にて実動作した期間である。65は、スキャンアウトした期間である。ユーザクロック信号usr_ck使用時の実動作電流66と、機能ブロック2A,2B,2C,2Dのクロック信号停止時のPLL3と制御部4の電流67の差分をとると、機能ブロック毎の実動作電流が求められる。例えば機能ブロック2Aに対するクロックイネーブル信号ckenのみがハイレベルとされて、他の機能ブロック2B,2C,2Dへのクロック信号の供給が停止されている場合には、機能ブロック2Aについての実動作電流が求められる。   The system on chip 1 is mounted on the SoC mounting board 60. A power supply voltage is supplied from the power supply device 66 to the power supply terminal 67 of the SoC mounting board 60. The power supply voltage supplied from the power supply device 66 is supplied to the system on chip 1 via the jumper 68. A high precision current probe 61 is connected to the oscilloscope 62. When the tip of the high-accuracy current probe 61 is brought close to the jumper 68, information on the current flowing through the jumper 68, that is, the current flowing through the system-on-chip 1 can be displayed on the oscilloscope 62. An enlarged display screen 62DSP of the oscilloscope 62 shows a current measurement result when the logic BIST (LBIST) 6A is used. Reference numeral 64 denotes a period during which scan-in is performed with the scan chain SCN-CHN. Reference numeral 63 denotes a period during which the user clock signal is actually operated. Reference numeral 65 denotes a scan-out period. Taking the difference between the actual operating current 66 when the user clock signal usr_ck is used and the current 67 of the PLL 3 and the control unit 4 when the clock signals of the functional blocks 2A, 2B, 2C, and 2D are stopped, the actual operating current for each functional block is Desired. For example, when only the clock enable signal cken for the functional block 2A is set to the high level and the supply of the clock signal to the other functional blocks 2B, 2C, 2D is stopped, the actual operating current for the functional block 2A is Desired.

図5には、電流測定の流れが示される。   FIG. 5 shows the flow of current measurement.

まず、電流測定の対象とされる機能ブロックの選択が行われる(501)。そして、測定終了か否かの判別が行われ(502)、ロジック電流を測定するか否かの判別が行われる(503)。ここでは、機能ブロック2Aが選択され、ロジックBIST(LBIST)部6Aを用いて電流測定が行われるものとする。機能ブロック2Aが選択され、ロジックBIST部6Aを用いて電流測定が行われる場合、他の機能ブロック2B、2C、2D、並びにバス制御部5へのクロック信号の供給が停止される。常に動作する部分はPLL3と制御部4である。PLL3は別電源としてもよい。ロジックBIST部6Aの設定が行われ(504)、生成されたランダムパターン信号が、スキャンチェーンSCN−CHNで接続されるフリップフロップ回路22にクロック信号tst_ckに同期して順番に伝達される(505,506)。スキャン時のクロック信号は、PLL3の出力のうちテストクロック信号tst_ckとされる。スキャンチェーンで全フリップフロップ回路の値が設定されると、制御部4で選択されたユーザクロック信号usr_ckによって1サイクル動作し、動作後にキャプチャされたフリップフロップ回路値がスキャンチェーンで再びクロック信号tst_ckにより順番に送り出され、BIST内で結果を圧縮し、チップ外に出力する(507〜509)。図1、図2では省略しているが、ユーザクロック信号には、周波数が異なる複数のクロック信号を用いることができる。電流を測定する期間は、ユーザクロック信号による動作部分(1クロック信号)である。スキャンシフト後のユーザクロック信号停止状態では、PLL3と制御部4の電流が求められることから、ユーザクロック信号供給時の電流66と、スキャンシフト後のユーザクロック信号停止状態の電流67の差分により機能ブロック2Aの電流を求めることができる。   First, a functional block to be subjected to current measurement is selected (501). Then, it is determined whether or not the measurement is finished (502), and whether or not the logic current is measured is determined (503). Here, it is assumed that the functional block 2A is selected and current measurement is performed using the logic BIST (LBIST) unit 6A. When the functional block 2A is selected and current measurement is performed using the logic BIST unit 6A, the supply of the clock signal to the other functional blocks 2B, 2C, 2D and the bus control unit 5 is stopped. The parts that always operate are the PLL 3 and the control unit 4. The PLL 3 may be a separate power source. The logic BIST unit 6A is set (504), and the generated random pattern signal is sequentially transmitted to the flip-flop circuit 22 connected by the scan chain SCN-CHN in synchronization with the clock signal tst_ck (505, 506). The clock signal at the time of scanning is the test clock signal tst_ck among the outputs of the PLL 3. When the values of all the flip-flop circuits are set in the scan chain, one cycle operation is performed by the user clock signal usr_ck selected by the control unit 4, and the flip-flop circuit value captured after the operation is again generated by the clock signal tst_ck in the scan chain. The data are sent in order, and the result is compressed in the BIST and output outside the chip (507 to 509). Although omitted in FIGS. 1 and 2, a plurality of clock signals having different frequencies can be used as the user clock signal. The period during which the current is measured is an operation portion (one clock signal) based on the user clock signal. Since the current of the PLL 3 and the control unit 4 is obtained in the user clock signal stop state after the scan shift, the function is determined by the difference between the current 66 when the user clock signal is supplied and the current 67 of the user clock signal stop state after the scan shift. The current of block 2A can be determined.

次に、メモリBIST(MBIST)部6Bを用いてメモリ部8の電流を測定する場合について説明する。   Next, a case where the current of the memory unit 8 is measured using the memory BIST (MBIST) unit 6B will be described.

上記ステップ503の判別において、ロジック電流の測定ではない(no)と判断された場合には、メモリ部分の電流測定のため、メモリBIST部6Bの設定が行われる(510)。メモリBIST部6Bが設定された後、マーチングなどの生成したメモリパターン信号のアドレス、データ、及び期待値が生成される(511)。そしてSRAM23で実動作される。この実動作では、SRAM23の記憶データが読み出され、それが期待値と比較される(513)。そして、この実動作において電流測定が行われる(512)。電流測定期間の動作部分は、PLL3、制御部4、機能ブロック2Aのみである。メモリパターン信号終了後にユーザクロック信号を停止すると、そのときの電流はPLL3、制御部4のみのため、ロジックBIST部6Aを用いて電流測定する場合と同様に、メモリBIST部6Bの実動作時とユーザクロック信号停止時の差分を求めることで、機能ブロック2Aの電流を求めることができる。   If it is determined in step 503 that the logic current is not measured (no), the memory BIST unit 6B is set to measure the current in the memory portion (510). After the memory BIST unit 6B is set, the address, data, and expected value of the generated memory pattern signal such as marching are generated (511). Then, the SRAM 23 is actually operated. In this actual operation, the data stored in the SRAM 23 is read and compared with the expected value (513). In this actual operation, current measurement is performed (512). The operation part in the current measurement period is only the PLL 3, the control unit 4, and the functional block 2A. When the user clock signal is stopped after the end of the memory pattern signal, the current at that time is only the PLL 3 and the control unit 4, so that when the current is measured using the logic BIST unit 6 A, By obtaining the difference when the user clock signal is stopped, the current of the functional block 2A can be obtained.

他の機能ブロックも独立して同様に電流を測定できる。通常、電流測定は論理動作を考慮したテストパターン信号を用いる。この場合、複数の機能ブロックが動作することと、クロック信号制御ができない部分、例えばバス制御部などが常に動作するが、BIST6生成のランダムパターンでは論理動作を考慮する必要がなくなるため、スキャンチェーンなどの論理的に閉じた箇所のみで電流を測定することができる。本例ではスキャンチェーンを機能ブロック毎に分離した。このため、上記の電流測定においては、クロック信号制御により他の機能ブロックで電流が消費されない。   The other functional blocks can measure the current independently as well. Usually, the current measurement uses a test pattern signal in consideration of logic operation. In this case, the operation of a plurality of functional blocks and the portion where the clock signal cannot be controlled, for example, the bus control unit always operates, but the random pattern generated by BIST6 does not need to consider the logical operation, so the scan chain or the like The current can be measured only at the logically closed location. In this example, the scan chain is separated for each functional block. For this reason, in the above current measurement, no current is consumed in other functional blocks due to clock signal control.

尚、上記ロジックBIST部6Aと、メモリBIST部6Bとを同時に用いて電流測定を行うこともできる。   It should be noted that current measurement can also be performed using the logic BIST unit 6A and the memory BIST unit 6B simultaneously.

図3には、クロック信号イネーブルckenを用いてクロック信号を停止する際の機能ブロックの状態が示される。   FIG. 3 shows the state of the functional block when the clock signal is stopped using the clock signal enable cken.

クロック信号イネーブルckenをネゲートすると、機能ブロックのフリップフロップ回路のクロック信号が停止され、フリップフロップ回路の出力論理は固定される。組み合わせ回路(cmbB)81と、組み合わせ回路(cmbC)82は、組み合わせ回路の入力が固定されるため、その動作が停止される。このとき、機能ブロックの出力インタフェースout_IFは固定される。他の機能ブロックもクロック信号イネーブルがネゲートされていると出力インタフェースが固定されていると考えられるため、該機能ブロックの入力インタフェースは、分周器とクロック信号制御部のインタフェースを除き、停止される。図3では、入力インタフェースin_IFは全て停止するので、それを入力とする組み合わせ回路cmbA80も停止する。従って、クロック信号イネーブルがネゲートされると、機能ブロックの大部分のゲートの動作が停止されるため、そこでは電流消費されない。   When the clock signal enable cken is negated, the clock signal of the flip-flop circuit of the functional block is stopped, and the output logic of the flip-flop circuit is fixed. The operation of the combinational circuit (cmbB) 81 and the combinational circuit (cmbC) 82 is stopped because the input of the combinational circuit is fixed. At this time, the output interface out_IF of the functional block is fixed. Since the output interface is considered to be fixed when the clock signal enable is negated for other function blocks, the input interface of the function block is stopped except for the interface between the frequency divider and the clock signal control unit. . In FIG. 3, since all the input interfaces in_IF are stopped, the combinational circuit cmdA 80 that receives the input interface in_IF is also stopped. Therefore, when the clock signal enable is negated, the operation of most of the gates of the functional block is stopped, so that no current is consumed there.

図5に示される電流測定では、BISTはランダムパターンなので、このパターン信号により電流の変動が予測される。そこで、ロジックBIST部6A、及びメモリBIST部6Bの電流測定をそれぞれ複数回行い、その平均や分散などの統計処理を行うことにより機能ブロックの電流算出の精度を高めることができる。   In the current measurement shown in FIG. 5, since the BIST is a random pattern, the current fluctuation is predicted by this pattern signal. Therefore, the current measurement of the logic BIST unit 6A and the memory BIST unit 6B is performed a plurality of times, and statistical processing such as averaging and dispersion is performed, thereby improving the accuracy of current calculation of the functional block.

上記例によれば、以下の作用効果を得ることができる。   According to the above example, the following operational effects can be obtained.

(1)機能ブロック2A,2B,2C,2D内に専用のBIST回路6を有し、ロジック部7はロジックBIST部6A、メモリ部8はメモリBIST部6Bを用いてそれぞれパターン信号を自動生成することができる。ロジックBIST部6A内のランダムパターンジェネレータ(LRPG)20がスキャン用のパターン信号を、メモリBIST部6B内のアドレス生成器24、データ生成器25、制御部26によってマーチングやチェッカ・ボードなどのテストパターン信号が生成される。故障検出用の自動生成パターン信号を複数用い、図5の測定フローチャートに従うことで、機能ブロックの電流を測定できる。ユーザクロック信号による動作中は、ロジックBIST部6Aを用いることでロジック部7のみで電流を消費させることができ、メモリBIST部6Bを用いることでメモリ部8のみで電流を消費させることができる。   (1) A dedicated BIST circuit 6 is provided in each of the functional blocks 2A, 2B, 2C, and 2D. The logic unit 7 automatically generates pattern signals using the logic BIST unit 6A and the memory unit 8 uses the memory BIST unit 6B. be able to. A random pattern generator (LRPG) 20 in the logic BIST unit 6A generates a pattern signal for scanning, and an address generator 24, a data generator 25, and a control unit 26 in the memory BIST unit 6B test patterns such as marching and checker boards. A signal is generated. The current of the functional block can be measured by using a plurality of automatically generated pattern signals for failure detection and following the measurement flowchart of FIG. During the operation based on the user clock signal, the logic BIST unit 6A can be used to consume current only by the logic unit 7, and the memory BIST unit 6B can be used to consume current only by the memory unit 8.

(2)クロック信号を供給するか否かを制御部4で制御することにより、実チップにて単一の機能ブロックの電流測定を可能とするために回路を独立して動作することができる。また、上記の構成は、別の使い方として、SoCテスト時の電流削減を行うことができる。例えば、機能ブロックFB_Aのテスト、特にビルトインセルフテストを行う際、テスト対象の機能ブロック以外の機能ブロック、例えばFB_B、FB_C、FB_D、BCを停止することで、テスト時の電流削減を行うことができる。   (2) By controlling whether or not the clock signal is supplied by the control unit 4, the circuit can be operated independently in order to enable the current measurement of a single functional block in the actual chip. Moreover, said structure can perform the electric current reduction at the time of an SoC test as another usage. For example, when performing a test of the functional block FB_A, particularly a built-in self-test, it is possible to reduce current during the test by stopping functional blocks other than the functional block to be tested, for example, FB_B, FB_C, FB_D, and BC .

次に、第2の実施形態としてFPGAに論理を実装し、高速に電流評価を行う場合について説明する。   Next, a case where logic is implemented in the FPGA and current evaluation is performed at high speed will be described as a second embodiment.

FPGAでは数10MHzで動作するため、RTL(レジスタ、トランスファレベルのハードウェア記述言語)やゲートシミュレーションの数百倍高速である。ただし、実装率が低いため、電流評価用の追加回路をできるだけ小さくしなければならない。   Since the FPGA operates at several tens of MHz, it is several hundred times faster than RTL (register, transfer level hardware description language) and gate simulation. However, since the mounting rate is low, the additional circuit for current evaluation must be made as small as possible.

図6に本発明の第2の実施形態に係る機能ブロック(FB)は、FPGAによって形成される。また、図6に示される機能ブロック2Aが、図2に示されるのと大きく相違するのは、ロジック電力情報検出部(PWR_LGC)30とメモリ電力情報検出部(PWR_MEM)31を備え、制御用の信号としてストローブ信号strbを使用する点である。尚、FPGAでは、故障検出をしなくても良いので、LBISTMBISTは無くても良い。   In FIG. 6, the functional block (FB) according to the second embodiment of the present invention is formed by FPGA. The functional block 2A shown in FIG. 6 is greatly different from that shown in FIG. 2 including a logic power information detection unit (PWR_LGC) 30 and a memory power information detection unit (PWR_MEM) 31, The strobe signal strb is used as a signal. In the FPGA, since it is not necessary to detect a failure, there is no need for LBISTTMBIST.

ロジック部7は、組み合わせ回路34A,34B,34C,34D,34E,34Fと、複数のフリップフロップ回路22を含んで構成されるが、フリップフロップ回路23に、ある規模の組み合わせ回路34A〜34Fが付随するものとする。例えば、1ビットのフリップフロップ回路に平均的に100ゲートの組み合わせ回路が付随するものとする。そして、フリップフロップ回路22における論理値‘0’から論理値‘1’、論理値‘1’から論理値‘0’のトグル数を計測することにより、付随するゲートのトグル数が適当な確率でトグルすると仮定する。例えば、1ビットのフリップフロップ回路がトグルすると、100ゲートのうち10%のゲートの出力がトグルすると仮定する。これらフリップフロップ回路に付随するゲート数は、予めゲートを調べるか、幾つかの製品における機能ブロックから統計的に算出しておけばよい。このようにフリップフロップ回路のトグル数を計測することにより、ロジック部7のトグル数が概算できるため、これにプロセスや面積などの容量のパラメータを用いて、そこでの電流を見積もることができる。ロジック部7では、各フリップフロップ回路22の出力と入力の排他的論理和をとるEORゲート32がフリップフロップ回路22に結合され、このEORゲート32の出力信号がロジック電力情報検出部(PWR_LGC)30に入力される。メモリ部8には、コンパイルドRAMなどの複数のSRAM23が設けられる。このSRAM23の電力は、各SRAMのクロック信号とチップイネーブル、サイズなどのパラメータを用いて電流を算出することができる。そこで、各SRAM23のクロック信号clk54とクロックイネーブル信号cen、サイズなどのパラメータを考慮した係数を用いる。   The logic unit 7 includes the combinational circuits 34A, 34B, 34C, 34D, 34E, and 34F and a plurality of flip-flop circuits 22. The flip-flop circuit 23 is accompanied by combination circuits 34A to 34F of a certain scale. It shall be. For example, assume that a 100-gate combination circuit is attached to a 1-bit flip-flop circuit on average. Then, by measuring the number of toggles from the logical value “0” to the logical value “1” and from the logical value “1” to the logical value “0” in the flip-flop circuit 22, the number of toggles of the associated gate is determined with an appropriate probability. Assume to toggle. For example, assume that 10% of the output of 100 gates toggles when a 1-bit flip-flop circuit toggles. The number of gates attached to these flip-flop circuits may be calculated in advance from the function blocks of some products by checking the gates in advance. By measuring the number of toggles of the flip-flop circuit in this way, the number of toggles of the logic unit 7 can be estimated. Therefore, the current can be estimated using a capacity parameter such as process and area. In the logic unit 7, an EOR gate 32 that takes an exclusive OR of the output and input of each flip-flop circuit 22 is coupled to the flip-flop circuit 22, and an output signal of the EOR gate 32 is a logic power information detection unit (PWR_LGC) 30. Is input. The memory unit 8 is provided with a plurality of SRAMs 23 such as a compiled RAM. The power of the SRAM 23 can be calculated by using parameters such as the clock signal, chip enable, and size of each SRAM. Therefore, the clock signal clk 54 and clock enable signal cen of each SRAM 23, and coefficients taking into account parameters such as size are used.

図8には、上記ロジック電力情報検出部30の構成例が示される。   FIG. 8 shows a configuration example of the logic power information detection unit 30.

ロジック電力情報検出部30は、フリップフロップ回路における出力論理のトグル数に基づいてロジック回路毎の電力を検出する機能を有し、特に制限されないが、トグルカウンタ(CNT)40、加算器(+)41、ロジック用トグル保持用レジスタ(LREG)42、測定サイクル数保持レジスタ45、インクリメンタ(INC)46を含んで成る。N(Nは0を除く正の整数を意味する)本のフリップフロップ回路のトグル数ff_toggleと、フリップフロップ回路42の測定期間を示すストローブ信号strbを入力とし、ロジックトグル保持用レジスタ42にフリップフロップ回路のカウント数が保持される。測定サイクル数保持用レジスタ45には、測定ストローブ信号のアサート期間に1サイクルに1づつインクリメンタ46で加算しながら、測定サイクル数が保持される。複数のEORゲート32の出力信号がトグルカウンタ40に伝達される。このトグルカウンタ40によりフリップフロップ回路のトグル数が合計され、log(N+1)ビット幅となり、加算器41により複数サイクルのトグル数が累積される。トグル数の累積結果が大きいほど、多くの電力を消費するから、上記トグル数の累積結果に基づいてロジック回路毎の電力を検出することができる。ロジックトグル保持用レジスタ42並びに、測定サイクル数保持用レジスタ45はアドレスを有するメモリマップドレジスタのため、アドレス指定により、内部バス9からレジスタのリードライトを行うことができる。   The logic power information detection unit 30 has a function of detecting power for each logic circuit based on the number of toggles of the output logic in the flip-flop circuit, and is not particularly limited, but includes a toggle counter (CNT) 40, an adder (+) 41, a logic toggle holding register (LREG) 42, a measurement cycle number holding register 45, and an incrementer (INC) 46. N (where N represents a positive integer other than 0) toggle number ff_toggle of flip-flop circuits and a strobe signal strb indicating the measurement period of flip-flop circuit 42 are input to flip-flops in logic toggle holding register 42 The count number of the circuit is held. The measurement cycle number holding register 45 holds the measurement cycle number while incrementing it by the incrementer 46 one cycle during the assertion period of the measurement strobe signal. Output signals of the plurality of EOR gates 32 are transmitted to the toggle counter 40. The number of toggles of the flip-flop circuit is summed by the toggle counter 40 to have a log (N + 1) bit width, and the number of toggles of a plurality of cycles is accumulated by the adder 41. The larger the cumulative number of toggles, the more power is consumed. Therefore, the power for each logic circuit can be detected based on the cumulative number of toggles. Since the logic toggle holding register 42 and the measurement cycle number holding register 45 are memory mapped registers having addresses, the registers can be read / written from the internal bus 9 by address designation.

図9には、上記メモリ電力情報検出部31の構成例が示される。   FIG. 9 shows a configuration example of the memory power information detection unit 31.

メモリ電力情報検出部31は、上記メモリを選択するためのクロックイネーブル信号cenに対して所定の係数で重み付けした信号を上記メモリの電流値計算の情報として使用する機能を有し、特に制限されないが、クロックイネーブル信号cenとクロック信号clkとのアンド論理を得るAND(アンド)ゲート50、サイズなどのパラメータで異なる電力値に対応するための係数情報Coeff1とバッファ58の出力信号とのアンド論理を得るANDゲート51、加算器52、メモリ電力情報保持用のレジスタ(MREG)53、測定サイクル数保持レジスタ56、インクリメンタ(INC)57を含んで成る。クロックイネーブル信号cenとクロック信号clk及びそれに対応する論理回路50〜51は、図6に示される複数のSRAM23に対応する。係数は、メモリの種類、例えばシングルポート、デュアルポート、レジスタファイル、ROMなど、あるいはサイズ1kB、16kBなどにより電流情報の重み付けを行う。加算器42により複数サイクルのメモリ情報が累積される。測定サイクル数保持用レジスタ56には、測定ストローブ信号のアサート期間に1サイクルに1ずつインクリメンタ57で加算しながら、測定サイクル数を保持する。尚、測定サイクル数保持用レジスタ56とインクリメンタ57は、ロジック電力情報検出部30と共用しても良い。メモリ電力情報保持レジスタ53並びに、測定サイクル数保持用レジスタ56はアドレスを有するメモリマップドレジスタのため、アドレス指定により、内部バス9から各レジスタのリードライトを行うことができる。   The memory power information detection unit 31 has a function of using a signal weighted by a predetermined coefficient for the clock enable signal cen for selecting the memory as information for calculating the current value of the memory, but is not particularly limited. AND gate 50 for obtaining AND logic of clock enable signal cen and clock signal clk, and AND logic of coefficient information Coeff1 for corresponding to different power values by parameters such as size, and output signal of buffer 58 An AND gate 51, an adder 52, a memory power information holding register (MREG) 53, a measurement cycle number holding register 56, and an incrementer (INC) 57 are included. The clock enable signal cen and the clock signal clk and the corresponding logic circuits 50 to 51 correspond to the plurality of SRAMs 23 shown in FIG. The coefficient weights current information according to the type of memory, for example, single port, dual port, register file, ROM, or the size of 1 kB or 16 kB. The adder 42 accumulates memory information of a plurality of cycles. The measurement cycle number holding register 56 holds the number of measurement cycles while the incrementer 57 adds one by one during the assertion period of the measurement strobe signal. The measurement cycle number holding register 56 and the incrementer 57 may be shared with the logic power information detection unit 30. Since the memory power information holding register 53 and the measurement cycle number holding register 56 are memory mapped registers having addresses, each register can be read / written from the internal bus 9 by address designation.

図7には、図6に示される構成を採用した場合の電流測定の流れが示される。   FIG. 7 shows a flow of current measurement when the configuration shown in FIG. 6 is adopted.

電流測定を行うのは、通常のプログラム、あるいはテスト用自動生成パターンのロジックBIST部6A、メモリBIST部6Bのいずれでも構わない(701)。ロジック部7とメモリ部8とは同時に計測が可能であるが、設定方法と測定方法が異なるため、説明の便宜上、本フローチャートではそれらを分離している。   The current measurement may be performed by either the normal program or the logic BIST unit 6A or the memory BIST unit 6B of the test automatic generation pattern (701). Although the logic unit 7 and the memory unit 8 can measure simultaneously, since the setting method and the measuring method are different, they are separated in this flowchart for convenience of explanation.

まず、ロジック部7を計測する場合、すなわち、ステップ702の判別において「yes」と判断された場合について説明する。   First, a case where the logic unit 7 is measured, that is, a case where “yes” is determined in the determination in step 702 will be described.

ロジック用トグル保持用レジスタ42と測定サイクル数保持レジスタ45とが初期化される(703)。測定開始はストローブ信号strbがアサートされる(704)。測定ストローブ信号は、制御部4で生成される。ソフトウェアで測定期間を設定できるように制御部4内に、ストローブ信号生成用のメモリマップドされたレジスタを設けてもよい。ロジック部7のフリップフロップ回路のトグル和は、EORゲート32の出力をカウントすることで得る(705)。測定サイクル数はストローブ信号を毎サイクル更新することで得られる。そして、測定サイクルの期間中は、フリップフロップ回路のトグル数の累算を行う(706)。測定終了は、strb信号のネゲートによって知ることができる(707)。フリップフロップ回路のトグル数の累算と有効サイクル数から、FFに繋がる組み合わせ回路のトグルも考慮し、予め、フリップフロップ回路のトグル数の累算と有効サイクル数から換算される電流値を用意することにより、電流値が求められる(708)。   The logic toggle holding register 42 and the measurement cycle number holding register 45 are initialized (703). To start measurement, the strobe signal strb is asserted (704). The measurement strobe signal is generated by the control unit 4. A memory-mapped register for generating a strobe signal may be provided in the control unit 4 so that the measurement period can be set by software. The toggle sum of the flip-flop circuit of the logic unit 7 is obtained by counting the output of the EOR gate 32 (705). The number of measurement cycles can be obtained by updating the strobe signal every cycle. During the measurement cycle, the number of toggles of the flip-flop circuit is accumulated (706). The end of measurement can be known by negating the strb signal (707). Based on the accumulation of the number of toggles of the flip-flop circuit and the number of effective cycles, the toggle of the combinational circuit connected to the FF is also taken into consideration, and a current value converted from the accumulation of the number of toggles of the flip-flop circuit and the number of effective cycles is prepared in advance Thus, a current value is obtained (708).

次に、メモリ部8を計測する場合、すなわち、ステップ707の判別において「no」と判断された場合について説明する。   Next, a case where the memory unit 8 is measured, that is, a case where “no” is determined in the determination in step 707 will be described.

メモリ用トグル保持用レジスタ42と測定サイクル数保持レジスタ45とが初期化される(710)。測定開始はストローブ信号strbがアサートされる(711)。メモリのクロックイネーブル信号cenを係数重み付けした信号を電流値計算の情報として使用する(712)。ここで、チップイネーブル信号をメモリの電流値計算に使用する理由について説明する。   The memory toggle holding register 42 and the measurement cycle number holding register 45 are initialized (710). To start measurement, the strobe signal strb is asserted (711). A signal obtained by coefficient weighting the clock enable signal cen of the memory is used as current value calculation information (712). Here, the reason why the chip enable signal is used for calculating the current value of the memory will be described.

例えばSRAM23が図10に示されるように構成されているものとする。すなわち、SRAM23は、SRAM制御部(CTL)70、クロック信号制御を行うSRAMクロック信号制御部(CCTL)71、データやアドレスの入出力バッファ(IOBUF)72、アドレスのローデコーダ(RDEC)73、ワードドライバ(WD)74、カラムデコーダ(CDEC)75、カラムドライバ(CD)76、メモリアレイ(MARY)77、センスアンプ(SA)78を含んで成る。メモリのクロックイネーブル信号cenは、ハイアクティブ(正論理)であり、SRAM制御部70と入出力バッファ72へのクロック信号を供給する。SRAM制御部70は、ワードドライバ74、カラムドライバ76、センスアンプ78を制御する。クロックイネーブル信号cenが非アクティブとされるとき、SRAM制御部70、入出力バッファ72、ワードドライバ74、カラムドライバ76、センスアンプ78が停止されるため、電流をほとんど消費しない。そこで、クロックイネーブル信号cenの論理状態によって、SRAM23で電流を消費するか否かを判別することができる。尚、メモリ部8での電流消費量は、メモリサイズ等により異なるため、適宜の係数で重み付けを行うようにする。   For example, it is assumed that the SRAM 23 is configured as shown in FIG. That is, the SRAM 23 includes an SRAM control unit (CTL) 70, an SRAM clock signal control unit (CCTL) 71 that performs clock signal control, an input / output buffer (IOBUF) 72 for data and addresses, a row decoder (RDEC) 73 for addresses, a word A driver (WD) 74, a column decoder (CDEC) 75, a column driver (CD) 76, a memory array (MARY) 77, and a sense amplifier (SA) 78 are included. The memory clock enable signal cen is high active (positive logic), and supplies a clock signal to the SRAM control unit 70 and the input / output buffer 72. The SRAM control unit 70 controls the word driver 74, the column driver 76, and the sense amplifier 78. When the clock enable signal cen is deactivated, the SRAM control unit 70, the input / output buffer 72, the word driver 74, the column driver 76, and the sense amplifier 78 are stopped, so that almost no current is consumed. Therefore, whether or not current is consumed in the SRAM 23 can be determined based on the logic state of the clock enable signal cen. Since the current consumption in the memory unit 8 varies depending on the memory size and the like, weighting is performed with an appropriate coefficient.

メモリの測定サイクル数はストローブ信号を毎サイクル更新することで得られる(713)。そして、測定サイクルの期間中は、クロックイネーブル信号cenに係数重み付けした値の累算を行う。測定終了は、strb信号のネゲートによって知ることができる(714)。係数付cen和の累算と有効サイクル数から、予め、係数付cen和の累算と有効サイクル数から換算される電流値を用意することにより、メモリの電流値が求められる(715)。そして、測定を終了するか否かの判別が行われる(709)。この判別において、未だ測定を終了しないと判断された場合には、ステップ701に戻される。   The number of memory measurement cycles is obtained by updating the strobe signal every cycle (713). During the measurement cycle, the clock enable signal cen is accumulated with a coefficient weighted value. The end of the measurement can be known by the negation of the strb signal (714). From the accumulation of the cen sum with coefficients and the number of effective cycles, a current value converted from the accumulation of cen sums with coefficients and the number of effective cycles is prepared in advance to obtain the current value of the memory (715). Then, it is determined whether or not to end the measurement (709). In this determination, if it is determined that the measurement is not yet finished, the process returns to step 701.

上記の例によれば、単一のロジック電力情報検出部30により、複数のEORゲート32の出力信号を取り込むことで、フリップフロップ回路22における出力論理のトグル数に基づく電力検出が可能とされるので、FPGA上のトグル計測回路の回路規模を小さく抑えることができる。しかも、EORゲート32によりフリップフロップ回路22における出力論理のトグル数を精度良く取り込むことができるので、電流精度の低下を抑えることができる。   According to the above example, the single logic power information detection unit 30 captures the output signals of the plurality of EOR gates 32, thereby enabling power detection based on the number of toggles of the output logic in the flip-flop circuit 22. Therefore, the circuit scale of the toggle measurement circuit on the FPGA can be reduced. Moreover, since the EOR gate 32 can capture the number of toggles of the output logic in the flip-flop circuit 22 with high accuracy, it is possible to suppress a decrease in current accuracy.

以上本発明者によってなされた発明を具体的に説明したが、本発明はそれに限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。   Although the invention made by the present inventor has been specifically described above, the present invention is not limited thereto, and it goes without saying that various changes can be made without departing from the scope of the invention.

以上の説明では主として本発明者によってなされた発明をその背景となった利用分野であるSoCに適用した場合について説明したが、本発明はそれに限定されるものではなく、各種半導体集積回路に広く適用することができる。   In the above description, the case where the invention made by the present inventor is applied to the SoC, which is the field of use behind the invention, has been described. However, the present invention is not limited to this and is widely applied to various semiconductor integrated circuits. can do.

本発明にかかる半導体集積回路の構成例ブロック図である。1 is a block diagram illustrating a configuration example of a semiconductor integrated circuit according to the present invention. 上記システムオンチップに含まれる機能ブロックの構成例ブロック図である。It is a block diagram of a configuration example of functional blocks included in the system on chip. クロックイネーブル信号を用いてクロック信号を停止する際の機能ブロックの状態説明図である。It is state explanatory drawing of the functional block at the time of stopping a clock signal using a clock enable signal. 上記システムオンチップにおける機能ブロックに流れる電流の実測説明図である。It is actual measurement explanatory drawing of the electric current which flows into the functional block in the said system on chip. 上記システムオンチップにおける機能ブロックに流れる電流測定の流れを示すフローチャートである。It is a flowchart which shows the flow of the current measurement which flows into the functional block in the said system on chip. 本発明にかかる半導体集積回路の別の構成例ブロック図である。FIG. 6 is a block diagram showing another configuration example of the semiconductor integrated circuit according to the present invention. 図6に示される構成を採用した場合の電流測定の流れを示すフローチャートである。It is a flowchart which shows the flow of an electric current measurement at the time of employ | adopting the structure shown by FIG. 図6におけるロジック電力情報検出部の構成例ブロック図である。FIG. 7 is a block diagram illustrating a configuration example of a logic power information detection unit in FIG. 6. 図6におけるメモリ電力情報検出部の構成例ブロック図である。FIG. 7 is a block diagram illustrating a configuration example of a memory power information detection unit in FIG. 6. 図6におけるSRAMの構成例ブロック図である。FIG. 7 is a block diagram illustrating a configuration example of an SRAM in FIG. 6.

符号の説明Explanation of symbols

1 システムオンチップ(SoC)
2A、2B、2C、2D 機能ブロック(FB)
3 PLL
4 制御部(CTL)
5 バス制御部(BC)
6 ビルトインセルフテスト(BIST)
6A ロジックBIST部
6B メモBIST部
7 ロジック部
8 メモリ部
9 内部バス
11,12 電源端子
13、50,51 ANDゲート
20 ロジックランダムパターン生成部(LRPG)
21 ロジックテスト出力圧縮器(LTOC)
22 スキャン端子付フリップフロップ回路
23 SRAM
24 アドレス生成器(ADR_GEN)
25 データ生成器(DATA_GEN)
26 制御部(MBIST_CTL)
27 メモリ出力比較器(MCMP)
30 ロジック電力情報検出部
31 メモリ電力情報検出部
32 EORゲート
40 トグルカウンタ
41、52 加算器
42 ロジックトグル保持用レジスタ
45、56 測定サイクル数保持用レジスタ
46、57 インクリメンタ
53 メモリ情報レジスタ
58 バッファ
60 SoC実装ボード
61 電流プローブ
62 オシロスコープ
62DSP オシロスコープの表示画面
70 SRAM制御部(CTL)
71 SRAMクロック信号制御部(CCTL)
72 入出力バッファ(IOBUF)
73 ローデコーダ(RDEC)
74 ワードドライバ(WD)
75 カラムデコーダ(CDEC)
76 カラムドライバ(CD)
77 メモリアレイ(MARY)
78 センスアンプ(SA)
29A,29B,29C,29D,34A,34B,34C,34D,34E,34F,80,81,82 組み合わせ回路
1 System-on-chip (SoC)
2A, 2B, 2C, 2D function block (FB)
3 PLL
4 Control unit (CTL)
5 Bus control unit (BC)
6 Built-in self test (BIST)
6A Logic BIST unit 6B Memo BIST unit 7 Logic unit 8 Memory unit 9 Internal bus 11, 12 Power supply terminal 13, 50, 51 AND gate 20 Logic random pattern generation unit (LRPG)
21 Logic Test Output Compressor (LTOC)
22 Flip-flop circuit with scan terminal 23 SRAM
24 Address generator (ADR_GEN)
25 Data generator (DATA_GEN)
26 Control unit (MBIST_CTL)
27 Memory Output Comparator (MCMP)
30 Logic power information detection unit 31 Memory power information detection unit 32 EOR gate 40 Toggle counter 41, 52 Adder 42 Logic toggle holding register 45, 56 Measurement cycle number holding register 46, 57 Incrementer 53 Memory information register 58 Buffer 60 SoC mounting board 61 Current probe 62 Oscilloscope 62 DSP Oscilloscope display screen 70 SRAM controller (CTL)
71 SRAM clock signal controller (CCTL)
72 I / O buffer (IOBUF)
73 Row Decoder (RDEC)
74 Word driver (WD)
75 Column decoder (CDEC)
76 Column driver (CD)
77 Memory Array (MARY)
78 Sense Amplifier (SA)
29A, 29B, 29C, 29D, 34A, 34B, 34C, 34D, 34E, 34F, 80, 81, 82 combination circuit

Claims (14)

供給されたクロック信号に基づいて自己診断のためのパターン信号を発生可能なビルトインセルフテスト回路と、上記ビルトインセルフテスト回路によって発生されたパターン信号を上記クロック信号に同期してスキャン可能なスキャン回路とをそれぞれ含んで成る複数の機能ブロックを備えた半導体集積回路チップにおける電流測定方法であって、
上記複数の機能ブロックのうち電流測定対象とされる機能ブロックを除く他の機能ブロックについて上記クロック信号の供給を停止し、且つ、上記電流測定対象とされる機能ブロックにおける上記ビルトインセルフテスト回路と上記スキャン回路とを動作させた状態で、上記半導体集積回路チップの電源端子に流れる電流を測定することを特徴とする電流測定方法。
A built-in self-test circuit capable of generating a pattern signal for self-diagnosis based on the supplied clock signal; and a scan circuit capable of scanning the pattern signal generated by the built-in self-test circuit in synchronization with the clock signal; A method for measuring current in a semiconductor integrated circuit chip having a plurality of functional blocks each including
The built-in self-test circuit in the functional block that is the current measurement target and the function block that is the current measurement target, and the supply of the clock signal to other functional blocks other than the functional block that is the current measurement target among the plurality of functional blocks A current measuring method, comprising: measuring a current flowing through a power supply terminal of the semiconductor integrated circuit chip in a state where a scan circuit is operated.
上記複数の機能ブロックのうち電流測定対象とされる機能ブロックを除く他の機能ブロックについて上記クロック信号の供給を停止し、且つ、上記電流測定対象とされる機能ブロックにおける上記ビルトインセルフテスト回路と上記スキャン回路とを動作させた状態で上記半導体集積回路チップの電源端子に流れる電流と、上記複数の機能ブロックの全てについて上記クロック信号の供給を停止した状態で上記半導体集積回路チップの電源端子に流れる電流との差分を求めることで、上記機能ブロック毎の実動作電流を得る請求項1記載の電流測定方法。   The built-in self-test circuit in the functional block that is the current measurement target and the function block that is the current measurement target, and the supply of the clock signal to other functional blocks other than the functional block that is the current measurement target among the plurality of functional blocks A current that flows to the power supply terminal of the semiconductor integrated circuit chip in a state where the scan circuit is operated, and a current that flows to the power supply terminal of the semiconductor integrated circuit chip in a state where supply of the clock signal is stopped for all of the plurality of functional blocks. The current measuring method according to claim 1, wherein an actual operating current for each functional block is obtained by obtaining a difference from the current. 上記電流測定は、上記半導体集積回路チップが実装ボードに実装された状態で行われる請求項1記載の電流測定方法。   The current measurement method according to claim 1, wherein the current measurement is performed in a state where the semiconductor integrated circuit chip is mounted on a mounting board. 複数の機能ブロックと、
上記複数の機能ブロックのうち電流測定対象とされる機能ブロックに対してクロック信号を選択的に供給可能な制御回路と、を含み、
上記機能ブロックは、上記制御回路の制御によって供給された上記クロック信号に基づいて自己診断のためのパターン信号を発生可能なビルトインセルフテスト回路と、
上記ビルトインセルフテスト回路によって発生されたパターン信号を、上記制御回路の制御によって供給された上記クロック信号に同期してスキャン可能なスキャン回路と、を含むことを特徴とする半導体集積回路。
Multiple functional blocks;
A control circuit capable of selectively supplying a clock signal to a functional block that is a current measurement target among the plurality of functional blocks,
The functional block includes a built-in self-test circuit capable of generating a pattern signal for self-diagnosis based on the clock signal supplied by the control of the control circuit;
And a scan circuit capable of scanning a pattern signal generated by the built-in self-test circuit in synchronization with the clock signal supplied by the control of the control circuit.
上記機能ブロックは、上記制御回路から供給されたイネーブル信号に応じて、上記ビルトインセルフテスト回路及び上記スキャン回路への上記クロック信号の供給を制御可能な論理ゲートを含む請求項4記載の半導体集積回路。   5. The semiconductor integrated circuit according to claim 4, wherein the functional block includes a logic gate capable of controlling the supply of the clock signal to the built-in self test circuit and the scan circuit in accordance with an enable signal supplied from the control circuit. . 上記機能ブロックは、ランダムアクセス可能なメモリ部を更に含み、
上記ビルトインセルフテスト回路は、自己診断のために上記スキャン回路に供給されるパターン信号を生成可能な第1ビルトインセルフテスト回路と、
自己診断のために上記メモリに書き込まれるパターン信号を生成可能な第2ビルトインセルフテスト回路と、を含む請求項5記載の半導体集積回路。
The functional block further includes a randomly accessible memory unit,
The built-in self-test circuit includes a first built-in self-test circuit capable of generating a pattern signal supplied to the scan circuit for self-diagnosis,
6. The semiconductor integrated circuit according to claim 5, further comprising a second built-in self-test circuit capable of generating a pattern signal written to the memory for self-diagnosis.
上記機能ブロックは、上記ビルトインセルフテスト回路によって発生されたパターン信号を上記クロック信号に同期してスキャンイン及びスキャンアウト可能な複数のフリップフロップ回路と、
上記フリップフロップ回路における出力論理のトグル数に基づいて上記フリップフロップ回路を含むロジック部の電力を検出可能なロジック電力情報検出部と、
上記メモリ部の電力を検出可能なメモリ電力情報検出部と、を含む請求項6記載の半導体集積回路。
The functional block includes a plurality of flip-flop circuits capable of scanning in and scanning out a pattern signal generated by the built-in self-test circuit in synchronization with the clock signal;
A logic power information detection unit capable of detecting the power of the logic unit including the flip-flop circuit based on the number of toggles of the output logic in the flip-flop circuit;
The semiconductor integrated circuit according to claim 6, further comprising a memory power information detection unit capable of detecting the power of the memory unit.
上記機能ブロックは、上記フリップフロップ回路に入力されるデータと、上記フリップフロップ回路から出力されるデータとの排他的論理和を得るゲート回路を含み、
上記ロジック電力情報検出部は、測定サイクルの期間中における上記ゲート回路の出力をカウントすることで上記フリップフロップ回路のトグル数を累積し、その累積結果に基づいて上記ロジック部の電力検出を行う請求項7記載の半導体集積回路。
The functional block includes a gate circuit that obtains an exclusive OR of data input to the flip-flop circuit and data output from the flip-flop circuit,
The logic power information detection unit accumulates the number of toggles of the flip-flop circuit by counting the output of the gate circuit during a measurement cycle, and detects the power of the logic unit based on the accumulation result. Item 8. A semiconductor integrated circuit according to Item 7.
上記メモリ電力情報検出部は、上記メモリ部を選択可能なイネーブル信号に対して所定の係数で重み付けした信号を上記メモリの電流値計算の情報として使用する請求項7記載の半導体集積回路。   8. The semiconductor integrated circuit according to claim 7, wherein the memory power information detection unit uses a signal obtained by weighting an enable signal capable of selecting the memory unit with a predetermined coefficient as information for calculating a current value of the memory. 複数の機能ブロックを備えた半導体集積回路であって、
上記機能ブロックは、組合せ回路とフリップフロップとを含むロジック部と、
ランダムアクセス可能なメモリ部と、
上記フリップフロップ回路における出力論理のトグル数に基づいて上記フリップフロップ回路を含むロジック部の電力を検出可能なロジック電力情報検出部と、
上記メモリ部の電力を検出可能なメモリ電力情報検出部と、を含んで成ることを特徴とする半導体集積回路。
A semiconductor integrated circuit having a plurality of functional blocks,
The functional block includes a logic unit including a combinational circuit and a flip-flop,
A randomly accessible memory section;
A logic power information detection unit capable of detecting the power of the logic unit including the flip-flop circuit based on the number of toggles of the output logic in the flip-flop circuit;
And a memory power information detecting unit capable of detecting the power of the memory unit.
上記機能ブロックは、上記フリップフロップ回路に入力されるデータと、上記フリップフロップ回路から出力されるデータとの排他的論理和を得るゲート回路を含み、
上記ロジック電力情報検出部は、測定サイクルの期間中における上記ゲート回路の出力をカウントすることで上記フリップフロップ回路のトグル数を累積し、その累積結果に基づいて上記ロジック部の電力検出を行う請求項10記載の半導体集積回路。
The functional block includes a gate circuit that obtains an exclusive OR of data input to the flip-flop circuit and data output from the flip-flop circuit,
The logic power information detection unit accumulates the number of toggles of the flip-flop circuit by counting the output of the gate circuit during a measurement cycle, and detects the power of the logic unit based on the accumulation result. Item 11. A semiconductor integrated circuit according to Item 10.
上記メモリ電力情報検出部は、上記メモリ部を選択可能なイネーブル信号に対して所定の係数で重み付けした信号を上記メモリの電流値計算の情報として使用する請求項10記載の半導体集積回路。   11. The semiconductor integrated circuit according to claim 10, wherein the memory power information detection unit uses a signal obtained by weighting an enable signal capable of selecting the memory unit with a predetermined coefficient as information for calculating a current value of the memory. 上記機能ブロックは、供給されたクロック信号に基づいて自己診断のためのパターンを発生可能なビルトインセルフテスト回路を含み、
上記ロジック部は、上記ビルトインセルフテスト回路によって発生されたパターンを上記フリップフロップにより上記クロック信号に同期してスキャンイン及びスキャンアウトすることで診断され、
上記メモリ部は、上記ビルトインセルフテスト回路によって発生されたパターンを用いて診断される請求項10記載の半導体集積回路。
The functional block includes a built-in self-test circuit capable of generating a pattern for self-diagnosis based on a supplied clock signal,
The logic unit is diagnosed by scanning in and out the pattern generated by the built-in self-test circuit in synchronization with the clock signal by the flip-flop,
The semiconductor integrated circuit according to claim 10, wherein the memory unit is diagnosed using a pattern generated by the built-in self-test circuit.
FPGAによって形成された請求項10乃至13の何れか1項記載の半導体集積回路。   The semiconductor integrated circuit according to claim 10, formed by FPGA.
JP2007045468A 2007-02-26 2007-02-26 Current measuring method and semiconductor integrated circuit Pending JP2008209201A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007045468A JP2008209201A (en) 2007-02-26 2007-02-26 Current measuring method and semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007045468A JP2008209201A (en) 2007-02-26 2007-02-26 Current measuring method and semiconductor integrated circuit

Publications (1)

Publication Number Publication Date
JP2008209201A true JP2008209201A (en) 2008-09-11

Family

ID=39785634

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007045468A Pending JP2008209201A (en) 2007-02-26 2007-02-26 Current measuring method and semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP2008209201A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010182241A (en) * 2009-02-09 2010-08-19 Fujitsu Ltd Evaluation method and device
JP2011038787A (en) * 2009-08-06 2011-02-24 Fujitsu Semiconductor Ltd Semiconductor device and method of testing the same
JP2018190751A (en) * 2017-04-28 2018-11-29 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor device test method
CN113311319A (en) * 2021-06-01 2021-08-27 成都海光集成电路设计有限公司 Integrated circuit chip and configuration method, and test system and test method

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010182241A (en) * 2009-02-09 2010-08-19 Fujitsu Ltd Evaluation method and device
JP2011038787A (en) * 2009-08-06 2011-02-24 Fujitsu Semiconductor Ltd Semiconductor device and method of testing the same
JP2018190751A (en) * 2017-04-28 2018-11-29 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor device test method
CN113311319A (en) * 2021-06-01 2021-08-27 成都海光集成电路设计有限公司 Integrated circuit chip and configuration method, and test system and test method
CN113311319B (en) * 2021-06-01 2024-02-13 成都海光集成电路设计有限公司 Integrated circuit chip and configuration method, and test system and test method

Similar Documents

Publication Publication Date Title
US6564347B1 (en) Method and apparatus for testing an integrated circuit using an on-chip logic analyzer unit
US7962819B2 (en) Test mode soft reset circuitry and methods
US9297855B1 (en) Integrated circuit with increased fault coverage
US8037385B2 (en) Scan chain circuit and method
US7184936B1 (en) Timing variation measurement system and method
US9086451B2 (en) Semiconductor integrated circuit and method for designing the same
Hapke et al. Cell-aware experiences in a high-quality automotive test suite
US20100115337A1 (en) Verification of array built-in self-test (abist) design-for-test/design-for-diagnostics (dft/dfd)
JP5206487B2 (en) Semiconductor integrated circuit control method and semiconductor integrated circuit
US6867613B1 (en) Built-in self timing test method and apparatus
US7231565B2 (en) Method for performing built-in and at-speed test in system-on-chip
JP2008209201A (en) Current measuring method and semiconductor integrated circuit
US7089473B2 (en) Method and apparatus for testing a circuit using a die frame logic analyzer
US20030074620A1 (en) Configurable asic memory bist controller employing multiple state machines
US8065575B2 (en) Implementing isolation of VLSI scan chain using ABIST test patterns
US20100019774A1 (en) Isolation cell with test mode
Zhao et al. Unit-based functional iddt testing for aging degradation monitoring in a vliw processor
Cheng Comprehensive study on designing memory BIST: algorithms, implementations and trade-offs
He et al. SAM: A comprehensive mechanism for accessing embedded sensors in modern SoCs
Zhao et al. Application of functional I DDQ testing in a VLIW processor towards detection of aging degradation
JP3442226B2 (en) Integrated circuit with delay evaluation circuit
US20170010320A1 (en) Reducing test time and system-on-chip (soc) area reduction using simultaneous clock capture based on voltage sensor input
US11592481B1 (en) Unified approach for improved testing of low power designs with clock gating cells
US20060069974A1 (en) One-hot encoded instruction register for boundary scan test compliant devices
US20230376229A1 (en) Fast and flexible ram reader and writer

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090929

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100507

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110603

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110623

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111020