JP2005311350A - Method of producing semiconductor device - Google Patents

Method of producing semiconductor device Download PDF

Info

Publication number
JP2005311350A
JP2005311350A JP2005089794A JP2005089794A JP2005311350A JP 2005311350 A JP2005311350 A JP 2005311350A JP 2005089794 A JP2005089794 A JP 2005089794A JP 2005089794 A JP2005089794 A JP 2005089794A JP 2005311350 A JP2005311350 A JP 2005311350A
Authority
JP
Japan
Prior art keywords
film
semiconductor device
manufacturing
resist
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005089794A
Other languages
Japanese (ja)
Other versions
JP4571880B2 (en
Inventor
Tatsuya Usami
達矢 宇佐美
Sadayuki Onishi
貞之 大西
Masayuki Hiroi
政幸 廣井
Akira Matsumoto
明 松本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2005089794A priority Critical patent/JP4571880B2/en
Priority to US11/156,735 priority patent/US8598044B2/en
Priority to CNB2005100823207A priority patent/CN100440433C/en
Publication of JP2005311350A publication Critical patent/JP2005311350A/en
Application granted granted Critical
Publication of JP4571880B2 publication Critical patent/JP4571880B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To improve ashing resistance of an intermediate layer in the three-layer resist process. <P>SOLUTION: The intermediate layer 222 in three resist layers 225 are formed by the chemical vapor deposition process using Si(OR<SB>1</SB>)(OR<SB>2</SB>)(OR<SB>3</SB>)(OR<SB>4</SB>) at the temperature of 300°C or lower, wherein R<SB>1</SB>, R<SB>2</SB>R<SB>3</SB>, and R<SB>4</SB>individually denote carbon-containing radicals or hydrogen atoms. Here, the case is not included that all of R<SB>1</SB>, R<SB>2</SB>, R<SB>3</SB>and R<SB>4</SB>are hydrogen atoms. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、三層レジスト法を用いて配線溝やビアホールを形成する半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device in which wiring grooves and via holes are formed using a three-layer resist method.

半導体装置の微細化が進むにつれ、フォトレジストを用いたエッチング技術においても、微細加工が必要となってきている。三層レジスト法は、基板の段差に影響されることなく、微細な加工ができる方法として知られている。三層レジスト法では、まず、微細加工したい基板の上に厚い下層レジスト層を塗布する。次に、下層レジスト層上にSOG(Spin On Glass)を塗布して中間膜を形成する。その上に、上層レジスト層を塗布し、この上層レジストを露光し、現像して加工用マスクとする(特許文献1)。   As miniaturization of semiconductor devices progresses, microfabrication has become necessary also in an etching technique using a photoresist. The three-layer resist method is known as a method capable of performing fine processing without being affected by the step of the substrate. In the three-layer resist method, first, a thick lower resist layer is applied on a substrate to be finely processed. Next, SOG (Spin On Glass) is applied on the lower resist layer to form an intermediate film. An upper resist layer is applied thereon, and the upper resist is exposed and developed to form a processing mask (Patent Document 1).

目ずれ等が発生した場合、上層レジスト層のみをOアッシングや有機溶媒等により剥離・除去して上層レジスト層を再度形成して露光・現像するという処理が行われる。しかし、有機溶媒を用いて上層レジスト層を除去する際に、中間膜のSOGも剥がれてしまうという問題があった。特許文献1には、上層レジスト層のみを剥離しやすくするために、上層レジストに低濃度解像レジストを用いる技術が開示されている。 When misalignment or the like occurs, a process is performed in which only the upper resist layer is peeled and removed by O 2 ashing or an organic solvent, and the upper resist layer is formed again, exposed and developed. However, when the upper resist layer is removed using an organic solvent, the SOG of the intermediate film is also peeled off. Patent Document 1 discloses a technique of using a low-concentration resolution resist for the upper layer resist so that only the upper layer resist layer can be easily peeled off.

また、特許文献2には、下層レジスト層エッチング時の寸法変換差、パターン形状を改良するために、高密度プラズマによるプラズマCVD法で形成した中間膜パターンを三層レジストの中間膜として、低温CVD法で作成したSiO膜を用いる技術が開示されている。
特開平5−341533号公報 特開平7−183194号公報
Further, in Patent Document 2, an intermediate film pattern formed by a plasma CVD method using high-density plasma is used as an intermediate film of a three-layer resist in order to improve a dimensional conversion difference and a pattern shape when etching a lower resist layer. A technique using a SiO 2 film prepared by the method is disclosed.
JP-A-5-341533 JP-A-7-183194

ところで、Oアッシングにより上層レジスト層を剥離・除去する場合、アッシング時にSOG中のCとOが結合を作りやすくなり、簡単にSi−CH結合を破壊してしまうという現象があった。そのため、Si−CH結合が破壊された部分には、Si結合にダングリングボンドが形成され、吸湿率が高くなるという問題があった。このように、下地のSOGの吸湿により、膜性状が変化することにより、上層レジストの露光条件が変化し、パターン不良が生じてしまう。このような理由から、SOGを用いた三層レジスト技術において上層レジスト層のみを剥離・除去して再度形成するのは困難で、量産性に劣るという問題があった。 By the way, when the upper resist layer is peeled and removed by O 2 ashing, there is a phenomenon that C and O in the SOG easily form a bond at the time of ashing, and the Si—CH 3 bond is easily broken. Therefore, there is a problem that dangling bonds are formed in the Si bonds at the portion where the Si—CH 3 bonds are broken, and the moisture absorption rate is increased. As described above, the film properties change due to moisture absorption of the underlying SOG, whereby the exposure conditions of the upper resist change and pattern defects occur. For these reasons, in the three-layer resist technology using SOG, it is difficult to peel off and remove only the upper resist layer and to form it again, resulting in inferior mass productivity.

実施例において後述するように、特許文献2に示したように、SiHおよびNOガスを用いて形成したSiO膜では、アッシング耐性が充分でなかった。 As will be described later in Examples, as shown in Patent Document 2, the ashing resistance was not sufficient in the SiO 2 film formed using SiH 4 and N 2 O gas.

本発明は上記事情を踏まえてなされたものであり、本発明の目的は、三層レジスト法を用いて配線溝やビアホールを形成する際の、中間膜のアッシング耐性を高める技術を提供することを目的とする。   The present invention has been made in view of the above circumstances, and an object of the present invention is to provide a technique for increasing the ashing resistance of an intermediate film when forming a wiring groove or a via hole using a three-layer resist method. Objective.

本発明によれば、半導体基板上に形成された被エッチング膜上に絶縁膜を形成する工程と、絶縁膜上に、300℃以下の温度で、下記一般式(1)で表される化合物を用いた化学気相成長法により中間膜を形成する工程と、中間膜上にレジスト膜を形成する工程と、絶縁膜、中間膜、およびレジスト膜を用いて被エッチング膜のエッチングを行う工程と、を含むことを特徴とする半導体装置の製造方法が提供される。   According to the present invention, a step of forming an insulating film on a film to be etched formed on a semiconductor substrate, and a compound represented by the following general formula (1) at a temperature of 300 ° C. or less on the insulating film: A step of forming an intermediate film by the chemical vapor deposition method used, a step of forming a resist film on the intermediate film, a step of etching the film to be etched using the insulating film, the intermediate film, and the resist film, A method for manufacturing a semiconductor device is provided.

Figure 2005311350
Figure 2005311350

ここで、R、R、R、Rは、それぞれ独立して炭素含有基または水素原子を表す。ただし、R〜Rのすべてが水素原子である場合は含まない。また、R〜Rは、それぞれ独立して、炭素数1〜6のアルキル基または水素原子を表すことができる。 Here, R 1 , R 2 , R 3 and R 4 each independently represent a carbon-containing group or a hydrogen atom. However, it does not include when all of R 1 to R 4 are hydrogen atoms. Moreover, R < 1 > -R < 4 > can respectively independently represent a C1-C6 alkyl group or a hydrogen atom.

これにより、SiO膜により構成された中間膜を形成することができる。このような材料を用いて中間膜を形成することにより、中間膜のアッシング耐性を高めることができる。これにより、レジスト膜をパターニングした後に、レジスト膜に目ずれが生じ、レジスト膜をアッシングにより除去しなければならない場合でも、中間膜の劣化を防ぐことができる。 Thereby, an intermediate film composed of a SiO 2 film can be formed. By forming the intermediate film using such a material, the ashing resistance of the intermediate film can be increased. Thereby, after patterning the resist film, misalignment occurs in the resist film, and even when the resist film has to be removed by ashing, the deterioration of the intermediate film can be prevented.

中間膜を化学気相成長法により形成する際の温度の下限はとくに限定されないが、たとえば100℃以上とすることができる。これにより、基板にもともと付着していた水分や有機物等を除去することができ、下層との密着性を良好にすることができる。   Although the minimum of the temperature at the time of forming an intermediate film by a chemical vapor deposition method is not specifically limited, For example, it can be 100 degreeC or more. Thereby, the water | moisture content, organic substance, etc. which were adhering to the board | substrate originally can be removed, and adhesiveness with a lower layer can be made favorable.

本発明の半導体装置の製造方法の中間膜を形成する工程におけるSi(OR)(OR)(OR)(OR)において、R、R、R、Rは、それぞれ独立して炭素含有基を表すことができる。また、本発明の半導体装置の製造方法における中間膜を形成する工程において、TEOS(テトラエチルオルソシリケート)を用いることができる。 In Si (OR 1 ) (OR 2 ) (OR 3 ) (OR 4 ) in the step of forming the intermediate film of the method for manufacturing a semiconductor device of the present invention, R 1 , R 2 , R 3 , and R 4 are independent of each other. A carbon-containing group. Further, TEOS (tetraethyl orthosilicate) can be used in the step of forming the intermediate film in the method for manufacturing a semiconductor device of the present invention.

このような材料を用いて中間膜を形成することにより、中間膜のアッシング耐性を高めることができる。また、中間膜の吸湿性を低減することができる。   By forming the intermediate film using such a material, the ashing resistance of the intermediate film can be increased. In addition, the hygroscopicity of the intermediate film can be reduced.

本発明の半導体装置の製造方法における中間膜を形成する工程において、酸化性ガスをさらに用いることができる。   In the step of forming the intermediate film in the method for manufacturing a semiconductor device of the present invention, an oxidizing gas can be further used.

ここで、酸化性ガスとしては、Oガス、Oガス等を用いることができる。酸化性ガスとしては、窒素を含まないガスを用いることが好ましい。これにより、中間膜上にレジスト膜を化学増幅型のレジストにより構成した場合に、窒素源によるレジストポイズニングが発生するのを防ぐことができる。 Here, as the oxidizing gas, O 2 gas, O 3 gas, or the like can be used. As the oxidizing gas, it is preferable to use a gas not containing nitrogen. Thereby, when the resist film is formed of a chemically amplified resist on the intermediate film, it is possible to prevent the resist poisoning due to the nitrogen source from occurring.

本発明の半導体装置の製造方法において、レジスト膜は、化学増幅型のレジストとすることができる。これにより、レジスト膜の微細加工を行うことができる。また、上述したように、中間膜は、窒素源を含まないガスにより形成されるので、レジスト膜として化学増幅型のレジストを用いた場合でも、窒素源によるレジストポイズニングが生じることなく、解像度を良好にすることができる。なお、とくに限定されないが、絶縁膜も化学増幅型のレジストにより構成することもできる。   In the method for manufacturing a semiconductor device of the present invention, the resist film can be a chemically amplified resist. Thereby, the resist film can be finely processed. Further, as described above, since the intermediate film is formed of a gas not containing a nitrogen source, even when a chemically amplified resist is used as the resist film, resist poisoning due to the nitrogen source does not occur and the resolution is good. Can be. Although not particularly limited, the insulating film can also be formed of a chemically amplified resist.

本発明の半導体装置の製造方法は、中間膜を形成する工程の前に、絶縁膜の上に、第一の反射防止膜を形成する工程をさらに含むことができ、中間膜を形成する工程において、第一の反射防止膜の上に中間膜を形成することができる。   The method for manufacturing a semiconductor device of the present invention can further include a step of forming a first antireflection film on the insulating film before the step of forming the intermediate film. In the step of forming the intermediate film An intermediate film can be formed on the first antireflection film.

このように、中間膜の下に反射防止膜を形成しておくことにより、レジスト膜をアッシングして再構築する場合でも、中間膜が保護膜として機能し、反射防止膜を再度形成しなおす必要がない。第一の反射防止膜は、たとえば、SiONにより構成することができる。   In this way, by forming an antireflection film under the intermediate film, even if the resist film is ashed and reconstructed, the intermediate film functions as a protective film, and it is necessary to re-form the antireflection film. There is no. The first antireflection film can be made of, for example, SiON.

本発明の半導体装置の製造方法は、レジスト膜を形成する工程の前に、中間膜の上に、第二の反射防止膜を形成する工程をさらに含むことができ、レジスト膜を形成する工程において、第二の反射防止膜の上に、レジスト膜を形成することができる。   The method for manufacturing a semiconductor device of the present invention may further include a step of forming a second antireflection film on the intermediate film before the step of forming the resist film. In the step of forming the resist film A resist film can be formed on the second antireflection film.

このように、中間膜とレジスト膜との間に所望の第二の反射防止膜を形成することにより、レジスト膜の濡れ性を良好にすることができる。第二の反射防止膜は、たとえば、反射防止成分を加えたノボラック樹脂により構成することができる。   Thus, by forming a desired second antireflection film between the intermediate film and the resist film, the wettability of the resist film can be improved. The second antireflection film can be composed of, for example, a novolac resin to which an antireflection component is added.

本発明によれば、三層レジスト法を用いて半導体装置の配線溝やビアホールを形成する際の、中間膜のアッシング耐性を高めることができる。   According to the present invention, it is possible to improve the ashing resistance of an intermediate film when forming a wiring groove or a via hole of a semiconductor device using a three-layer resist method.

(第一の実施の形態)
図1〜図4は、本実施の形態における半導体装置200の製造手順を示す工程断面図である。
本実施の形態において、デュアルダマシンプロセスで多層配線構造を形成する際に本発明を適用する例を説明する。ここでは、いわゆるビアファースト法で配線およびビアを形成する方法を例として説明する。
(First embodiment)
1 to 4 are process cross-sectional views illustrating the manufacturing procedure of the semiconductor device 200 according to the present embodiment.
In the present embodiment, an example in which the present invention is applied when a multilayer wiring structure is formed by a dual damascene process will be described. Here, a method of forming wirings and vias by the so-called via first method will be described as an example.

図1(a)に示した状態の多層配線構造を形成するまでの手順を説明する。まず、半導体基板(不図示)上に成膜された下地絶縁膜201上に、エッチングストッパ膜202、第一配線間絶縁膜203、および第一保護膜204を順次積層する。エッチングストッパ膜202は、たとえばSiCまたはSiCN膜である。   A procedure for forming a multilayer wiring structure in the state shown in FIG. First, an etching stopper film 202, a first inter-wiring insulating film 203, and a first protective film 204 are sequentially stacked on a base insulating film 201 formed on a semiconductor substrate (not shown). Etching stopper film 202 is, for example, a SiC or SiCN film.

第一配線間絶縁膜203としては、HSQ(ハイドロジェンシルセスキオキサン)、MSQ(メチルシルセスキオキサン)、またはMHSQ(メチル化ハイドロジェンシルセスキオキサン)等のポリハイドロジェンシロキサン、ポリアリールエーテル(PAE)、ジビニルシロキサン−ビス−ベンゾシクロブテン(BCB)、またはSilk(登録商標)等の芳香族含有有機材料、SOG、FOX(flowable oxide)、サイトップ、またはBCB(Bensocyclobutene)、SiOC等の低誘電率材料を用いることができる。これらの中でも、ポリハイドロジェンシロキサンやSiOC等のSiO構造を有する材料を用いることが好ましい。また、第一配線間絶縁膜203としては、これらのポーラス膜を用いることもできる。第一配線間絶縁膜203としては、たとえば比誘電率が2.7以下のポーラスSiOC膜やポーラスポリシロキサン膜等を用いることができる。第一保護膜204は、たとえばSiO膜により構成される。本実施の形態における被エッチング膜は、配線間絶縁膜、保護膜、エッチングストッパ膜、層間絶縁膜等の絶縁膜とすることができる。 As the first inter-wiring insulating film 203, polyhydrogensiloxane such as HSQ (hydrogen silsesquioxane), MSQ (methyl silsesquioxane), or MHSQ (methylated hydrogen silsesquioxane), polyaryl, etc. Aromatic-containing organic materials such as ether (PAE), divinylsiloxane-bis-benzocyclobutene (BCB), or Silk (registered trademark), SOG, FOX (flowable oxide), Cytop, or BCB (Bencyclic cycloneene), SiOC, etc. The low dielectric constant material can be used. Among these, it is preferable to use a material having a SiO structure such as polyhydrogensiloxane or SiOC. Further, these porous films can be used as the first inter-wiring insulating film 203. As the first inter-wiring insulating film 203, for example, a porous SiOC film or a porous polysiloxane film having a relative dielectric constant of 2.7 or less can be used. The first protective film 204 is composed of, for example, a SiO 2 film. The film to be etched in this embodiment can be an insulating film such as an inter-wiring insulating film, a protective film, an etching stopper film, or an interlayer insulating film.

この状態で第一配線間絶縁膜203および第一保護膜204に配線溝を形成し、配線溝をバリア膜208および配線金属膜209で埋め込む。バリア膜208は、配線溝内にスパッタリング法により形成する。バリア膜208は、たとえばTa、TaN、Ti、TiNまたはこれらの積層構造により構成される。配線金属膜209は、バリア膜208上にたとえば電解めっき法により形成する。配線金属膜209は、たとえば銅膜により構成される。   In this state, a wiring groove is formed in the first inter-wiring insulating film 203 and the first protective film 204, and the wiring groove is filled with the barrier film 208 and the wiring metal film 209. The barrier film 208 is formed in the wiring trench by a sputtering method. The barrier film 208 is composed of, for example, Ta, TaN, Ti, TiN, or a laminated structure thereof. The wiring metal film 209 is formed on the barrier film 208 by, for example, electrolytic plating. Wiring metal film 209 is made of, for example, a copper film.

その後、配線溝外部に形成されたバリア膜208および配線金属膜209をCMP(化学機械研磨法:Chemical Mechanical polishing)により除去し、下層配線255を形成する。   Thereafter, the barrier film 208 and the wiring metal film 209 formed outside the wiring trench are removed by CMP (Chemical Mechanical Polishing) to form the lower layer wiring 255.

つづいて、下層配線255上にエッチングストッパ膜211、層間絶縁膜212、エッチングストッパ膜213、第二配線間絶縁膜216、第二保護膜217を順次積層する。このとき、層間絶縁膜212形成後に、下層配線255形成時のCMP工程で発生した凹凸を低減するために、層間絶縁膜212をCMPで処理して表面平坦化を行うのが好ましい。これにより、多層配線構造を形成した場合であっても、各層を平坦に保つことができ、半導体装置を精度よく安定的に製造することができる。エッチングストッパ膜211およびエッチングストッパ膜213は、エッチングストッパ膜202と同様の材料により構成することができる。層間絶縁膜212および第二配線間絶縁膜216は、第一配線間絶縁膜203と同様の材料により構成することができる。第二保護膜217は、第一保護膜204と同様の材料により構成することができる。   Subsequently, an etching stopper film 211, an interlayer insulating film 212, an etching stopper film 213, a second inter-wiring insulating film 216, and a second protective film 217 are sequentially stacked on the lower layer wiring 255. At this time, after the formation of the interlayer insulating film 212, in order to reduce unevenness generated in the CMP process when the lower layer wiring 255 is formed, the interlayer insulating film 212 is preferably processed by CMP to perform surface planarization. Thereby, even when a multilayer wiring structure is formed, each layer can be kept flat, and a semiconductor device can be manufactured accurately and stably. The etching stopper film 211 and the etching stopper film 213 can be made of the same material as the etching stopper film 202. The interlayer insulating film 212 and the second inter-wiring insulating film 216 can be made of the same material as the first inter-wiring insulating film 203. The second protective film 217 can be made of the same material as the first protective film 204.

次いで、第二保護膜217上に、第一レジスト膜220を形成する。これにより、図1(a)に示した構造の多層配線構造が形成される。   Next, a first resist film 220 is formed on the second protective film 217. As a result, a multilayer wiring structure having the structure shown in FIG.

第一レジスト膜220(膜厚約300〜500nm)は、三層レジスト法において通常用いられる下層レジスト層と同様の材料により構成することができ、たとえばノボラック型ポジ型レジストである。また、第一レジスト膜220は、ポリイミド樹脂や熱硬化性フェノールにより構成することもできる。   The first resist film 220 (with a film thickness of about 300 to 500 nm) can be made of the same material as the lower resist layer normally used in the three-layer resist method, and is, for example, a novolak-type positive resist. The first resist film 220 can also be composed of a polyimide resin or a thermosetting phenol.

つづいて、第一レジスト膜220上に中間膜222(膜厚約50〜100nm)を形成する(図1(b))。本実施の形態において、中間膜222は、たとえば、成膜ガスとしてTEOSを用い、約3Torrの減圧下で、300℃以下、より好ましくは200℃以下の条件でCVD法により形成する。中間膜222は、100℃以上の温度で形成することができる。また、中間膜222は、2周波プラズマCVD法で成膜することができる。このような方法を用いることにより、中間膜222の膜応力を制御することができる。この場合、一方の周波数を、1MHz以下とすることができる。このような条件とすることにより、中間膜222を300℃以下、より好ましくは200℃以下の比較的低温条件で形成する場合でも、中間膜222が圧縮応力を有するようにすることができる。中間膜222が圧縮応力を有するようにすることにより、中間膜222の吸湿性を低下させることができる。たとえば、中間膜222は、高周波成分の周波数(High Frequency)が13.56MHz、低周波数成分の周波数(Low Frequency)が約500KHzである2周波プラズマCVD法により成膜することができる。ここで、成膜ガスとしては、TEOSに加えてO等の酸化性ガスも用いることができる。 Subsequently, an intermediate film 222 (film thickness of about 50 to 100 nm) is formed on the first resist film 220 (FIG. 1B). In the present embodiment, for example, the intermediate film 222 is formed by a CVD method using TEOS as a film forming gas under a reduced pressure of about 3 Torr and a temperature of 300 ° C. or lower, more preferably 200 ° C. or lower. The intermediate film 222 can be formed at a temperature of 100 ° C. or higher. The intermediate film 222 can be formed by a two-frequency plasma CVD method. By using such a method, the film stress of the intermediate film 222 can be controlled. In this case, one frequency can be 1 MHz or less. By setting such conditions, the intermediate film 222 can have a compressive stress even when the intermediate film 222 is formed at a relatively low temperature of 300 ° C. or lower, more preferably 200 ° C. or lower. By making the intermediate film 222 have a compressive stress, the hygroscopicity of the intermediate film 222 can be reduced. For example, the intermediate film 222 can be formed by a two-frequency plasma CVD method in which the frequency of the high frequency component (High Frequency) is 13.56 MHz and the frequency of the low frequency component (Low Frequency) is about 500 KHz. Here, as the film forming gas, an oxidizing gas such as O 2 can be used in addition to TEOS.

その後、中間膜222上に第二レジスト膜224(膜厚約150〜300nm)を形成する(図1(c))。第二レジスト膜224は、三層レジスト法において通常用いられる上層レジスト層と同様の材料により構成することができ、たとえばポジ型化学増幅レジストである。これにより、三層レジスト膜225が形成される。   Thereafter, a second resist film 224 (film thickness of about 150 to 300 nm) is formed on the intermediate film 222 (FIG. 1C). The second resist film 224 can be made of the same material as the upper resist layer normally used in the three-layer resist method, and is, for example, a positive chemically amplified resist. Thereby, a three-layer resist film 225 is formed.

つづいて、以上のようにして形成した三層レジスト膜225を用いて層間絶縁膜212、第二配線間絶縁膜216、および第二保護膜217にビアホールを形成する。ここで、ビアホール径は、約0.1μmとすることができる。まず、第二レジスト膜224を所定形状にパターニングして開口する(図2(d))。このとき、目ずれ等が発生した場合、Oアッシング(約250℃)により、第二レジスト膜224を除去することができる。本実施の形態において、中間膜222が、アッシング耐性の高い材料により構成されているので、アッシングを行っても、中間膜222への影響を低くすることができる。そのため、第二レジスト膜224を除去した後、中間膜222上へ再度第二レジスト膜224を形成することにより、再び第二レジスト膜224のパターニングを行うことができる。 Subsequently, via holes are formed in the interlayer insulating film 212, the second inter-wiring insulating film 216, and the second protective film 217 using the three-layer resist film 225 formed as described above. Here, the via hole diameter can be about 0.1 μm. First, the second resist film 224 is patterned into a predetermined shape and opened (FIG. 2D). At this time, if misalignment or the like occurs, the second resist film 224 can be removed by O 2 ashing (about 250 ° C.). In the present embodiment, since the intermediate film 222 is made of a material having high ashing resistance, the influence on the intermediate film 222 can be reduced even if ashing is performed. Therefore, after the second resist film 224 is removed, the second resist film 224 can be patterned again by forming the second resist film 224 on the intermediate film 222 again.

その後、第二レジスト膜224をマスクとして、中間層222を所定形状にパターニングして開口する(図2(e))。次いで、第二レジスト膜224および中間膜222をマスクとして、第一レジスト膜220を所定形状にパターニングして開口する(図2(f))。   Thereafter, using the second resist film 224 as a mask, the intermediate layer 222 is patterned into a predetermined shape and opened (FIG. 2E). Next, using the second resist film 224 and the intermediate film 222 as a mask, the first resist film 220 is patterned into a predetermined shape and opened (FIG. 2F).

つづいて、以上のようにして所定形状にパターニングされた三層レジスト膜225をマスクとして、既知のリソグラフィー技術およびエッチング技術により、層間絶縁膜212、エッチングストッパ膜213、第二配線間絶縁膜216、および第二保護膜217にビアホール226を形成する(図2(g))。ここで、エッチングストッパ膜211は、ビアホール226形成時のエッチングを止める機能を有する。なお、ここでは第二レジスト膜224や中間膜222を図示しているが、第二レジスト膜224は、中間膜222を開口する過程で除去される材料により構成することもできる。また、中間膜222は、第一レジスト膜220を開口する過程で除去される材料により構成することもできる。これにより、後の工程での三層レジスト膜225の除去を簡易に行うことができる。   Subsequently, with the three-layer resist film 225 patterned in a predetermined shape as described above as a mask, an interlayer insulating film 212, an etching stopper film 213, a second inter-wiring insulating film 216, by a known lithography technique and etching technique, A via hole 226 is formed in the second protective film 217 (FIG. 2G). Here, the etching stopper film 211 has a function of stopping etching when the via hole 226 is formed. Although the second resist film 224 and the intermediate film 222 are illustrated here, the second resist film 224 may be formed of a material that is removed in the process of opening the intermediate film 222. Further, the intermediate film 222 can be made of a material that is removed in the process of opening the first resist film 220. Thereby, the three-layer resist film 225 can be easily removed in a later step.

その後、三層レジスト膜225を除去し、三層レジスト膜225と同様にして、第三レジスト膜230、中間膜232、および第四レジスト膜234からなる三層レジスト膜235を形成する(図3(h))。   Thereafter, the three-layer resist film 225 is removed, and a three-layer resist film 235 including the third resist film 230, the intermediate film 232, and the fourth resist film 234 is formed in the same manner as the three-layer resist film 225 (FIG. 3). (H)).

つづいて、三層レジスト膜235を用いて、第二配線間絶縁膜216および第二保護膜217に配線溝を形成する。まず、第四レジスト膜234を所定形状にパターニングして開口する。つづいて、第四レジスト膜234をマスクとして、中間膜232を所定形状にパターニングして開口する。次いで、第四レジスト膜234および中間膜232をマスクとして、第三レジスト膜230を所定形状にパターニングして開口する(図3(i))。   Subsequently, a wiring groove is formed in the second inter-wiring insulating film 216 and the second protective film 217 using the three-layer resist film 235. First, the fourth resist film 234 is patterned and opened in a predetermined shape. Subsequently, using the fourth resist film 234 as a mask, the intermediate film 232 is patterned into a predetermined shape and opened. Next, using the fourth resist film 234 and the intermediate film 232 as a mask, the third resist film 230 is patterned and opened in a predetermined shape (FIG. 3I).

ところで、以上のようなビアファースト法で配線およびビアを形成する場合、レジストとして化学増幅型のものを用いると、レジストの解像不良(レジストポイズニング)が起こりやすいという問題があった。このような問題は、層間絶縁膜として低誘電率膜を用いた場合により起こりやすい。化学増幅型のレジストは、光の照射により酸を発生する酸発生剤と、酸により反応する化合物を含むレジストであり、酸触媒による反応を用いて化合物のアルカリ溶解特性を変化させることによりレジストパターンを形成するものである。このような化学増幅型のレジストにおいて、レジスト層の下層にアミン等の塩基性不純物が存在すると、化学増幅型のレジストに光を照射することにより発生した酸触媒がアミン等の塩基性不純物により中和されてしまい、化学増幅型のレジスト中の化合物のアルカリ溶解特性が変化せず、アルカリ水溶液を作用させても溶解しないことに解像不良が起こると考えられる。そのため、化学増幅型のレジストの下層には、窒素源を含まない層を設けることが好ましい。本実施の形態においては、第二レジスト膜224や第四レジスト膜234の下層の中間膜222や中間膜232をNO等の窒素を含むガスを用いることなく形成するので、第二レジスト膜224や第四レジスト膜234の解像度を良好にすることができるという効果も生じる。 By the way, when wiring and vias are formed by the via first method as described above, there is a problem that resist resolution failure (resist poisoning) is likely to occur when a chemically amplified resist is used. Such a problem is more likely to occur when a low dielectric constant film is used as an interlayer insulating film. A chemically amplified resist is a resist that contains an acid generator that generates an acid upon irradiation with light and a compound that reacts with the acid. By using an acid-catalyzed reaction, the alkali dissolution characteristics of the compound are changed to change the resist pattern. Is formed. In such a chemically amplified resist, if basic impurities such as amine are present in the lower layer of the resist layer, the acid catalyst generated by irradiating the chemically amplified resist with light is neutralized by basic impurities such as amine. It is considered that the alkali dissolution characteristics of the compound in the chemically amplified resist do not change, and the poor resolution occurs because the compound does not dissolve even when an alkaline aqueous solution is applied. Therefore, it is preferable to provide a layer that does not contain a nitrogen source under the chemically amplified resist. In the present embodiment, the second resist film 224 and the intermediate film 222 and the intermediate film 232 below the fourth resist film 234 are formed without using a gas containing nitrogen such as N 2 O, so the second resist film There is also an effect that the resolution of the 224 and the fourth resist film 234 can be improved.

つづいて、以上のようにして所定形状にパターニングされた三層レジスト膜235をマスクとして、既知のリソグラフィー技術およびエッチング技術により、第二配線間絶縁膜216および第二保護膜217に配線溝236を形成する(図3(j))。これにより、下層配線255上に、ビアホール226および配線溝236が連続して形成される。この過程で第四レジスト膜234および中間膜232も除去される。   Subsequently, the wiring groove 236 is formed in the second inter-wiring insulating film 216 and the second protective film 217 by a known lithography technique and etching technique using the three-layer resist film 235 patterned in a predetermined shape as described above as a mask. It forms (FIG.3 (j)). As a result, a via hole 226 and a wiring groove 236 are continuously formed on the lower layer wiring 255. In this process, the fourth resist film 234 and the intermediate film 232 are also removed.

その後、剥離液を用いて配線溝236形成に用いた三層レジスト膜235を完全に除去し、ビアホール226底のエッチングストッパ膜211をエッチングにより除去する(図4(k))。つづいて、ビアホール226および配線溝236内にスパッタリング法によりバリア膜240を形成する。次に、バリア膜240上において、ビアホール226および配線溝236を埋め込むように、たとえば電解めっき法により配線金属膜242を形成する。次いで、配線溝236外部に形成されたバリア膜240および配線金属膜242をCMPにより除去する。これにより、半導体装置200が形成される。   Thereafter, the three-layer resist film 235 used for forming the wiring groove 236 is completely removed using a stripping solution, and the etching stopper film 211 at the bottom of the via hole 226 is removed by etching (FIG. 4K). Subsequently, a barrier film 240 is formed in the via hole 226 and the wiring groove 236 by sputtering. Next, a wiring metal film 242 is formed on the barrier film 240 by, for example, electrolytic plating so as to fill the via hole 226 and the wiring groove 236. Next, the barrier film 240 and the wiring metal film 242 formed outside the wiring groove 236 are removed by CMP. Thereby, the semiconductor device 200 is formed.

以上のようにして配線を形成し、当該配線上に配線間を電気的に接続するビアおよび配線を形成する工程を繰り返すことにより、デュアルダマシンプロセスにより、所望の層数の多層配線構造を有する半導体装置を製造することができる。   A semiconductor having a multilayer wiring structure having a desired number of layers by a dual damascene process by repeating the process of forming a wiring as described above and forming a via and a wiring for electrically connecting the wiring on the wiring. The device can be manufactured.

以上のように、本実施の形態における半導体装置200の製造方法によれば、三層レジスト膜225中の中間膜222や三層レジスト膜235の中間膜232を、成膜ガスとしてTEOSを用いてCVD法により形成するので、アッシング耐性を高めることができる。これにより、中間膜222の上層の第二レジスト膜224をアッシング除去する際に、中間膜222への影響を抑えることができる。   As described above, according to the manufacturing method of semiconductor device 200 in the present embodiment, the intermediate film 222 in the three-layer resist film 225 and the intermediate film 232 in the three-layer resist film 235 are formed using TEOS as a film forming gas. Since it is formed by the CVD method, ashing resistance can be increased. Thereby, when the second resist film 224, which is the upper layer of the intermediate film 222, is removed by ashing, the influence on the intermediate film 222 can be suppressed.

また、このようにして形成した中間膜222は、吸湿性も低いため、中間膜222を形成して引き置きした場合の安定性を高めることもできる。   In addition, since the intermediate film 222 formed in this way has low hygroscopicity, the stability when the intermediate film 222 is formed and placed can be improved.

さらに、中間膜222は、窒素源を含まないので、上層の第二レジスト膜224として化学増幅型レジスト膜を用いた場合のレジストポイズニングを抑えることができ、解像度を良好にすることができる。   Furthermore, since the intermediate film 222 does not contain a nitrogen source, resist poisoning when a chemically amplified resist film is used as the upper second resist film 224 can be suppressed, and the resolution can be improved.

たとえば、被エッチング膜となる第一配線間絶縁膜203、層間絶縁膜212および第二配線間絶縁膜216等をポーラスSiOC膜(たとえば比誘電率が2.7以下)により構成した場合、従来、レジストポイズニングが生じやすいという課題があった。これは、ポーラスSiOC膜中にアミン系剥離液が浸透し、または、プラズマ処理により窒素源が混入しており、それがレジスト膜に影響を与えるためだと考えられる。しかし本実施の形態における半導体装置の製造方法によれば、第一配線間絶縁膜203、層間絶縁膜212および第二配線間絶縁膜216等をポーラスSiOC膜により構成した場合でも、レジストポイズニングが抑制されることが確認された。これは、中間膜222が窒素源を含まず、さらに下層にある被エッチング膜中に窒素源がある場合でも、それが上層の化学増幅型のレジストに影響を与えるのを防ぐことができたからだと考えられる。   For example, in the case where the first inter-wiring insulating film 203, the interlayer insulating film 212, the second inter-wiring insulating film 216 and the like that are to be etched are formed of a porous SiOC film (for example, a relative dielectric constant of 2.7 or less), There was a problem that resist poisoning was likely to occur. This is presumably because the amine-based stripping solution penetrates into the porous SiOC film or a nitrogen source is mixed by plasma treatment, which affects the resist film. However, according to the method for manufacturing a semiconductor device in the present embodiment, resist poisoning is suppressed even when the first inter-wiring insulating film 203, the interlayer insulating film 212, the second inter-wiring insulating film 216, and the like are formed of a porous SiOC film. It was confirmed that This is because the intermediate film 222 does not contain a nitrogen source, and even if there is a nitrogen source in the etching target film in the lower layer, it could prevent the upper layer chemically amplified resist from being affected. it is conceivable that.

(第二の実施の形態)
図5および図6は、本実施の形態における半導体装置200の製造工程を示す構造図である。ここでは、三層レジスト膜235の下層の詳細な構造は省略して単に被エッチング物と記載する。
(Second embodiment)
5 and 6 are structural views showing the manufacturing process of the semiconductor device 200 in the present embodiment. Here, the detailed structure of the lower layer of the three-layer resist film 235 is omitted, and is simply referred to as an object to be etched.

図5に示すように、三層レジスト膜235は、第三レジスト膜230と中間膜232との間に反射防止膜244が設けられた構成とすることもできる。ここで、反射防止膜244は、たとえばSiONやSiOCにより構成することができる。たとえば、反射防止膜244をSiONにより構成する場合、SiONは、SiHおよびNOガスを用いて、200℃以下の温度で並行平板プラズマCVDにより成膜することができる。反射防止膜244は、中間膜232を形成する成膜装置と同じ装置内で成膜することが好ましい。反射防止膜244中の組成をSiリッチとすることにより、光の減衰係数を高くすることができる。これにより、光を透過しにくくすることができる。なお、三層レジスト膜225についても、同様の構成とすることができる。 As shown in FIG. 5, the three-layer resist film 235 may have a configuration in which an antireflection film 244 is provided between the third resist film 230 and the intermediate film 232. Here, the antireflection film 244 can be made of, for example, SiON or SiOC. For example, when the antireflection film 244 is made of SiON, the SiON can be formed by parallel plate plasma CVD at a temperature of 200 ° C. or lower using SiH 4 and N 2 O gas. The antireflection film 244 is preferably formed in the same apparatus as that for forming the intermediate film 232. By making the composition in the antireflection film 244 Si-rich, the light attenuation coefficient can be increased. Thereby, it can be made difficult to transmit light. The three-layer resist film 225 can have the same configuration.

また、図6に示すように、三層レジスト膜235は、中間膜232と第四レジスト膜234との間に反射防止膜246が設けられた構成とすることができる。ここで、反射防止膜246は、たとえば反射防止成分を加えたノボラック樹脂により構成することができる。これにより、第四レジスト膜234とその下の層との濡れ性を良好にすることができる。なお、三層レジスト膜225についても、同様の構成とすることができる。   As shown in FIG. 6, the three-layer resist film 235 can have a configuration in which an antireflection film 246 is provided between the intermediate film 232 and the fourth resist film 234. Here, the antireflection film 246 can be made of, for example, a novolac resin to which an antireflection component is added. Thereby, the wettability with the 4th resist film 234 and the layer under it can be made favorable. The three-layer resist film 225 can have the same configuration.

以上のように、三層レジスト膜235や三層レジスト膜225中に反射防止膜を設けることにより、レジストパターニングを制御よく行うことができる。   As described above, by providing the antireflection film in the three-layer resist film 235 or the three-layer resist film 225, resist patterning can be performed with good control.

(実施例1)
アッシング前後におけるTEOS−SiO膜、SiH−SiO膜、およびSOG膜の構造変化を調べた。各膜は以下のようにして準備した。
(Example 1)
The structural changes of the TEOS-SiO 2 film, SiH 4 -SiO 2 film, and SOG film before and after ashing were examined. Each membrane was prepared as follows.

i)TEOS−SiO
成膜ガスとしてTEOSとOの混合ガス(流量比1:10)を用い、約3Torrの減圧下で、200℃の2周波プラズマCVD法で成膜した。ここで、パワーは、高周波成分の周波数(High Frequency、13.56MHz)が約100W、低周波数成分の周波数(Low Frequency、約500KHz)が約200Wとなるようにした。
i) TEOS-SiO 2 film Using a mixed gas of TEOS and O 2 (flow rate ratio 1:10) as a film forming gas, a film was formed by a two-frequency plasma CVD method at 200 ° C. under a reduced pressure of about 3 Torr. Here, the power is set so that the frequency of the high frequency component (High Frequency, 13.56 MHz) is about 100 W and the frequency of the low frequency component (Low Frequency, about 500 KHz) is about 200 W.

ii)SiH−SiO
成膜ガスとしてSiHとNOの混合ガス(流量比1:20)を用い、約3Torrの減圧下で、200℃のCVD法で成膜した。
ii) SiH 4 —SiO 2 film A mixed gas of SiH 4 and N 2 O (flow rate ratio 1:20) was used as a film forming gas, and a film was formed by a CVD method at 200 ° C. under a reduced pressure of about 3 Torr.

iii)SOG膜
SOG薬液をコーターにより滴下し、ホットプレートにより約200℃で焼成し、膜を形成し、成膜した。
iii) SOG film An SOG chemical solution was dropped by a coater and baked at about 200 ° C. by a hot plate to form a film, which was then formed.

以上の3種の膜を準備し、約250℃でOアッシングを行った場合のアッシング前後の各膜のFT−IRの変化、膜厚の変化率、屈折率の変化率を測定した。 The above three types of films were prepared, and the FT-IR change, the change rate of the film thickness, and the change rate of the refractive index of each film before and after ashing when O 2 ashing was performed at about 250 ° C. were measured.

図7は、FT−IR変化を示す図である。図7(a)に示すように、TEOS−SiO膜では、アッシング前(INIT)とアッシング後(ASH)でほとんど変化が見られなかった。また、SiH−SiO膜では、アッシング前(INIT)に比べて、アッシング後(ASH)にSi−OH結合の増加が見られた。また、SOG膜では、アッシングによりCH基の消失が見られ、またH−OHの増加が見られた。 FIG. 7 is a diagram showing FT-IR changes. As shown in FIG. 7A, in the TEOS-SiO 2 film, almost no change was observed before ashing (INIT) and after ashing (ASH). Further, in the SiH 4 —SiO 2 film, an increase in Si—OH bonds was observed after ashing (ASH) as compared to before ashing (INIT). In the SOG film, the disappearance of the CH 3 group was observed due to ashing, and an increase in H—OH was observed.

図8は、膜厚の変化を示す図である。ここで、アッシング前の膜厚を基準とすると、TEOS−SiO膜では、アッシングを3回行った後でも、ほとんど膜厚が変化しなかった。一方、SOG膜では、アッシングを1回行っただけで、膜厚が大幅に収縮してしまった。SiH−SiO膜では、SOG膜ほど顕著な膜厚の変化はなかったが、TEOS−SiO膜と比較すると、膜厚変化が生じた。これは、膜が脆弱なためと考えられる。 FIG. 8 is a diagram showing changes in film thickness. Here, on the basis of the film thickness before ashing, in the TEOS-SiO 2 film, the film thickness hardly changed even after ashing was performed three times. On the other hand, with the SOG film, the film thickness contracted significantly only by performing ashing once. In the SiH 4 —SiO 2 film, the film thickness did not change as significantly as the SOG film, but compared with the TEOS—SiO 2 film, the film thickness changed. This is probably because the membrane is fragile.

図9は、屈折率の変化を示す図である。ここでも、アッシング前の屈折率を基準とすると、TEOS−SiO膜では、アッシングを3回行った後でも、ほとんど屈折率が変化しなかった。一方、SiH−SiO膜では、アッシングを1回行っただけで、屈折率が大幅に変化した。SOG膜では、SiH−SiO膜ほど顕著な膜厚の変化はなかったが、TEOS−SiO膜と比較すると、屈折率の変化が生じた。これは、Si−CH結合が破壊することにより膜質が変化したためと考えられる。 FIG. 9 is a diagram showing a change in refractive index. Again, with the refractive index before ashing as a reference, the TEOS-SiO 2 film hardly changed the refractive index even after ashing was performed three times. On the other hand, in the SiH 4 —SiO 2 film, the refractive index changed significantly only by performing ashing once. In the SOG film, the film thickness did not change as significantly as the SiH 4 —SiO 2 film, but the refractive index changed compared to the TEOS—SiO 2 film. This is presumably because the film quality was changed by breaking the Si—CH 3 bond.

以上のように、TEOS−SiO膜においては、アッシング前と後とで、構造変化がほとんど生じず、アッシング耐性が高いことが示された。 As described above, in the TEOS-SiO 2 film, structural change hardly occurred before and after ashing, and it was shown that the ashing resistance was high.

(実施例2)
実施例1と同様にして成膜したTEOS−SiO膜およびSiH−SiO膜を用い、クリーンルーム雰囲気下(23℃)で1ヶ月保管した後に、これらの膜のFT−IRを測定した。図10(a)はTEOS−SiO膜のFT−IR、図10(b)はSiH−SiO膜のFT−IRを示す。
(Example 2)
The TEOS-SiO 2 film and SiH 4 -SiO 2 film formed in the same manner as in Example 1 were stored for 1 month in a clean room atmosphere (23 ° C.), and then the FT-IR of these films was measured. FIG. 10A shows the FT-IR of the TEOS-SiO 2 film, and FIG. 10B shows the FT-IR of the SiH 4 -SiO 2 film.

図10(b)に示したように、SiH−SiO膜では、成膜後と比較し、H−OH結合が見られ、吸湿していることがわかる。一方、TEOS−SiO膜では、1月経過後でもH−OH−結合のピークが見られず、吸湿しないことがわかった。TEOS−SiO膜では、原料のTEOSにおいて、Siが4つのO原子と結合しているため、生成された低温CVD膜においても、Si−O結合の割合が多く、安定した構造となっていると推察される。低温で成膜するために、Si−H結合も存在し得るが、比率が少なく、膜が安定していると考えられる。一方、SiH−SiO膜では、Si−H結合の存在量が多く、そのため膜が不安定となっていると推察される。 As shown in FIG. 10B, it can be seen that the SiH 4 —SiO 2 film has a H—OH bond and absorbs moisture as compared to after the film formation. On the other hand, in the TEOS-SiO 2 film, no peak of H—OH— bond was observed even after 1 month, and it was found that the film did not absorb moisture. In the TEOS-SiO 2 film, since Si is bonded to four O atoms in the raw material TEOS, the resulting low-temperature CVD film has a high proportion of Si—O bonds and has a stable structure. It is guessed. In order to form a film at a low temperature, Si—H bonds may exist, but the ratio is small and the film is considered to be stable. On the other hand, in the SiH 4 —SiO 2 film, the abundance of Si—H bonds is large, so that it is presumed that the film is unstable.

(実施例3)
実施例1と同様にしてTEOS−SiO膜を成膜し、室温下で膜の応力を測定した。その結果、圧縮応力が約50MPaであった。
(Example 3)
A TEOS-SiO 2 film was formed in the same manner as in Example 1, and the film stress was measured at room temperature. As a result, the compressive stress was about 50 MPa.

本実施例において、TEOS−SiO膜を成膜する場合、2周波プラズマCVD法で成膜するとともに、低周波数成分の周波数(Low Frequency)を1MHz以下とすることにより、イオンのボンバードメント効果により、膜応力が制御できたと考えられる。この結果、吸湿性を低下させることもできたと考えられる。 In this embodiment, when a TEOS-SiO 2 film is formed, the film is formed by a two-frequency plasma CVD method, and the frequency of the low frequency component (Low Frequency) is set to 1 MHz or less, so that the ion bombardment effect is obtained. It is thought that the film stress could be controlled. As a result, it is considered that the hygroscopicity could be reduced.

以上、本発明を実施の形態および実施例に基づいて説明した。この実施の形態および実施例はあくまで例示であり、種々の変形例が可能なこと、またそうした変形例も本発明の範囲にあることは当業者に理解されるところである。   The present invention has been described based on the embodiments and examples. It is to be understood by those skilled in the art that the embodiments and examples are merely examples, and various modifications are possible and that such modifications are within the scope of the present invention.

たとえば、実施の形態においては、配線およびビアをデュアルダマシンプロセスのいわゆるビアファースト法で形成する例を示したが、本発明は、いわゆるトレンチファースト法やミドルファースト法、またシングルダマシンプロセス等、種々のプロセスに適用することができる。   For example, in the embodiment, the example in which the wiring and the via are formed by the so-called via first method of the dual damascene process has been shown. Can be applied to the process.

本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。It is process sectional drawing which shows the manufacturing procedure of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。It is process sectional drawing which shows the manufacturing procedure of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。It is process sectional drawing which shows the manufacturing procedure of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。It is process sectional drawing which shows the manufacturing procedure of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造工程を示す構造図である。It is a structural diagram showing a manufacturing process of a semiconductor device in an embodiment of the present invention. 本発明の実施の形態における半導体装置の製造工程を示す構造図である。It is a structural diagram showing a manufacturing process of a semiconductor device in an embodiment of the present invention. FT−IR変化を示す図である。It is a figure which shows FT-IR change. 膜厚の変化を示す図である。It is a figure which shows the change of a film thickness. 屈折率の変化を示す図である。It is a figure which shows the change of a refractive index. FT−IRを示す図である。It is a figure which shows FT-IR.

符号の説明Explanation of symbols

200 半導体装置
201 下地絶縁膜
202 エッチングストッパ膜
203 第一配線間絶縁膜
204 第一保護膜
208 バリア膜
209 配線金属膜
211 エッチングストッパ膜
212 層間絶縁膜
213 エッチングストッパ膜
216 第二配線間絶縁膜
217 第二保護膜
220 第一レジスト膜
222 中間膜
224 第二レジスト膜
225 三層レジスト膜
226 ビアホール
230 第三レジスト膜
232 中間膜
234 第四レジスト膜
235 三層レジスト膜
236 配線溝
240 バリア膜
242 配線金属膜
200 Semiconductor Device 201 Base Insulating Film 202 Etching Stopper Film 203 First Inter-wiring Insulating Film 204 First Protection Film 208 Barrier Film 209 Wiring Metal Film 211 Etching Stopper Film 212 Interlayer Insulating Film 213 Etching Stopper Film 216 Second Inter-wiring Insulating Film 217 Second protective film 220 First resist film 222 Intermediate film 224 Second resist film 225 Three-layer resist film 226 Via hole 230 Third resist film 232 Intermediate film 234 Fourth resist film 235 Three-layer resist film 236 Wiring groove 240 Barrier film 242 Wiring Metal film

Claims (11)

半導体基板上に形成された被エッチング膜上に絶縁膜を形成する工程と、
前記絶縁膜上に、300℃以下の温度で、下記一般式(1)で表される化合物を用いた化学気相成長法により中間膜を形成する工程と、
前記中間膜上にレジスト膜を形成する工程と、
前記絶縁膜、前記中間膜、および前記レジスト膜を用いて前記被エッチング膜のエッチングを行う工程と、
を含むことを特徴とする半導体装置の製造方法。
Figure 2005311350
(R、R、R、Rは、それぞれ独立して炭素含有基または水素原子を表す。ただし、R〜Rのすべてが水素原子である場合は含まない。)
Forming an insulating film on a film to be etched formed on a semiconductor substrate;
Forming an intermediate film on the insulating film by a chemical vapor deposition method using a compound represented by the following general formula (1) at a temperature of 300 ° C. or less;
Forming a resist film on the intermediate film;
Etching the film to be etched using the insulating film, the intermediate film, and the resist film;
A method for manufacturing a semiconductor device, comprising:
Figure 2005311350
(R 1 , R 2 , R 3 and R 4 each independently represent a carbon-containing group or a hydrogen atom. However, it is not included when all of R 1 to R 4 are hydrogen atoms.)
請求項1に記載の半導体装置の製造方法において、
前記中間膜を形成する工程における前記化合物において、R、R、R、Rは、それぞれ独立して炭素含有基を表すことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
In the compound in the step of forming the intermediate film, R 1 , R 2 , R 3 , and R 4 each independently represent a carbon-containing group.
請求項1または2に記載の半導体装置の製造方法において、
前記炭素含有基は、炭素数1〜6のアルキル基であることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1 or 2,
The method for manufacturing a semiconductor device, wherein the carbon-containing group is an alkyl group having 1 to 6 carbon atoms.
請求項1乃至3いずれかに記載の半導体装置の製造方法において、
前記中間膜を形成する工程において、TEOS(テトラエチルオルソシリケート)を用いることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
A method of manufacturing a semiconductor device, wherein TEOS (tetraethyl orthosilicate) is used in the step of forming the intermediate film.
請求項1乃至4いずれかに記載の半導体装置の製造方法において、
前記中間膜を形成する工程において、酸化性ガスをさらに用いることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
A method for manufacturing a semiconductor device, wherein an oxidizing gas is further used in the step of forming the intermediate film.
請求項1乃至5いずれかに記載の半導体装置の製造方法において、
前記レジスト膜は、化学増幅型のレジストであることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The method of manufacturing a semiconductor device, wherein the resist film is a chemically amplified resist.
請求項1乃至6いずれかに記載の半導体装置の製造方法において、
前記中間膜を形成する工程の前に、前記絶縁膜の上に、第一の反射防止膜を形成する工程をさらに含み、
前記中間膜を形成する工程において、前記第一の反射防止膜の上に前記中間膜を形成することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
Before the step of forming the intermediate film, further comprising a step of forming a first antireflection film on the insulating film;
A method of manufacturing a semiconductor device, wherein in the step of forming the intermediate film, the intermediate film is formed on the first antireflection film.
請求項1乃至7いずれかに記載の半導体装置の製造方法において、
前記レジスト膜を形成する工程の前に、前記中間膜の上に、第二の反射防止膜を形成する工程をさらに含み、
前記レジスト膜を形成する工程において、前記第二の反射防止膜の上に、前記レジスト膜を形成することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device in any one of Claims 1 thru | or 7,
Before the step of forming the resist film, further comprising a step of forming a second antireflection film on the intermediate film;
A method of manufacturing a semiconductor device, wherein in the step of forming the resist film, the resist film is formed on the second antireflection film.
請求項1乃至8いずれかに記載の半導体装置の製造方法において、
前記中間膜が圧縮応力を有することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
A method of manufacturing a semiconductor device, wherein the intermediate film has a compressive stress.
請求項1乃至9いずれかに記載の半導体装置の製造方法において、
前記中間膜を形成する工程において、一方の周波数が1MHz以下である2周波プラズマCVD法により前記中間膜を形成することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device in any one of Claims 1 thru | or 9,
In the step of forming the intermediate film, the intermediate film is formed by a two-frequency plasma CVD method in which one frequency is 1 MHz or less.
請求項1乃至10いずれかに記載の半導体装置の製造方法において、
前記被エッチング膜が、比誘電率が2.7以下のポーラス膜を含むことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The method for manufacturing a semiconductor device, wherein the film to be etched includes a porous film having a relative dielectric constant of 2.7 or less.
JP2005089794A 2004-03-25 2005-03-25 Manufacturing method of semiconductor device Active JP4571880B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2005089794A JP4571880B2 (en) 2004-03-25 2005-03-25 Manufacturing method of semiconductor device
US11/156,735 US8598044B2 (en) 2005-03-25 2005-06-21 Method of fabricating a semiconductor device
CNB2005100823207A CN100440433C (en) 2005-03-25 2005-06-30 Method of fabricating a semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004090575 2004-03-25
JP2005089794A JP4571880B2 (en) 2004-03-25 2005-03-25 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2005311350A true JP2005311350A (en) 2005-11-04
JP4571880B2 JP4571880B2 (en) 2010-10-27

Family

ID=35439697

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005089794A Active JP4571880B2 (en) 2004-03-25 2005-03-25 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP4571880B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007156018A (en) * 2005-12-02 2007-06-21 Shin Etsu Chem Co Ltd Substrate and method for manufacturing the same, and pattern forming method using the same
JP2007165883A (en) * 2005-12-16 2007-06-28 Asm Japan Kk Method for forming organic silicon oxide film and multilayer resist structure
JP2007335450A (en) * 2006-06-12 2007-12-27 Renesas Technology Corp Process for fabricating semiconductor device
JP2009016657A (en) * 2007-07-06 2009-01-22 Tokyo Electron Ltd Method for re-forming resist pattern
KR20160112928A (en) 2015-03-20 2016-09-28 르네사스 일렉트로닉스 가부시키가이샤 Method for producing semiconductor device

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6056868B2 (en) 2012-10-09 2017-01-11 日本電気株式会社 Wiring formation method

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05198785A (en) * 1992-01-21 1993-08-06 Sony Corp Formation of chip lens
JPH07181688A (en) * 1993-12-24 1995-07-21 Sony Corp Forming method of multilayer resist pattern
JPH07183194A (en) * 1993-12-24 1995-07-21 Sony Corp Multilayer resist pattern formation method
JPH09115878A (en) * 1995-10-13 1997-05-02 Sony Corp Plasma etching method
JPH10125669A (en) * 1996-10-22 1998-05-15 Hitachi Electron Eng Co Ltd Plasma cvd system and formation of oxide film
JP2001168100A (en) * 2000-08-25 2001-06-22 Seiko Epson Corp Method of manufacturing semiconductor device
JP2001185531A (en) * 1999-12-15 2001-07-06 Read Rite Corp Etching method for multilayer resist and manufacturing method for thin film magnetic head
JP2001358218A (en) * 2000-04-13 2001-12-26 Canon Inc Method for etching organic film and method for manufacturing element
WO2003085717A1 (en) * 2002-04-08 2003-10-16 Tokyo Electron Limited Plasma etching method

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05198785A (en) * 1992-01-21 1993-08-06 Sony Corp Formation of chip lens
JPH07181688A (en) * 1993-12-24 1995-07-21 Sony Corp Forming method of multilayer resist pattern
JPH07183194A (en) * 1993-12-24 1995-07-21 Sony Corp Multilayer resist pattern formation method
JPH09115878A (en) * 1995-10-13 1997-05-02 Sony Corp Plasma etching method
JPH10125669A (en) * 1996-10-22 1998-05-15 Hitachi Electron Eng Co Ltd Plasma cvd system and formation of oxide film
JP2001185531A (en) * 1999-12-15 2001-07-06 Read Rite Corp Etching method for multilayer resist and manufacturing method for thin film magnetic head
JP2001358218A (en) * 2000-04-13 2001-12-26 Canon Inc Method for etching organic film and method for manufacturing element
JP2001168100A (en) * 2000-08-25 2001-06-22 Seiko Epson Corp Method of manufacturing semiconductor device
WO2003085717A1 (en) * 2002-04-08 2003-10-16 Tokyo Electron Limited Plasma etching method

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007156018A (en) * 2005-12-02 2007-06-21 Shin Etsu Chem Co Ltd Substrate and method for manufacturing the same, and pattern forming method using the same
JP4563927B2 (en) * 2005-12-02 2010-10-20 信越化学工業株式会社 Substrate, manufacturing method thereof, and pattern forming method using the same
JP2007165883A (en) * 2005-12-16 2007-06-28 Asm Japan Kk Method for forming organic silicon oxide film and multilayer resist structure
JP2007335450A (en) * 2006-06-12 2007-12-27 Renesas Technology Corp Process for fabricating semiconductor device
JP2009016657A (en) * 2007-07-06 2009-01-22 Tokyo Electron Ltd Method for re-forming resist pattern
KR20160112928A (en) 2015-03-20 2016-09-28 르네사스 일렉트로닉스 가부시키가이샤 Method for producing semiconductor device

Also Published As

Publication number Publication date
JP4571880B2 (en) 2010-10-27

Similar Documents

Publication Publication Date Title
US8598044B2 (en) Method of fabricating a semiconductor device
JP4166576B2 (en) Low-k wiring structure composed of multilayer spin-on porous dielectric
KR100745986B1 (en) Fabrication method of dual damascene interconnections of microelectronics device using filler having porogen
JP4590450B2 (en) Formation of interconnect structure by decomposing photosensitive dielectric layer
US8748314B2 (en) Method of manufacturing a semiconductor device
KR100538750B1 (en) HYBRID LOW-k INTERCONNECT STRUCTURE COMPRISED OF 2 SPIN-ON DIELECTRIC MATERIALS
US7242096B2 (en) Semiconductor device and method for manufacturing the same
KR20060049990A (en) Method of manufacturing semiconductor device
JP2006128543A (en) Method for manufacturing electronic device
US7049221B2 (en) Method for manufacturing a semiconductor device having a multilayer interconnection structure
JP4194508B2 (en) Manufacturing method of semiconductor device
JP2007281114A (en) Method of manufacturing semiconductor device, and semiconductor device
JP4571880B2 (en) Manufacturing method of semiconductor device
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
JP2008060498A (en) Semiconductor device and manufacturing method therefor
JP2006128542A (en) Method for manufacturing electronic device
JP2005142473A (en) Method of manufacturing semiconductor device
JP2006133315A (en) Planarization material, anti-reflection coating formation material, and method of manufacturing semiconductor device using them
KR100439111B1 (en) Method for forming metal line in semiconductor device
JP2006351877A (en) Manufacturing method of lamination, semiconductor device and its manufacturing method
JP2004247695A (en) Semiconductor device and method of manufacturing the same
JP2004296620A (en) Process for fabricating semiconductor device
JP2005109343A (en) Manufacturing method of semiconductor device
JP2008244338A (en) Semiconductor device and manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070112

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090106

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090309

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100209

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100412

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100511

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100712

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100810

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100813

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130820

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4571880

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350