JP2005182433A - Packaging design device and method for semiconductor integrated circuit - Google Patents

Packaging design device and method for semiconductor integrated circuit Download PDF

Info

Publication number
JP2005182433A
JP2005182433A JP2003421929A JP2003421929A JP2005182433A JP 2005182433 A JP2005182433 A JP 2005182433A JP 2003421929 A JP2003421929 A JP 2003421929A JP 2003421929 A JP2003421929 A JP 2003421929A JP 2005182433 A JP2005182433 A JP 2005182433A
Authority
JP
Japan
Prior art keywords
flip
flop
power consumption
delay
path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003421929A
Other languages
Japanese (ja)
Inventor
Tomonari Sakagami
智成 坂上
Tetsuo Sasaki
哲雄 佐々木
Kazuhisa Miyamoto
和久 宮本
Misao Takimoto
操 瀧本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2003421929A priority Critical patent/JP2005182433A/en
Publication of JP2005182433A publication Critical patent/JP2005182433A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a design system capable of proposing a design pattern employing a low power consumption flip-flop while preventing the deterioration of a target machine cycle (delay). <P>SOLUTION: In designing of a semiconductor integrated circuit having a plurality of types of flip-flops that are the same in logical function and different in power consumption and delay characteristics, there are provided a two-stage path delay calculation means for performing delay calculation for both of a path from a flip-flop of interest to a flip-flop at the preceding stage and a path to a flip-flop at the following stage; a means for calculating the preceding-stage path delay and the following-stage path delay, and the amount of change in power consumption resulting from switching of the flip-flops by using the results of the two-stage path delay calculation; and a means for switching the flip-flops by determining a reference value of the delay or power consumption. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明は、半導体集積回路の設計をする際に、消費電力およびディレイ制御を目的に半導体集積回路のフリップフロップ切替えて回路の実装設計を支援するための、実装設計装置及び方法に関する。   The present invention relates to a mounting design apparatus and method for supporting circuit mounting design by switching flip-flops of a semiconductor integrated circuit for the purpose of power consumption and delay control when designing a semiconductor integrated circuit.

従来、半導体集積回路のLSI設計における低消費電力化は、レイアウト設計(配置配線)の時に行われていた。例えば、配置配線時にセルの駆動能力を下げて消費電力を低減するといった手法があり、特許文献1や特許文献2に記載された技術が知られている。これらは、フリップフロップから次のフリップフロップまでの経路(パス)のディレイがディレイ違反を起した場合に、フリップフロップから次のフリップフロップまでの経路(パス)のディレイ値から目標のディレイ値を割ったディレイ違反率(目標に対するオーバー値)が高い順番に、フリップフロップから次のフリップフロップまでの経路(パス)を構成する論理素子(セル)の動作速度を速くするように内部抵抗を変更させ、その際に消費電力が制限値を越えないように制御する技術である。   Conventionally, low power consumption in LSI design of a semiconductor integrated circuit has been performed at the time of layout design (placement and routing). For example, there is a technique of reducing the power consumption by reducing the cell driving capability at the time of placement and routing, and the techniques described in Patent Document 1 and Patent Document 2 are known. When the delay of the path from the flip-flop to the next flip-flop causes a delay violation, the target delay value is divided from the delay value of the path from the flip-flop to the next flip-flop. In order of increasing delay violation rate (over value with respect to the target), the internal resistance is changed so as to increase the operation speed of the logic element (cell) constituting the path from the flip-flop to the next flip-flop, In this case, the power consumption is controlled so that the power consumption does not exceed the limit value.

また、非特許文献1には、セル置換による漏れ電力最適化に関する記載がある。   Non-Patent Document 1 describes a leakage power optimization by cell replacement.

特開2002-312415号公報JP 2002-312415 A

特開2001-332625号公報JP 2001-332625 A 平成15年5月15日、「ケイデンス R&D セミナー」にて、久木元 祐治氏により報告された「In-Place Optimizationの新機能 Multi-Vt/論理再合成」“New In-Place Optimization Multi-Vt / Logic Resynthesis” reported by Yuji Hisaki at Cadence R & D Seminar on May 15, 2003

前記従来技術は、フリップフロップから次のフリップフロップまでの1段分のパスディレイ計算結果を用いる。そのため、1段分(後段)のパスディレイを考慮したパスを構成するセルを切替えることは可能である。   In the prior art, the path delay calculation result for one stage from the flip-flop to the next flip-flop is used. Therefore, it is possible to switch the cells constituting the path in consideration of the path delay for one stage (the latter stage).

しかし、パスの始点または終点であるフリップフロップを切替える場合、切替えに伴ってフリップフロップの前段パスおよび後段パス両方のディレイ値が変化してしまう。前記従来技術では1段分(後段)のパスディレイしか考慮されておらず、最適なフリップフロップに効率よく切り替えてLSIを設計することが困難である。   However, when the flip-flop that is the start point or the end point of the path is switched, the delay values of both the preceding path and the subsequent path of the flip-flop change with the switching. In the prior art, only one stage (later stage) of path delay is considered, and it is difficult to efficiently switch to the optimum flip-flop and design an LSI.

また、低消費電力化を図るための手段として、フリップフロップ以外のセルの駆動力を下げることによる低消費電力化は、常にクロック信号により動作しているフリップフロップと比べてセル1つ当たりの消費電力低減量が少ないため、多くのセルの駆動力を下げる必要がある。   In addition, as a means for reducing power consumption, lowering power consumption by reducing the driving power of cells other than flip-flops is the consumption per cell as compared to flip-flops that are always operated by a clock signal. Since the amount of power reduction is small, it is necessary to reduce the driving force of many cells.

さらに、配置配線時にセルの駆動力を変える場合でも、設計工数の少ない設計方法が望ましい。   Furthermore, even when the cell driving force is changed during placement and routing, a design method with a small number of design steps is desirable.

本発明の目的は、前記従来技術の問題点を解決し、目標マシンサイクル(またはディレイ)を考慮しつつ、低消費電力フリップフロップに切替えたレイアウト/実装パターンを提供し得る設計方式を提供することにある。   An object of the present invention is to solve the above-mentioned problems of the prior art and provide a design method capable of providing a layout / mounting pattern switched to a low power consumption flip-flop while considering a target machine cycle (or delay). It is in.

本発明の他の目的は、配置配線時にセルの駆動力を変える場合でも、精度が高く、かつ、設計の後戻りの発生を少なくした効率の良い半導体集積回路の設計方式を提供することにある。   Another object of the present invention is to provide an efficient semiconductor integrated circuit design method that is highly accurate and reduces the occurrence of design reversal even when the cell driving force is changed during placement and routing.

本発明によれば前記目的は、コンピュータを利用して複数種のフリップフロップを備えた半導体集積回路の実装設計を行なう方法であって、論理的な機能が同じで、消費電力及びディレイ特性の異なる複数種のフリップフロップを含むフリップフロップ群に関するデータを取り込むステップと、前記半導体集積回路の着目フリップフロップから前段のフリップフロップまでの前段パス、および後段フリップフロップまでの後段パスの両方のパスディレイ計算を行う2段パスディレイ計算ステップと、前記前段パス及び後段パスの消費電力もしくはその変化分を計算する消費電力計算ステップと、前記着目フリップフロップに関して、前記パスディレイ計算の結果及び前記消費電力の計算結果を予め設定された条件と比較し該条件を満たすか判定する比較判定ステップと、前記条件を満たす場合は該フリップフロップを採用し、前記着目フリップフロップが前記条件を満たさない場合は前記記憶部に保持された新たなフリップフロップに切替えて前記ディレイ計算及び前記消費電力計算を行なわせ、前記条件を満たすフリップフロップを選定するフリップフロップ切替ステップ、とを有する半導体集積回路の実装設計方法により、達成される。   According to the present invention, the object is a method for mounting and designing a semiconductor integrated circuit having a plurality of types of flip-flops using a computer, which has the same logical function but different power consumption and delay characteristics. A step of fetching data related to a flip-flop group including a plurality of types of flip-flops, and a path delay calculation of both the preceding path from the target flip-flop of the semiconductor integrated circuit to the preceding flip-flop and the subsequent path to the succeeding flip-flop A two-stage path delay calculation step to be performed; a power consumption calculation step to calculate the power consumption of the preceding-stage path and the subsequent-stage path or its change; and the path delay calculation result and the power consumption calculation result for the flip-flop of interest. Is compared with preset conditions to determine whether the conditions are met. Comparing and determining step, if the condition is satisfied, the flip-flop is adopted, and if the target flip-flop does not satisfy the condition, the flip-flop is switched to a new flip-flop held in the storage unit, and the delay calculation and the This is achieved by a semiconductor integrated circuit mounting design method comprising: a flip-flop switching step for performing a power consumption calculation and selecting a flip-flop satisfying the above condition.

前記目的は、また、コンピュータを利用して複数種のフリップフロップを含む半導体集積回路の実装設計を行なうための装置において、論理的な機能が同じで、消費電力及びディレイ特性の異なる複数種のフリップフロップを含むフリップフロップ群に関するデータを格納する記憶部と、前記半導体集積回路の着目フリップフロップから前段のフリップフロップまでの経路および後段フリップフロップまでの経路の両方のパスディレイの計算を行う2段パスディレイ計算部と、前記前段パス及び後段パスの消費電力もしくはその変化分を計算する消費電力計算部と、前記着目フリップフロップに関して、前記パスディレイ計算の結果及び前記消費電力の計算結果を予め設定された条件と比較し該条件を満たすか判定する比較判定部と、前記着目フリップフロップが前記条件を満たす場合は該フリップフロップを採用し、前記条件を満たさない場合は前記記憶部に保持された新たなフリップフロップに切替えて前記ディレイ計算及び前記消費電力計算を行なわせ、前記条件を満たすフリップフロップを前記着目フリップフロップとして選定するためのフリップフロップ切替部とを有する半導体集積回路の実装設計装置によって、達成される。   Another object of the present invention is to provide a device for designing and mounting a semiconductor integrated circuit including a plurality of types of flip-flops using a computer, and a plurality of types of flip-flops having the same logical function but different power consumption and delay characteristics. And a two-stage path for calculating path delays of both the path from the target flip-flop of the semiconductor integrated circuit to the preceding flip-flop and the path to the subsequent flip-flop of the semiconductor integrated circuit The delay calculation unit, the power consumption calculation unit for calculating the power consumption of the preceding path and the subsequent path or the change amount thereof, and the flip-flop of interest, the path delay calculation result and the power consumption calculation result are preset. A comparison / determination unit that determines whether the condition is satisfied and When the flip-flop satisfies the condition, the flip-flop is adopted, and when the flip-flop does not satisfy the condition, the delay calculation and the power consumption calculation are performed by switching to a new flip-flop held in the storage unit, This is achieved by a semiconductor integrated circuit mounting design apparatus having a flip-flop switching unit for selecting a flip-flop that satisfies a condition as the target flip-flop.

本発明によれば、半導体集積回路の実装設計を行なう際に、2段パスディレイ計算を行い、目標マシンサイクル(またはディレイ)を悪化させないように考慮して低消費電力フリップフロップに切替えたレイアウト/実装パターンを提供する。これにより、LSIの設計に際して、精度の高いディレイ計算または消費電力計算に基く実装設計により、目標のマシンサイクルを満足して消費電力の低減化を図った半導体集積回路を提供することができる。さらに、配置配線時にセルの駆動力を変える場合でも、精度が高く、かつ、設計の後戻りの発生を少なくした効率の良い半導体集積回路の設計方式を提供することができる。   According to the present invention, when designing the mounting of a semiconductor integrated circuit, a two-stage path delay calculation is performed, and a layout / switching to a low power consumption flip-flop is performed so as not to deteriorate the target machine cycle (or delay). Provide an implementation pattern. As a result, when designing an LSI, it is possible to provide a semiconductor integrated circuit in which power consumption is reduced by satisfying a target machine cycle by mounting design based on highly accurate delay calculation or power consumption calculation. Furthermore, even when the driving force of the cell is changed at the time of placement and routing, it is possible to provide a highly efficient semiconductor integrated circuit design method that is highly accurate and reduces the occurrence of design reversion.

本発明の半導体集積回路の実装設計方式として、フリップフロップ切替えによる消費電力とディレイ制御方法の一実施例について、図を用いて詳細に説明する。   As an implementation design method for a semiconductor integrated circuit according to the present invention, an embodiment of power consumption and delay control method by flip-flop switching will be described in detail with reference to the drawings.

図1は、本発明の一実施例になる半導体集積回路の実装設計装置のシステム構成を示す図であり、図2は、図1の装置において実行される実装設計支援プログラム、すなわち、ディレイを考慮した低消費電力化フリップフロップ切替え方式の処理動作を説明する図である。   FIG. 1 is a diagram showing a system configuration of a semiconductor integrated circuit mounting design apparatus according to an embodiment of the present invention. FIG. 2 shows a mounting design support program executed in the apparatus of FIG. It is a figure explaining the processing operation of the low-power-consumption flip-flop switching method.

図1において、実装設計装置10は、消費電力計算部11、2段パスディレイ計算部12、比較判定部13、およびフリップフロップ切り替部14を備えている。実装設計装置10は、CPUやメモリ、記憶装置などを有するコンピュータを利用して各機能部の処理動作を行なうための、所定のプログラムにより実現される。   In FIG. 1, the mounting design apparatus 10 includes a power consumption calculation unit 11, a two-stage path delay calculation unit 12, a comparison determination unit 13, and a flip-flop switching unit 14. The mounting design device 10 is realized by a predetermined program for performing processing operations of each functional unit using a computer having a CPU, a memory, a storage device, and the like.

記憶装置としての論理情報ファイル111には論理情報データが格納され、ライブラリ112には、レイアウトを行うためのセルの基本情報(セルタイプ、セルサイズ、端子位置)や、ディレイ計算のための定数情報が格納されている。さらに、レイアウト情報ファイル113には、配置配線の結果情報(セルの配置位置、配線パターン、等)が格納されている。また、114は入出力部である。実装設計装置10は、この外、入出力制御部や通信制御部などを備えている。   The logical information file 111 as a storage device stores logical information data, and the library 112 stores basic cell information (cell type, cell size, terminal position) for layout, and constant information for delay calculation. Is stored. Further, the layout information file 113 stores result information of the placement and routing (cell placement position, wiring pattern, etc.). Reference numeral 114 denotes an input / output unit. The mounting design apparatus 10 includes an input / output control unit, a communication control unit, and the like.

次に、図2を参照して実装設計装置10の処理フローを説明する。
まず、データ入力として、論理情報ファイル111、ライブラリ112、レイアウト情報ファイル113から、対象とする半導体集積回路の実装設計の処理に必要な情報を実装設計装置10のメモリ(記憶部)に入力する(ステップ101)。
次に、消費電力計算部11において、対象のレイアウトパターンの消費電力計算を行い、各セルが消費する電力と、半導体集積回路全体の消費電力を求める(ステップ102)。
Next, a processing flow of the mounting design apparatus 10 will be described with reference to FIG.
First, as data input, information necessary for mounting design processing of the target semiconductor integrated circuit is input to the memory (storage unit) of the mounting design apparatus 10 from the logic information file 111, the library 112, and the layout information file 113 ( Step 101).
Next, the power consumption calculation unit 11 calculates the power consumption of the target layout pattern, and obtains the power consumed by each cell and the power consumption of the entire semiconductor integrated circuit (step 102).

さらに、2段パスディレイ計算部12において、対象のレイアウトパターンの全てのパスディレイ計算を行い、各フリップフロップについて、2段パスディレイを求める(ステップ103)。
ここで、2段パスディレイとは、ある着目フリップフロップに関して前段論理と後段論理それぞれの最大パスディレイ値を求める処理のことであり、この処理ステップについて、図3で一例を説明する。
Further, the two-stage path delay calculation unit 12 calculates all the path delays of the target layout pattern, and obtains the two-stage path delay for each flip-flop (step 103).
Here, the two-stage path delay is a process for obtaining the maximum path delay values of the preceding logic and the succeeding logic for a certain flip-flop, and an example of this processing step will be described with reference to FIG.

図3に、2段パスディレイの概念図を示す。着目フリップフロップ(201)として、例えば、対象の半導体集積回路全体の中でパスディレイ値の最も大きいフリップフロップを選定する。次に、この着目フリップフロップを、論理的機能が同じで消費電力の異なるフリップフロップまたはディレイ特性の異なるフリップフロップに置き換える。この場合、置換えたフリップフロップの入力容量が変化するため、後段のパスディレイだけでなく前段のパスディレイにも影響を与える。そのため、着目フリップフロップに対して前段論理(203)と後段論理(204)それぞれの最大パスディレイ値(205および206)を算出する。   FIG. 3 shows a conceptual diagram of a two-stage path delay. As the target flip-flop (201), for example, the flip-flop having the largest path delay value in the entire target semiconductor integrated circuit is selected. Next, the target flip-flop is replaced with a flip-flop having the same logical function but different power consumption or a different delay characteristic. In this case, since the input capacitance of the replaced flip-flop changes, it affects not only the post-stage path delay but also the pre-stage path delay. Therefore, the maximum path delay values (205 and 206) of the preceding logic (203) and the succeeding logic (204) are calculated for the target flip-flop.

なお、図2において、消費電力計算(ステップ102)と、2段パスディレイ計算(ステップ103)の処理の順序は問わない。   In FIG. 2, the order of processing of the power consumption calculation (step 102) and the two-stage path delay calculation (step 103) does not matter.

次に、比較判定部13で、2段パスディレイ計算結果及び消費電力計算結果よりディレイ違反または消費電力違反を判定する(ステップ104)。   Next, the comparison determination unit 13 determines a delay violation or power consumption violation from the two-stage path delay calculation result and the power consumption calculation result (step 104).

まず、2段パスディレイ計算結果より、着目セルの前段および後段最大パスディレイ値(DaおよびDb)が目標ディレイ値を越えていないかを判定する。   First, it is determined from the calculation result of the two-stage path delay whether the preceding and succeeding maximum path delay values (Da and Db) of the cell of interest do not exceed the target delay value.

目標ディレイ値≧Da かつ 目標ディレイ値≧Db (式1)
さらに、消費電力計算の結果(Pとする)より、LSIの目標消費電力値を越えていないかを判定する。
Target delay value ≥ Da and target delay value ≥ Db (Formula 1)
Further, it is determined from the power consumption calculation result (P) whether the LSI target power consumption value is exceeded.

目標消費電力値≧P (式2)
(式1)、(式2)の判定によりディレイ違反または消費電力違反がある場合には、フリップフロップ切替え部14でフリップフロップ切替え試行(ステップ105)以降を実施する。
Target power consumption value ≧ P (Formula 2)
If there is a delay violation or power consumption violation according to the determinations of (Equation 1) and (Equation 2), the flip-flop switching unit 14 performs the flip-flop switching trial (step 105) and subsequent steps.

次に、図4および図5を用いて、フリップフロップ切替え部14の処理、すなわち、消費電力計算(ステップ102)および2段パスディレイ計算(ステップ103)の結果を用いて、フリップフロップ切替え試行を実施する処理(ステップ105)について、説明する。   Next, using FIG. 4 and FIG. 5, the flip-flop switching trial is performed using the processing of the flip-flop switching unit 14, that is, the results of the power consumption calculation (step 102) and the two-stage path delay calculation (step 103). The process to be performed (step 105) will be described.

着目フリップフロップに関して、機能が同じで消費電力またはディレイ特性が異なるフリップフロップが複数存在する場合は、切替え対象とする。一方、着目フリップフロップと同一機能のフリップフロップがない場合は切替え対象外とする(ステップ301)。切替え対象と判定された場合は、次の処理(ステップ302)を実施する。   When there are a plurality of flip-flops having the same function but different power consumption or delay characteristics with respect to the target flip-flop, the target flip-flop is selected. On the other hand, if there is no flip-flop having the same function as the target flip-flop, it is excluded from switching (step 301). If it is determined to be switched, the next process (step 302) is performed.

切替え対象となったものについては、ディレイに余裕のあるフリップフロップであるかを判断する(ステップ302)。すなわち、図3における着目フリップフロップ201に関して、前段最大パスディレイDa(205)と後段最大パスディレイDb(206)が、それぞれの閾値DαまたはDβ(207または208)より小さい場合(式3)、当該フリップフロップを「ディレイに余裕がある」として切替候補とする。   It is determined whether the switching target is a flip-flop with a sufficient delay (step 302). That is, with respect to the target flip-flop 201 in FIG. 3, when the upstream maximum path delay Da (205) and the downstream maximum path delay Db (206) are smaller than the respective threshold values Dα or Dβ (207 or 208) (equation 3), The flip-flop is set as a candidate for switching as “there is a delay”.

ここで、閾値DαまたはDβ(207または208)は、目標マシンサイクルを元に算出した値で、例えば、低消費フリップフロップに切替えた際の予測ディレイ悪化分を目標マシンサイクルから引いた値を設定したものである。
Da<Dα & Db<Dβ (式3)
ディレイに余裕があると判定された場合は、次に、フリップフロップ切替えの試行を実施する(ステップ303)。すなわち、前段および後段の最大パスディレイ値が(式3)を満足する場合、着目セルを低消費フリップフロップに置き換える。
Here, the threshold value Dα or Dβ (207 or 208) is a value calculated based on the target machine cycle. For example, the threshold Dα or Dβ (207 or 208) is a value obtained by subtracting, from the target machine cycle, the predicted delay deterioration when switching to the low-consumption flip-flop. It is a thing.
Da <Dα & Db <Dβ (Formula 3)
If it is determined that there is a margin in the delay, then an attempt to switch the flip-flop is performed (step 303). That is, when the maximum path delay values of the preceding stage and the subsequent stage satisfy (Equation 3), the target cell is replaced with a low-consumption flip-flop.

一方、ステップ301で切替え処理対象外、またはステップ302でディレイに余裕がないと判断された場合は、次のフリップフロップに処理を移し、図3のステップ104の処理に移動する。   On the other hand, if it is determined in step 301 that the switching process is not to be performed or it is determined in step 302 that there is no delay, the process proceeds to the next flip-flop, and the process proceeds to step 104 in FIG.

さらに、切替え試行処理後に消費電力およびディレイの再計算を実施する(ステップ106)。   Further, power consumption and delay are recalculated after the switching trial process (step 106).

切替えを実施したフリップフロップの前段および後段パスに関してのみ再度2段パスディレイ計算を実施する。また、切替えを実施したフリップフロップの消費電力変化分を算出する。   The two-stage path delay calculation is performed again only for the front-stage and rear-stage paths of the flip-flop that has been switched. In addition, a change in power consumption of the flip-flop that has been switched is calculated.

例えば、図8に示すような、前段フリップフロップ(800)と後段フリップフロップ(802)間の着目フリップフロップ(801)の切替えにおいて、倍力の大きいものに切替えて駆動力を切上げる場合を考える。一般に、倍力を大きくするとパスディレイは小さくなるが、消費電力が大きくなり、かつセルのサイズも大きくなる。そのため、着目フリップフロップ(801)の駆動力を切上げた場合、着目フリップフロップとセル(804)間のミクロパスディレイ2(806)は改善され、後段最大パスディレイ(808)を改善することができる。   For example, as shown in FIG. 8, in the switching of the target flip-flop (801) between the front-stage flip-flop (800) and the rear-stage flip-flop (802), consider a case where the driving power is increased by switching to the one with a large boost. In general, when the boost is increased, the path delay decreases, but the power consumption increases and the cell size also increases. Therefore, when the driving force of the target flip-flop (801) is increased, the micropath delay 2 (806) between the target flip-flop and the cell (804) is improved, and the subsequent maximum path delay (808) can be improved. .

一方、着目フリップフロップの駆動力を切上げることにより着目フリップフロップとセル(803)間のミクロパスディレイ1(805)も変化する。例えば、駆動力の切替により着目フリップフロップの入力容量が変化するために、セル(803)の出力端子側から見た負荷容量が変化して、その結果ミクロパスディレイ1が変化する。   On the other hand, by raising the driving force of the target flip-flop, the micropath delay 1 (805) between the target flip-flop and the cell (803) also changes. For example, since the input capacity of the target flip-flop changes due to the switching of the driving force, the load capacity as viewed from the output terminal side of the cell (803) changes, and as a result, the micropath delay 1 changes.

従来技術では、後段もしくは前段の最大パスディレイのどちらか一方のみしか考慮しておらず、最適なフリップフロップの駆動力の切替を実現するのが困難であった。本発明は、この負荷容量の変化も考慮するために、切替に伴う2段(後段及び前段)の最大パスディレイを計算し、採用を決定する。切替に伴う最大パスディレイが2段の双方共に改善される場合のみならず、例えば、一方が改善され他方が悪化しても悪化したほうが目標内なら採用することも考えられる。   In the prior art, only one of the maximum path delay of the subsequent stage or the previous stage is considered, and it is difficult to realize the optimum switching of the driving force of the flip-flop. In the present invention, in order to consider the change in the load capacity, the maximum path delay of the two stages (the rear stage and the front stage) accompanying the switching is calculated and adopted. In addition to the case where the maximum path delay accompanying switching is improved in both of the two stages, for example, it is conceivable that if one of the two stages is improved and the other deteriorates, the worse is within the target.

すなわち、再計算の結果より、切替え試行結果を採用するか否かの判定を行う(ステップ107)。   That is, it is determined whether or not to adopt the switching trial result from the result of recalculation (step 107).

ここでは、次に示す3つの条件のいずれかを満たすと判定された場合、切替えを採用(ステップ108)する。
(a)(式1)と(式4)を満たす場合
(b)(式2)と(式5)を満たす場合
(c)(式6)を満たす場合
Pnew ≦ P (式4)
DaNew ≦ Da かつ DbNew ≦ Db (式5)
Pnew ≦ P かつ
DaNew ≦ Da かつ DbNew ≦ Db (式6)
ここで、Pは切替え前の消費電力値、Pnewは切替え後の消費電力値、Daは切替え前の前段最大パスディレイ値、DaNewは切替え後の前段最大パスディレイ値、Daは切替え前の後段最大パスディレイ値、DaNewは切替え後の後段最大パスディレイ値を示す。
Here, when it is determined that any one of the following three conditions is satisfied, switching is adopted (step 108).
(A) When (Expression 1) and (Expression 4) are satisfied (b) When (Expression 2) and (Expression 5) are satisfied (c) When (Expression 6) is satisfied
Pnew ≦ P (Formula 4)
DaNew ≦ Da and DbNew ≦ Db (Formula 5)
Pnew ≤ P and
DaNew ≦ Da and DbNew ≦ Db (Formula 6)
Here, P is the power consumption value before switching, Pnew is the power consumption value after switching, Da is the previous maximum path delay value before switching, DaNew is the previous maximum path delay value after switching, and Da is the maximum after the switching. The path delay value, DaNew, indicates the maximum post-path delay value after switching.

切替え試行結果を採用すると判定された場合、フリップフロップの切替えを採用する(ステップ108)。   When it is determined that the switching trial result is adopted, flip-flop switching is adopted (step 108).

フリップフロップ切替えが採用された場合、着目フリップフロップの情報を切替え後のフリップフロップの情報に更新する。   When flip-flop switching is adopted, the information on the target flip-flop is updated to the information on the flip-flop after switching.

消費電力違反およびディレイ違反がなくなるまで、またはLSIの全フリップフロップに対して、ステップ104から108を繰り返す(ステップ116)。   Steps 104 to 108 are repeated until there is no power consumption violation and no delay violation or all flip-flops of the LSI (step 116).

一方、ステップ104において、LSI全体に対してディレイ違反および消費電力違反がない(式1および式2を満足している)、または、LSIの全フリップフロップに対してステップ104から108の処理が完了していれば、データ出力(ステップ109)をして処理を終了(ステップ110)する。データ出力(ステップ109)では、論理ファイル(114)に切替え後のフリップフロップの情報を出力し、レイアウトに変更があった場合はレイアウト情報ファイル(115)を更新する、
以上の処理により、ディレイを考慮したLSIの消費電力低減化を実現することが可能となる。すなわち、半導体集積回路の実装設計をする際に、2段パスディレイ計算を行い、目標マシンサイクル(またはディレイ)を悪化させないように考慮して低消費電力フリップフロップに切替えることにより、従来技術より設計フローに後戻りが発生しない効果的なLSIの消費電力低減化が可能となる。
On the other hand, in step 104, there is no delay violation or power consumption violation for the entire LSI (Equations 1 and 2 are satisfied), or the processing of steps 104 to 108 is completed for all flip-flops of the LSI. If so, the data is output (step 109) and the process is terminated (step 110). In the data output (step 109), the flip-flop information after switching is output to the logical file (114), and the layout information file (115) is updated when the layout is changed.
With the above processing, it is possible to reduce the power consumption of the LSI in consideration of the delay. In other words, when designing a semiconductor integrated circuit, a two-stage path delay calculation is performed, and the target machine cycle (or delay) is considered so as not to deteriorate. It is possible to effectively reduce the power consumption of the LSI without causing a reversion in the flow.

本発明の他の実施例として、最初に処理方式を設定する例を説明する。まず、目標マシンサイクルの値が高く、目標消費電力にゆとりのあるLSIを設計する際の本発明の実施例について、図9を用いて説明する。   As another embodiment of the present invention, an example in which a processing method is set first will be described. First, an embodiment of the present invention when designing an LSI having a high target machine cycle value and sufficient target power consumption will be described with reference to FIG.

図9において、必要なデータの入力(ステップ101)の後に、処理方式の設定を行なう(ステップ122)。例えば、全てのフリップフロップに対して高速フリップフロップを初期値として設定する。または、処理方式の設定に代えて、データ入力(ステップ101)に際して、全てのフリップフロップが高倍力のディレイ特性を持つ高速フリップフロップを用いた論理ファイル(111)を入力しても良い。   In FIG. 9, after inputting necessary data (step 101), the processing method is set (step 122). For example, high-speed flip-flops are set as initial values for all flip-flops. Alternatively, instead of setting the processing method, when inputting data (step 101), a logical file (111) using high-speed flip-flops in which all flip-flops have a high boost delay characteristic may be input.

その後、図2に示した処理フローと同様な処理(ステップ104〜116)を実施し、フリップフロップ切替え試行(ステップ105)ではディレイに余裕があるフリップフロップを対象として低消費電力のフリップフロップに置き換える。このようにして各フリップフロップの消費電力を低減することにより、消費電力を考慮した高速なLSIの設計が可能となる。   Thereafter, processing similar to the processing flow shown in FIG. 2 (steps 104 to 116) is performed, and in the flip-flop switching trial (step 105), flip-flops having a sufficient delay are replaced with low-power consumption flip-flops. . By reducing the power consumption of each flip-flop in this way, a high-speed LSI can be designed in consideration of the power consumption.

また、ステップ122の処理方式の設定において、全てのフリップフロップに対して低倍力あるいは低消費電力フリップフロップを初期値として設定し、その後、図2と同様なフローを実施しても良い。この方法によれば、厳しい目標消費電力値を実現するLSIの設計が可能となる。または、処理方式の設定に代えて、全てのフリップフロップに対して低倍力あるいは低消費電力フリップフロップのデータを入力するようにしても良い。   Further, in the setting of the processing method in step 122, low boost or low power consumption flip-flops may be set as initial values for all flip-flops, and then the same flow as in FIG. 2 may be performed. According to this method, it is possible to design an LSI that realizes a strict target power consumption value. Alternatively, instead of setting the processing method, data of a low booster or low power consumption flip-flop may be input to all flip-flops.

また、他の実施例として、論理ファイル(111)に、異なるタイプの論理ファイル、例えば、高倍力のディレイ特性を持つ高速フリップフロップを用いた論理ファイルや、低倍力あるいは低消費電力フリップフロップを用いた論理ファイルを、予め複数個用意しておいても良い。そして、処理方式の設定(図9のステップ122)において、ユーザーが、設計の条件に応じて、初期値として目的の論理ファイルを適宜選択出来るようにしても良い。   As another embodiment, a different type of logical file, for example, a logical file using a high-speed flip-flop having a high boost delay characteristic, or a low boost or low power consumption flip-flop is used as the logical file (111). A plurality of used logical files may be prepared in advance. Then, in setting the processing method (step 122 in FIG. 9), the user may be able to appropriately select the target logical file as an initial value according to the design conditions.

次に、本発明の他の実施例として、半導体集積回路のフリップフロップの中で例えば既に最適設計が完了している一部のフリップフロップ群に関しては、切替えを実施しないように予め指定することができる。すなわち、判定基準に応じてフリップフロップを切替える際に、外部より切替えを実施しないフリップフロップを指定し、指定されたフリップフロップに関しては切替えを実施しない方法である。この手法の実施例について、図2および図5を用いて説明する。   Next, as another embodiment of the present invention, for example, a part of the flip-flop group of the semiconductor integrated circuit in which the optimum design has already been completed may be designated in advance so as not to perform switching. it can. In other words, when switching flip-flops according to the criterion, a flip-flop that is not switched is designated from the outside, and switching is not performed for the designated flip-flop. An example of this method will be described with reference to FIGS.

図2のデータ入力(ステップ101)または図9の処理方式の設定(ステップ122)の際に、切替えを実施しないフリップフロップを外部より指定する。図5は指定方法の一例であり、切替えを実施しないフリップフロップが持つユニークな名称(インスタンス名)(401)または、切替えを実施しないフリップフロップの出力信号名(403)をファイルに記述して、そのファイルを入力する方法がある。また、インスタンス名または信号名をそれぞれが属する論理ブロックを含めて階層的に指定することも可能である(402、404)。ここで、論理ブロックとインスタンスの「区切り」は「スペース、図5内では△で記述」、「/」、「:」等任意の記号を用いて記述可能である。さらに、論理ブロック名称を指定して、当該論理ブロックに属する全フリップフロップを切替えを実施しないフリップフロップにすることも可能である(405)。図5に示した指定方法は、それぞれを組み合わせて指定することも可能である。   At the time of data input in FIG. 2 (step 101) or setting of the processing method in FIG. 9 (step 122), a flip-flop not to be switched is designated from the outside. FIG. 5 shows an example of a designation method. A unique name (instance name) (401) of a flip-flop that does not perform switching or an output signal name (403) of a flip-flop that does not perform switching is described in a file. There is a way to input the file. It is also possible to specify the instance name or signal name hierarchically including the logical block to which each belongs (402, 404). Here, the “separation” between the logical block and the instance can be described using an arbitrary symbol such as “space, described by Δ in FIG. 5”, “/”, “:”. Furthermore, it is also possible to designate a logical block name and make all flip-flops belonging to the logical block non-switching flip-flops (405). The designation methods shown in FIG. 5 can be designated in combination.

データ入力(ステップ101)以降は、図2に示す処理フローを実施し、フリップフロップ切替試行(ステップ105)時に、着目フリップフロップが外部指定された切替えを実施しないフリップフロップであれば後続の処理(ステップ105〜108)を飛ばす。   After the data input (step 101), the processing flow shown in FIG. 2 is performed. When the flip-flop of interest is a flip-flop that does not perform the externally designated switching when the flip-flop switching is attempted (step 105), the subsequent processing ( Steps 105-108) are skipped.

同様な手法で、切替えを実施するフリップフロップを外部より指定して、指定したフリップフロップのみを切替えることも可能である。   In the same manner, it is also possible to designate a flip-flop to be switched from the outside and switch only the designated flip-flop.

以上の処理により、LSI内部の特定論理または特定範囲のフリップフロップ切替えるによる消費電力およびディレイ制御の実現が可能となる。   With the above processing, it is possible to realize power consumption and delay control by switching a specific logic in the LSI or a specific range of flip-flops.

本発明の他の実施例として、処理方式としてのセルのサイズが等しいフリップフロップ群を採用しても良く、また、他の処理方式としてのセルのサイズが異なるフリップフロップ群を採用しても良い。いずれの処理方式とするかは、初期値設定として、例えば、図9の処理方式の設定のステップ122において指定しても良い。   As another embodiment of the present invention, a flip-flop group having the same cell size as a processing method may be employed, or a flip-flop group having a different cell size as another processing method may be employed. . Which processing method is to be used may be specified as the initial value setting in, for example, step 122 of setting the processing method in FIG.

この実施例に関して、図6で、セルのサイズが等しいフリップフロップ群を採用した半導体集積回路の設計方法を、セルのサイズが異なるフリップフロップ群を採用する方式と比較して示す。前者の設計方法では、実線で示すように、配置(502)および配線(504)の前後にフリップフロップ切替えを実施することができる(501、503、505)。ここで、同図内の3つのフリップフロップ切替え処理は、すべてを実施する必要はない。レイアウト設計の工程において本発明を実施した場合、処理工程が進むにつれて実際のレイアウトパターン(配置、配線結果)を用いてディレイ計算を行うため、実際のディレイ値を用いた精度の高い切替え処理を行うことができる(503、505)。   With respect to this embodiment, FIG. 6 shows a method for designing a semiconductor integrated circuit employing flip-flop groups having the same cell size in comparison with a method employing flip-flop groups having different cell sizes. In the former design method, flip-flop switching can be performed before and after the placement (502) and the wiring (504) as indicated by the solid line (501, 503, 505). Here, all of the three flip-flop switching processes in FIG. When the present invention is implemented in the layout design process, the delay calculation is performed using the actual layout pattern (placement and wiring result) as the processing process proceeds, and therefore, a highly accurate switching process using the actual delay value is performed. (503, 505).

一方、セルのサイズが異なるフリップフロップ群を採用する方式の場合でも、配置または配線後に論理的な機能が同じセルに切替える。この場合は、図6の破線で示すように、配置または配線のやり直しによる後戻りが発生する。   On the other hand, even in the case of a system employing flip-flop groups with different cell sizes, the logical function is switched to the same cell after placement or wiring. In this case, as indicated by a broken line in FIG. 6, a backtrack occurs due to re-arrangement or wiring.

図7により、上記2つの方式によるレイアウト設計と後戻り工数の関係を比較説明する。図7の左欄はセルのサイズが異なるフリップフロップを採用する場合を示し、右欄はセルのサイズが等しいフリップフロップを採用する場合を示している。   With reference to FIG. 7, the relationship between the layout design by the above two methods and the backward man-hours will be compared and explained. The left column of FIG. 7 shows a case where flip-flops having different cell sizes are adopted, and the right column shows a case where flip-flops having the same cell size are adopted.

セルのサイズが等しいフリップフロップ群を採用する、すなわち、(1)論理的な機能が同じで、(2)その消費電力及びディレイ特性が異なり、(3)かつサイズが等しいフリップフロップが複数種存在して、(4)それらのセル内のパターンは異なるがセル間配線との接続ポイントとなる端子位置およびセルの配線禁止領域が同じである、フリップフロップ群を用いることにより、後戻りの工数が増大という問題を解決することができる。すなわち、切替え前後のセルサイズが同じであるため切替え後に他のセルとの重なりは発生せず、また配線に関係する端子位置および禁止領域が同じであるため配線パターンを変更する必要がなく、レイアウトをやり直すといった後戻りが発生しないといった大きな利点がある。   Use flip-flop groups with the same cell size, that is, (1) the same logical function, (2) different power consumption and delay characteristics, and (3) multiple types of flip-flops with the same size (4) By using flip-flop groups in which the patterns in the cells are different, but the terminal positions serving as connection points with the inter-cell wiring and the cell wiring-prohibited areas are the same, the number of reversing steps increases. Can be solved. That is, since the cell size before and after switching is the same, there is no overlap with other cells after switching, and there is no need to change the wiring pattern because the terminal positions and prohibited areas related to wiring are the same, so that the layout There is a great advantage that no backtracking occurs.

本発明は、セルのサイズが異なるフリップフロップを採用する場合にも適用可能であり、本発明の方法で配線後にフリップフロップ切替えを実施すると、計算精度は高まる。すなわち、(1)論理的な機能が同じで、(2)その消費電力及びディレイ特性が異なるフリップフロップ群については、配置、配線のやり直しが必要ではあるが、実際のディレイ値を用いた精度の高い切替え処理を行うことができる。但し、セルのサイズが異なるフリップフロップを採用する場合、例えば、ディレイ違反あり着目フリップフロップを高倍力の高速フリップフロップに切替えた場合、一般的にフリップフロップのサイズが大きくなり、隣接する他のセルとの重なりが生じる。また、フリップフロップ内の端子位置、セルの配線禁止領域が変わる。つまり、精度の高いディレイ計算または消費電力計算を用いたフリップフロップ切替えを実施するとレイアウトのやり直しが発生して後戻りの工数は増大する。   The present invention can also be applied to the case where flip-flops having different cell sizes are employed. When the flip-flop switching is performed after wiring by the method of the present invention, the calculation accuracy increases. In other words, (1) flip-flop groups having the same logical function and (2) different power consumption and delay characteristics need to be re-arranged and rewired. High switching processing can be performed. However, when flip-flops with different cell sizes are used, for example, when the target flip-flop with delay violation is switched to a high-speed high-speed flip-flop, the size of the flip-flop generally increases, and other adjacent cells Overlap occurs. Also, the terminal position in the flip-flop and the cell wiring prohibition region change. In other words, if flip-flop switching using highly accurate delay calculation or power consumption calculation is performed, layout rework occurs, and the number of steps for returning increases.

本発明の一実施例になる半導体集積回路の実装設計支援装置のシステム構成を示す図である。It is a figure which shows the system configuration | structure of the mounting design support apparatus of the semiconductor integrated circuit which becomes one Example of this invention. 本発明の一実施例による、実装設計支援装置の処理フロー、すなわち、データの入力から低消費電力フリップフロップ切替えまでの処理フローを説明するフローチャートである。It is a flowchart explaining the processing flow of the mounting design support apparatus by one Example of this invention, ie, the processing flow from data input to low power consumption flip-flop switching. 本発明の一実施例における、2段パスディレイの概念を説明する図である。It is a figure explaining the concept of two-stage path delay in one Example of this invention. 図2の処理フローにおける、フリップフロップ切替え試行の処理を説明するフローチャートである。3 is a flowchart for explaining a flip-flop switching trial process in the processing flow of FIG. 2. 本発明の他の実施例として、外部より切替えを実施しないフリップフロップの指定方法を説明する図である。It is a figure explaining the designation | designated method of the flip-flop which does not implement switching from the outside as another Example of this invention. レイアウト(配置配線)設計の処理フロー内で、本発明を実施する際の一例を説明するフローチャートである。It is a flowchart explaining an example at the time of implementing this invention within the processing flow of a layout (placement wiring) design. 本発明を採用したレイアウト設計と、後戻り工数との関係を比較説明する図である。It is a figure which compares and demonstrates the relationship between the layout design which employ | adopted this invention, and the backtracking man-hour. フリップフロップの切替えに関する本発明の効果を説明する図である。It is a figure explaining the effect of this invention regarding switching of a flip-flop. 本発明の他の実施例として、最初に処理方式を設定する例の処理フローを説明する図である。It is a figure explaining the processing flow of the example which sets a processing system first as another Example of this invention.

符号の説明Explanation of symbols

10…実装設計装置、11…消費電力計算部、12…2段パスディレイ計算部、13…比較判定部、14…フリップフロップ切り替部、111…論理情報ファイル、112…ライブラリ、113…レイアウト情報ファイル、114…入出力部、200…フリップフロップ、201…フリップフロップ、202…フリップフロップ、203…前段論理群、204…後段論理群、205…前段最大パスディレイ、206…後段最大パスディレイ、207…前段パスディレイ閾値、208…後段パスディレイ閾値。 DESCRIPTION OF SYMBOLS 10 ... Mounting design apparatus, 11 ... Power consumption calculation part, 12 ... Two-stage path delay calculation part, 13 ... Comparison determination part, 14 ... Flip-flop switching part, 111 ... Logic information file, 112 ... Library, 113 ... Layout information file , 114 ... input / output unit, 200 ... flip-flop, 201 ... flip-flop, 202 ... flip-flop, 203 ... previous stage logic group, 204 ... latter stage logic group, 205 ... previous stage maximum path delay, 206 ... rear stage maximum path delay, 207 ... Pre-stage path delay threshold, 208...

Claims (7)

コンピュータを利用して複数種のフリップフロップを備えた半導体集積回路の実装設計を行なう方法であって、
論理的な機能が同じで、消費電力及びディレイ特性の異なる複数種のフリップフロップを含むフリップフロップ群に関するデータを記憶部に保持するステップと、
前記半導体集積回路の着目フリップフロップから前段のフリップフロップまでの前段パス、および後段フリップフロップまでの後段パスの両方のパスディレイ計算を行う2段パスディレイ計算ステップと、
前記前段パス及び後段パスの消費電力もしくはその変化分を計算する消費電力計算ステップと、
前記着目フリップフロップに関して、前記パスディレイ計算の結果及び前記消費電力の計算結果を予め設定された条件と比較し該条件を満たすか判定する比較判定ステップと、
前記条件を満たす場合は該フリップフロップを採用し、前記着目フリップフロップが前記条件を満たさない場合は前記記憶部に保持された新たなフリップフロップに切替えて前記ディレイ計算及び前記消費電力計算を行なわせ、前記条件を満たすフリップフロップを選定するフリップフロップ切替ステップ、とを有することを特徴とする半導体集積回路の実装設計方法。
A method of mounting design of a semiconductor integrated circuit having a plurality of types of flip-flops using a computer,
Holding data on flip-flop groups including a plurality of types of flip-flops having the same logical function and different power consumption and delay characteristics in a storage unit;
A two-stage path delay calculation step for calculating path delays of both the preceding path from the target flip-flop of the semiconductor integrated circuit to the preceding flip-flop and the succeeding path to the succeeding flip-flop;
A power consumption calculating step for calculating the power consumption of the preceding path and the subsequent path or a change amount thereof, and
A comparison determination step for comparing the result of the path delay calculation and the calculation result of the power consumption with a preset condition with respect to the flip-flop of interest, and determining whether the condition is satisfied,
When the condition is satisfied, the flip-flop is used. When the target flip-flop does not satisfy the condition, the flip-flop is switched to a new flip-flop held in the storage unit to perform the delay calculation and the power consumption calculation. And a flip-flop switching step for selecting a flip-flop that satisfies the above condition.
請求項1において、
全てのフリップフロップに対して高倍力のディレイ特性を持つ高速フリップフロップを初期値として設定するステップと、
着目フリップフロップに関し該初期値による前記2段パスディレイ計算の結果を用いて、低倍力のディレイ特性を持つフリップフロップまたは低消費電力フリップフロップに切替え、前段パスディレイ及び後段パスディレイ、消費電力の変化分を計算するステップと、
前記計算結果をパスディレイまたは消費電力の基準値と比較し、前記基準値に対して余裕のある場合は前記着目フリップフロップを低倍力のディレイ特性を持つフリップフロップまたは低消費電力フリップフロップに切替えるステップとを有することを特徴とする半導体集積回路の実装設計方法。
In claim 1,
A step of setting a high-speed flip-flop having a high boost delay characteristic as an initial value for all flip-flops;
Using the result of the two-stage path delay calculation based on the initial value for the flip-flop of interest, switching to a flip-flop having a low boost delay characteristic or a low-power consumption flip-flop, the front-stage path delay, the rear-stage path delay, and the power consumption Calculating the change,
The calculation result is compared with a reference value of path delay or power consumption, and if there is a margin with respect to the reference value, the target flip-flop is switched to a flip-flop having a low boost delay characteristic or a low power consumption flip-flop. And a mounting design method for a semiconductor integrated circuit.
請求項1において、
全てのフリップフロップに対して低消費電力あるいは低駆動力フリップフロップを初期値として設定するステップと、
着目フリップフロップの2段パスディレイ計算するステップと、
2段パスディレイ計算の結果を用い、前記条件に対して余裕のある場合は前記着目フリップフロップを高倍力のディレイ特性を持つフリップフロップに切替えることによる前段パスディレイ及び後段パスディレイ、消費電力の変化分を計算するステップと、
前記計算結果をパスディレイまたは消費電力の前記条件と比較し、前記条件に対して余裕のある場合は前記着目フリップフロップを高倍力のディレイ特性を持つフリップフロップに切替えるステップとを有する、ことを特徴とする半導体集積回路の実装設計方法。
In claim 1,
Setting low power consumption or low driving power flip-flops as initial values for all flip-flops;
Calculating the two-stage path delay of the flip-flop of interest;
Using the result of the two-stage path delay calculation, if there is a margin for the above condition, the front-stage path delay, the rear-stage path delay, and the power consumption change by switching the flip-flop of interest to a flip-flop having a high boost delay characteristic Calculating the minutes;
Comparing the calculation result with the condition of path delay or power consumption, and switching the target flip-flop to a flip-flop having a delay characteristic of high boost when there is a margin with respect to the condition. A mounting design method for a semiconductor integrated circuit.
請求項1において、
前記半導体集積回路の前記複数種のフリップフロップの中で、前記切替えを実施しないフリップフロップを予め指定し、
該指定されたフリップフロップを切替え対象外とし、指定外のフリップフロップのみを切替え対象として前記比較判定を行ない、その結果に応じて切替えることを特徴とする半導体集積回路実装設計方法。
In claim 1,
Among the plurality of types of flip-flops of the semiconductor integrated circuit, a flip-flop that does not perform the switching is designated in advance,
A method for designing and designing a semiconductor integrated circuit, wherein the designated flip-flop is excluded from the switching target, only the non-designated flip-flop is subjected to the comparison determination, and switching is performed according to the result.
コンピュータを利用して複数種のフリップフロップを含む半導体集積回路の実装設計を行なうための装置において、
論理的な機能が同じで、消費電力及びディレイ特性の異なる複数種のフリップフロップを含むフリップフロップ群に関するデータを格納する記憶部と、
前記半導体集積回路の着目フリップフロップから前段のフリップフロップまでの経路および後段フリップフロップまでの経路の両方のパスディレイ計算を行う2段パスディレイ計算部と、
前記前段パス及び後段パスの消費電力もしくはその変化分を計算する消費電力計算部と、
前記着目フリップフロップに関して、前記パスディレイ計算の結果及び前記消費電力の計算結果を予め設定された条件と比較し該条件を満たすか判定する比較判定部と、
前記着目フリップフロップが前記条件を満たす場合は該フリップフロップを採用し、前記条件を満たさない場合は前記記憶部に保持された新たなフリップフロップに切替えて前記ディレイ計算及び前記消費電力計算を行なわせ、前記条件を満たすフリップフロップを前記着目フリップフロップとして選定するためのフリップフロップ切替部とを有することを特徴とする半導体集積回路の実装設計装置。
In an apparatus for designing a semiconductor integrated circuit including a plurality of types of flip-flops using a computer,
A storage unit for storing data relating to a flip-flop group including a plurality of types of flip-flops having the same logical function and different power consumption and delay characteristics;
A two-stage path delay calculation unit that performs path delay calculations for both the path from the target flip-flop of the semiconductor integrated circuit to the preceding flip-flop and the path to the subsequent flip-flop;
A power consumption calculation unit for calculating the power consumption of the preceding path and the subsequent path or a change amount thereof, and
A comparison determination unit that compares the result of the path delay calculation and the calculation result of the power consumption with a preset condition with respect to the target flip-flop, and determines whether the condition is satisfied,
When the target flip-flop satisfies the condition, the flip-flop is adopted. When the condition is not satisfied, the flip-flop is switched to a new flip-flop held in the storage unit to perform the delay calculation and the power consumption calculation. And a flip-flop switching unit for selecting a flip-flop satisfying the condition as the target flip-flop.
半導体集積回路の実装設計方法において、
論理的な機能が同じで、その消費電力及びディレイ特性が異なり、かつセルのサイズが等しいフリップフロップが複数種存在しており、前記各セル内のパターンは異なるがセル間配線との接続ポイントとなる端子位置およびセルの配線禁止領域が同じであるフリップフロップ群を用いて、
着目フリップフロップの2段パスディレイ計算を行い、
前記2段パスディレイ計算の結果を用いてフリップフロップを切替えることによる前段パスディレイ、後段パスディレイ、及び消費電力の変化分を計算し、
前記パスディレイ計算の結果及び前記消費電力の計算結果を予め設定された条件と比較し該条件を満たすように、前記着目フリップフロップを切替える、ことを特徴とする半導体集積回路の実装設計方法。
In a semiconductor integrated circuit mounting design method,
There are multiple types of flip-flops with the same logical function, different power consumption and delay characteristics, and the same cell size, and the pattern in each cell is different, but the connection point with the inter-cell wiring Using the flip-flop group in which the terminal location and the cell wiring prohibition region are the same,
Calculate the two-stage path delay of the flip-flop of interest,
Using the result of the two-stage path delay calculation, calculate the former stage path delay, the latter stage path delay, and the change in power consumption by switching the flip-flop,
A mounting design method for a semiconductor integrated circuit, wherein the target flip-flop is switched so that the path delay calculation result and the power consumption calculation result are compared with a preset condition and the condition is satisfied.
半導体集積回路の実装設計支援プログラムであって、
前記半導体集積回路は複数種のフリップフロップを含むものであり、
コンピュータに、
前記半導体集積回路の着目フリップフロップから前段のフリップフロップまでの経路および後段フリップフロップまでの経路の両方のパスディレイの計算を行う2段パスディレイ計算機能と、
前記前段パス及び後段パスの消費電力もしくはその変化分を計算する消費電力計算機能と、
前記着目フリップフロップに関して、前記パスディレイ計算の結果及び前記消費電力の計算結果を予め設定された条件と比較し該条件を満たすか判定する比較判定機能と、
前記着目フリップフロップが前記条件を満たす場合は該フリップフロップを採用し、前記条件を満たさない場合は記憶部に保持された論理的な機能が同じで消費電力及びディレイ特性の異なる複数種のフリップフロップの中の新たなフリップフロップに切替えて前記ディレイ計算及び前記消費電力計算を行なわせ、前記条件を満たすフリップフロップを前記着目フリップフロップとして選定するためのフリップフロップ切替機能、とを実現させるための半導体集積回路の実装設計支援プログラム。
A semiconductor integrated circuit mounting design support program comprising:
The semiconductor integrated circuit includes a plurality of types of flip-flops,
On the computer,
A two-stage path delay calculation function for calculating path delays of both the path from the target flip-flop of the semiconductor integrated circuit to the preceding flip-flop and the path to the subsequent flip-flop;
A power consumption calculation function for calculating the power consumption of the preceding path and the subsequent path or a change amount thereof, and
A comparison determination function for comparing the result of the path delay calculation and the calculation result of the power consumption with a preset condition with respect to the flip-flop of interest, and determining whether the condition is satisfied,
If the target flip-flop satisfies the condition, the flip-flop is used. If the target flip-flop does not satisfy the condition, a plurality of types of flip-flops having the same logical function and different power consumption and delay characteristics are stored in the storage unit. A flip-flop switching function for selecting the flip-flop satisfying the condition as the flip-flop of interest by switching to a new flip-flop of the above and performing the delay calculation and the power consumption calculation Integrated circuit packaging design support program.
JP2003421929A 2003-12-19 2003-12-19 Packaging design device and method for semiconductor integrated circuit Pending JP2005182433A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003421929A JP2005182433A (en) 2003-12-19 2003-12-19 Packaging design device and method for semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003421929A JP2005182433A (en) 2003-12-19 2003-12-19 Packaging design device and method for semiconductor integrated circuit

Publications (1)

Publication Number Publication Date
JP2005182433A true JP2005182433A (en) 2005-07-07

Family

ID=34782953

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003421929A Pending JP2005182433A (en) 2003-12-19 2003-12-19 Packaging design device and method for semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP2005182433A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009078081A1 (en) * 2007-12-14 2009-06-25 Fujitsu Limited Semiconductor integrated circuit

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009078081A1 (en) * 2007-12-14 2009-06-25 Fujitsu Limited Semiconductor integrated circuit
US8063692B2 (en) 2007-12-14 2011-11-22 Fujitsu Limited Semiconductor integrated circuit
JP5024389B2 (en) * 2007-12-14 2012-09-12 富士通株式会社 Semiconductor integrated circuit

Similar Documents

Publication Publication Date Title
US7107551B1 (en) Optimization of circuit designs using a continuous spectrum of library cells
US6272667B1 (en) Method and apparatus for clock gated logic circuits to reduce electric power consumption
KR100249251B1 (en) Logic circuit optimization apparatus and its method
CN109710981B (en) FPGA wiring method and system
US7418690B1 (en) Local searching techniques for technology mapping
JP5397083B2 (en) Circuit design support method, circuit design support apparatus, and circuit design support program
KR20060056234A (en) Layout designing method of semiconductor integrated circuit
EP3239865A1 (en) Method for analyzing ir drop and electromigration of ic
JP2009111385A (en) Design optimization
US20120066658A1 (en) System And Method For Integrated Circuit Power And Timing Optimization
US9355202B2 (en) Promoting efficient cell usage to boost QoR in automated design
JP4969416B2 (en) Operation timing verification apparatus and program
US20140040845A1 (en) System and method for employing side transition times from signoff-quality timing analysis information to reduce leakage power in an electronic circuit and an electronic design automation tool incorporating the same
US8146041B1 (en) Latch based optimization during implementation of circuit designs for programmable logic devices
JP2015095786A (en) Logic circuit, logic circuit layout support device, logic circuit layout support method and program
US7254802B2 (en) Standard cell library having cell drive strengths selected according to delay
US7188325B1 (en) Method for selecting transistor threshold voltages in an integrated circuit
JP2005182433A (en) Packaging design device and method for semiconductor integrated circuit
JP2007258215A (en) Program, apparatus and method of cell arrangement
US10289786B1 (en) Circuit design transformation for automatic latency reduction
US20150269304A1 (en) System and method for employing signoff-quality timing analysis information concurrently in multiple scenarios to reduce total power within a circuit design
US7844935B2 (en) Wiring design system of semiconductor integrated circuit, semiconductor integrated circuit, and wiring design program
US8099704B1 (en) Performance improvements in an integrated circuit by selectively applying forward bias voltages
JP2005242697A (en) Method for verifying delay time
JP2008152329A (en) Circuit analysis method, circuit analysis program, and circuit simulation device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060111

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20060111

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080917

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080924

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081224

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090223

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090317