JP2005072544A - Wafer supplying/collecting equipment - Google Patents

Wafer supplying/collecting equipment Download PDF

Info

Publication number
JP2005072544A
JP2005072544A JP2003334329A JP2003334329A JP2005072544A JP 2005072544 A JP2005072544 A JP 2005072544A JP 2003334329 A JP2003334329 A JP 2003334329A JP 2003334329 A JP2003334329 A JP 2003334329A JP 2005072544 A JP2005072544 A JP 2005072544A
Authority
JP
Japan
Prior art keywords
wafer
foup
processing
wafers
clean
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003334329A
Other languages
Japanese (ja)
Other versions
JP4386700B2 (en
Inventor
Yoshiyuki Tamaki
良幸 玉木
Katsuhiko Nakayama
克彦 中山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ISEKI YUKIO
Dan Takuma Technologies Inc
Original Assignee
ISEKI YUKIO
Dan Takuma Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ISEKI YUKIO, Dan Takuma Technologies Inc filed Critical ISEKI YUKIO
Priority to JP2003334329A priority Critical patent/JP4386700B2/en
Publication of JP2005072544A publication Critical patent/JP2005072544A/en
Application granted granted Critical
Publication of JP4386700B2 publication Critical patent/JP4386700B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To prevent contamination between wafers and contamination of a wafer before process treatment even if the wafer before the process treatment and the wafer, contaminated by the process treatment, after the process treatment exist together in the same FOUP in a semiconductor manufacture. <P>SOLUTION: The wafer supplying/collecting equipment is equipped with the wafer holding container FOUP, a door opener which takes out the wafer from the FOUP and returns it in the FOUP again, and a up-and-down moving clean unit which is located in front of the opening face of the FOUP. In the wafer supplying/collecting equipment, the wafer is taken out from the lowerside of the FOUP in order so as to carry out the process treatment of the wafer sheet by sheet, and the wafer is returned from the lowerside to the original position of the FOUP after process treatment, and the clean unit is also moved upwards sequentially according to the wafer before process treatment in the FOUP to spray clean air from the clean unit only on the wafer before process treatment. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明は半導体製造のためのプロセス処理装置にウエハーを供給及び回収する装置であって、特に、ウエハー収納容器としてFOUP(Front Opening Unified Pod)を用いた場合、FOUP内のクリーン度を改善し、FOUP内のプロセス処理前のウエハーの汚染の防止に関するものである。The present invention is an apparatus for supplying and recovering a wafer to a process processing apparatus for manufacturing a semiconductor. In particular, when a FOUP (Front Opening Unified Pod) is used as a wafer storage container, the cleanliness in the FOUP is improved. The present invention relates to prevention of wafer contamination before processing in the FOUP.

φ300mmウエハーはFOUPに収納され、FOUPからウエハーの取り出し、収納等のハンドリングを行い、また枚葉式に搬送され各プロセス処理装置にウエハーを供給し、プロセス処理後のウエハーはFOUP内に回収されている。
すなわち、φ300mmウエハーを収納されたFOUPの下側から順次ウエハーを取り出し、プロセス処理装置にウエハーを1枚づつ供給し、プロセス処理後、プロセス処理装置から1枚づつ取り出し元のFOUP内に下側から順次回収される。
The 300 mm wafer is stored in the FOUP, the wafer is taken out from the FOUP and handled, and the wafer is transported in a single wafer manner to supply the wafer to each process processing device. The processed wafer is collected in the FOUP. Yes.
That is, the wafers are sequentially taken out from the lower side of the FOUP containing the φ300 mm wafers, supplied one by one to the process processing apparatus, and after the process processing, the wafers are taken out one by one from the process processing apparatus into the original FOUP from the lower side. Collected sequentially.

また、各プロセス処理装置でプロセス処理されたウエハーは、プロセス処理装置内での処理ガスによって汚染されている。例えば、CVDであればリン、ボロン系の化合物、エッチングであれば塩素系ガス等により汚染されている。  Further, the wafer processed by each process processing apparatus is contaminated by the processing gas in the process processing apparatus. For example, it is contaminated with phosphorus and boron compounds in the case of CVD, and chlorine gas in the case of etching.

また、ウエハーはプロセス処理により加熱されており、プロセス処理直後のウエハーは温度が高く、上記ガスが発生しやすい状態になっている。
一方、これまで、その収納容器はφ300mmより直径の小さいウエハーの場合は、密閉構造になっておらず、大気に開放された状態になっている。
たとえ、同一収納容器内にプロセス処理前のウエハーとプロセス処理後のウエハーが混在したとしてもプロセス処理前のウエハーがプロセス処理後のウエハー表面からの脱ガスにより汚染されるという問題はなかった。
ここで、収納容器とは、口径φ300mmウエハーにおいてはFOUPであり、口径φ300mmより直径の小さいウエハーの場合は、プロセス処理装置にウエハーを供給及び取り出しするときに使用する、ウエハー自体を直接に収納するカセットをいう。
Further, the wafer is heated by the process process, and the wafer immediately after the process process has a high temperature and is in a state where the gas is easily generated.
On the other hand, until now, in the case of a wafer having a diameter smaller than φ300 mm, the storage container is not in a sealed structure and is open to the atmosphere.
Even if a wafer before the process and a wafer after the process are mixed in the same container, there is no problem that the wafer before the process is contaminated by degassing from the wafer surface after the process.
Here, the storage container is a FOUP in the case of a wafer having a diameter of φ300 mm, and in the case of a wafer having a diameter smaller than the diameter of φ300 mm, the wafer itself used for supplying and taking out the wafer from the process processing apparatus is directly stored. A cassette.

先行文献としてFOUPを用いたウエハー供給回収装置は、下記文献を参照すると、半導体基板上に膜を成長させるため半導体製造装置に関するもので、FOUPは単にここからウエハーを取り出すために用いられているものであって、FOUP内のウエハーの周囲の環境をクリーンに維持する意図は全くない。
特開2002−141293
A wafer supply and recovery apparatus using FOUP as a prior document refers to a semiconductor manufacturing apparatus for growing a film on a semiconductor substrate with reference to the following document, and FOUP is used only for taking out a wafer from here. However, there is no intention to keep the environment around the wafer in the FOUP clean.
JP2002-141293

従来、口径φ200mm以下のウエハーを入れる収納容器は、背景技術の欄で述べたように密閉構造となっておらず、収納容器内で空気は滞留せず、周囲に発散し、収納容器内でのクロスコンタミによる汚染は問題にならなかった。
しかし、ウエハーの口径がますます大きくなり、大気からの汚染の防止のためφ300mmウエハーでは収納容器としてFOUPを使用することをSEMIで義務付けられている。
Conventionally, a storage container for storing a wafer having a diameter of 200 mm or less does not have a sealed structure as described in the background section, and air does not stay in the storage container, but is diffused to the surroundings. Cross contamination was not a problem.
However, the diameter of the wafer becomes larger and SEMI requires the use of FOUP as a storage container for φ300 mm wafers in order to prevent contamination from the atmosphere.

また、半導体製造において、枚葉式でプロセス処理されたウエハーは、プロセス処理後、元のFOUPに戻されるのが一般的である。
従って、プロセス処理前のウエハーとプロセス処理後のウエハーが同一FOUP内で両者が混在することになりプロセス処理前のウエハーがプロセス処理後のウエハー表面からの発ガスによって汚染される。
また、前述したように、プロセス処理後のウエハーはプロセス処理により常温より高くなっており、ウエハー表面から発ガスしやすい状態になっている場合が多いため、なおさらプロセス処理前のウエハーは、プロセス処理後のウエハーによる汚染を受ける。
In semiconductor manufacturing, a wafer processed in a single wafer type is generally returned to the original FOUP after the process.
Therefore, both the wafer before the process and the wafer after the process are mixed in the same FOUP, and the wafer before the process is contaminated by the gas generated from the wafer surface after the process.
In addition, as described above, the wafer after the process processing is higher than the normal temperature due to the process processing, and is often in a state where gas is easily generated from the wafer surface. Subsequent wafer contamination.

また、一般的にウエハーはFOUP内の最下段よりプロセス処理され、プロセス処理後、そのウエハーはFOUPの元の位置の最下段よりから順次、回収収納される。
従って、温度が高いプロセス処理後のウエハーの発ガス成分は上昇し、上部にあるプロセス処理前のウエハーを汚染しやすい。これはFOUP内の空気が前部の蓋が開いている状態ではあるもののそれ以外の部分はFOUPを構成する壁に覆われているため、FOUP内の空気の外部流出がほとんどないためである。
In general, wafers are processed from the bottom of the FOUP, and after the processing, the wafers are sequentially collected and stored from the bottom of the original position of the FOUP.
Therefore, the gas generating component of the wafer after the high-temperature process processing rises, and the wafer before the process processing at the upper part tends to be contaminated. This is because although the air in the FOUP is in a state where the front lid is open, the other portions are covered with the walls constituting the FOUP, so that there is almost no outflow of air in the FOUP.

上記問題を解決するため、本発明は、ウエハー収納容器FOUPと、そのFOUPからウエハーを取り出し、またFOUPに回収するドアオプナーと、上下駆動するクリーンユニットをFOUPの開口前面に設ける。枚葉式にウエハーをプロセス処理するため、FOUPの下側からウエハーを順次取り出し、プロセス処理後、前記FOUP内の元の位置に下側から順次、回収し、主としてプロセス処理前のウエハーのみに前記クリーンユニットからのクリーンエアーを吹き付けるようにクリーンユニットもプロセス処理前のウエハーに合わせ、また、ウエハー出入口ボットのアーム高さに応じ、順次,上方に移動させる。FOUP内を常にクリーンエアーでパージさせ、FOUP内の空気の滞留をなくし、プロセス処理前のウエハーには常にクリーンエアーの雰囲気に維持する。  In order to solve the above problems, the present invention provides a wafer storage container FOUP, a door opener for taking out the wafer from the FOUP and collecting it in the FOUP, and a clean unit for driving up and down, on the front surface of the opening of the FOUP. In order to process wafers in a single wafer mode, the wafers are sequentially taken out from the lower side of the FOUP, and after the process processing, the wafers are sequentially recovered from the lower side to the original position in the FOUP. The clean unit is also aligned with the wafer before the process processing so as to blow clean air from the clean unit, and is also moved upward sequentially in accordance with the arm height of the wafer entrance / exit bot. The interior of the FOUP is always purged with clean air to eliminate stagnation of air in the FOUP, and the wafer before the process is always maintained in a clean air atmosphere.

また、プロセス処理前のウエハーの汚染防止をより確実にするため、FOUPの下方にFOUP内の空気を吸引する吸引口を設け、FOUPの下側から空気を吸引する。
また、その下部より吸引する機構を設けることによりFOUP内の空気の上昇気流を抑える。
その吸引機能を備えることにより、FOUP内の下側にあるプロセス処理後のウエハーからの脱ガスが、FOUP内の上側にあるプロセス処理前のウエハーに入らない気流を形成する。
従って、プロセス処理後のウエハーから発生するプロセス処理により生じた残留ガスからの汚染を防止する。
In order to prevent contamination of the wafer before the process, the suction port for sucking the air in the FOUP is provided below the FOUP, and the air is sucked from the lower side of the FOUP.
Moreover, the ascending airflow of the air in the FOUP is suppressed by providing a mechanism for sucking from the lower part.
By providing the suction function, degassing from the processed wafer on the lower side in the FOUP forms an air flow that does not enter the unprocessed wafer on the upper side in the FOUP.
Therefore, the contamination from the residual gas generated by the process generated from the wafer after the process is prevented.

吸引口の排気ラインにガス検知器を設け、吸引エアーをガス検知器によりモニタリングしFOUP内のクリーン度管理も行う。  A gas detector is installed in the exhaust line of the suction port, and the suction air is monitored by the gas detector and the cleanliness in the FOUP is also managed.

FOUPの開口部の左右少なくとも一方に、FOUP内にクリーンエアーを吹き込むエアーノズルを設ける。プロセス処理後ウエハーに残留した発ガスをFOUP内から強制的に排出させる。  An air nozzle that blows clean air into the FOUP is provided on at least one of the left and right sides of the opening of the FOUP. The generated gas remaining on the wafer after the process is forcibly discharged from the FOUP.

ドアオプナーを2台設置し、かつFOUPを2台使用し、ローダー用FOUPとアンローダー用FOUPを分けてプロセス処理前のウエハーとプロセス処理後のウエハーをそれぞれ分離独立した別個のFOUP内に収納し、プロセス処理前のウエハーとプロセス処理後のウエハー間のクロスコンタミを防止する。  Two door openers are installed and two FOUPs are used. The loader FOUP and the unloader FOUP are separated, and the wafers before and after the process are separated and stored in separate FOUPs. Cross contamination between the wafer before the process and the wafer after the process is prevented.

プロセス処理装置内にプロセス処理後のウエハーを一時、収納するストックエリアを設ける。このストックエリアは、例えば、ロードロックで構成され、プロセス処理装置の処理室から完全に空間的に分離隔絶されている。  A stock area for temporarily storing the processed wafer is provided in the process processing apparatus. This stock area is composed of, for example, a load lock, and is completely spatially separated from the processing chamber of the process processing apparatus.

プロセス処理後のウエハーを脱ガスエリアと冷却エリアとストックエリアを順次、搬送してFOUP内に収納する。
脱ガスエリア内にはホットプレートを、冷却エリア内には冷却板を設け、プロセス処理のウエハーをホットプレートで脱ガスを十分行い、冷却板で脱ガスを抑える。
The processed wafer is sequentially transferred through the degassing area, the cooling area, and the stock area and stored in the FOUP.
A hot plate is provided in the degassing area, and a cooling plate is provided in the cooling area. The process wafer is sufficiently degassed by the hot plate, and degassing is suppressed by the cooling plate.

上段にホットプレートを備え、下段に冷却板を備えた上下2段から成り、プロセス処理後のウエハーをホットプレート上で脱ガス、その後、冷却板でプロセス処理後のウエハーを冷却する。  The upper stage is provided with two upper and lower stages having a hot plate and a lower stage with a cooling plate, and the wafer after the process is degassed on the hot plate, and then the wafer after the process is cooled with the cooling plate.

ジョウゴ状のフードによりミニエンクロジャー内のクリーンエアーを集めFOUP2内に送り込む。
また、フードを搬送ロボットのアーム位置に合わせて上下動させる。この方法は特に別個にFOUP2内へクリーンエアーを吹き込む専用のクリーンユニットを設けなくてもFOUP2から発生した残留ガスを排出してFOUP2をクリーンに維持できる。
Clean air in the mini enclosure is collected by the hooded hood and sent into the FOUP2.
Further, the hood is moved up and down according to the arm position of the transfer robot. In this method, the residual gas generated from the FOUP 2 can be discharged and the FOUP 2 can be kept clean without providing a dedicated clean unit for blowing clean air into the FOUP 2 separately.

本発明によるウエハー供給回収装置は、いずれの場合も、たとえプロセス処理前のウエハーとプロセス処理後のウエハーが同一FOUP内に混在しているとしてもプロセス処理前のウエハーの周囲をクリーンエアーによるパージによりプロセス処理後のウエハーの発ガスを寄せ付けない。
従って、プロセス処理前のウエハーは、プロセス処理後のウエハーの脱ガスの影響を受けることなく、常にクリーンな雰囲気に維持することができ、プロセス処理前のウエハーの汚染からの防止を達成することができる。
In any case, the wafer supply / recovery device according to the present invention can clean the periphery of the wafer before the process by purging with clean air even if the wafer before the process and the wafer after the process are mixed in the same FOUP. Keep wafers out of process after processing.
Therefore, the wafer before the process processing can be always maintained in a clean atmosphere without being affected by the degassing of the wafer after the process processing, and the prevention from the contamination of the wafer before the process processing can be achieved. it can.

プロセス処理後のウエハーをプロセス処理前のウエハーと完全に空間的に分離させ、プロセス処理後のウエハーの汚染の影響を受けないようにする。
上記2つの方法によりプロセス処理前のウエハーの周辺はクリーンな環境に保持され、プロセス処理前ウエハーとプロセス処理後のウエハー間のクロスコンタミを防止する。
この方法によっても、プロセス処理前のウエハーの汚染からの防止を達成することができる。
The processed wafer is completely spatially separated from the unprocessed wafer so as not to be affected by the contamination of the processed wafer.
By the above two methods, the periphery of the wafer before the process is maintained in a clean environment, and cross contamination between the wafer before the process and the wafer after the process is prevented.
This method can also prevent the wafer from being contaminated before processing.

本発明の実施例を図面を参照して詳細に説明する。図1は本発明の第1の実施例を示す図である。ドアオプナー16の積載台16−1上に複数枚の口径φ300mmウエハー1を収納したFOUP2を載置する。ウエハー1をFOUP2の最下位置から1枚づつ順次取り出し、搬送装置によりプロセス処理装置15に送り込まれる。プロセス処理装置内ではCVDあるいはドライエッチング等のプロセス処理が行われ、処理終了後、ウエハー1は搬送装置により上記FOUP2の最下位置から順次、元の位置に戻される。
このとき、プロセス処理後のウエハー1とプロセス処理前のウエハー1が同一のFOUP2内に混在することになる。
また、プロセス処理後のウエハー1はプロセス処理中に加熱され、ウエハー1の表面温度は周囲の温度より高いのが通常である。そこでウエハー1の表面からプロセス処理中に付着した残留ガスを放出する。これがプロセス処理前のウエハー1を汚染させる原因となっている。
Embodiments of the present invention will be described in detail with reference to the drawings. FIG. 1 is a diagram showing a first embodiment of the present invention. A FOUP 2 containing a plurality of wafers 1 having a diameter of 300 mm is placed on the loading table 16-1 of the door opner 16. The wafers 1 are sequentially taken out one by one from the lowest position of the FOUP 2 and sent to the process processing device 15 by the transfer device. Process processing such as CVD or dry etching is performed in the process processing apparatus. After the processing is completed, the wafer 1 is sequentially returned from the lowest position of the FOUP 2 to the original position by the transfer device.
At this time, the processed wafer 1 and the unprocessed wafer 1 are mixed in the same FOUP 2.
Further, the wafer 1 after the process is heated during the process, and the surface temperature of the wafer 1 is usually higher than the ambient temperature. Therefore, residual gas adhering during the processing is released from the surface of the wafer 1. This is a cause of contamination of the wafer 1 before processing.

また、ウエハー1のプロセス処理はFOUP2の最下端から行い、前述のようにウエハー1はFOUP2の元の位置に収納されるため、FOUP2の下側には温度の高いプロセス残留ガスに汚染されたプロセス処理後のウエハー1が、FOUP2の上側にはプロセス処理前のウエハー1が収納されることになる。プロセス処理後のウエハー1から発生するプロセス残留ガスが上昇し、プロセス処理前のウエハー1をも汚染することになる。  Further, the processing of the wafer 1 is performed from the lowermost end of the FOUP 2, and since the wafer 1 is stored in the original position of the FOUP 2 as described above, a process contaminated with a high temperature process residual gas is present below the FOUP 2. The processed wafer 1 is stored on the upper side of the FOUP 2 before the process processing. The process residual gas generated from the wafer 1 after the process treatment rises and also contaminates the wafer 1 before the process treatment.

このような汚染問題については、口径φ300mmウエハー以前のこれよりも口径の小さいウエハーの場合、収納容器は密閉型ではないため、たとえ収納容器の下側に位置するプロセス処理後のウエハーが残留ガスを発生したとしても、収納容器の周囲に拡散蒸発してしまうため問題とならなかった。
しかし、φ300mmウエハーは収納容器としてSEMIで密閉型のFOUPを使用することが義務付けられているため、図8に示す従来方法では、蓋が開いているとはいえ、それ以外はFOUP2を構成する壁で覆われており、このプロセス残留ガスによる汚染の問題が解決できなかった。
With regard to such a contamination problem, in the case of a wafer having a diameter smaller than that of a wafer having a diameter of 300 mm or less, the storage container is not hermetically sealed. Even if it occurs, there is no problem because it diffuses and evaporates around the container.
However, since a 300 mm wafer is required to use a sealed FOUP of SEMI as a storage container, in the conventional method shown in FIG. 8, although the lid is open, the other walls constituting the FOUP 2 The problem of contamination by this process residual gas could not be solved.

本発明は、FOUP2の開口部の前面に、上下駆動するクリーンユニット4をドアオプナー16、プロセス処理装置15またはミニエンバイロメント18に取り付け設置する。最下端からウエハー1のプロセス処理を行い、処理後、ウエハー1をFOUP2の元の位置に戻す工程に付随してクリーンユニット4も順次、上方に移動させる。また、この工程ではプロセス処理前のウエハー1とプロセス処理後のウエハー1が、同一のFOUP2内に混在する。
そこで上記のように、プロセス処理前のウエハー1にクリーンユニット4からのクリーンエアーを吹き付け、プロセス処理前のウエハー1の周囲の環境をクリーン状態に維持し、プロセス処理後のウエハー1のもたらす残留ガスによる汚染を防止するものである。FOUP2内を常にクリーンエアーでパージさせFOUP2内の空気の滞留をなくするものである。そのようにしてプロセス処理前のウエハー1には常にクリーンエアーの雰囲気に維持することができる。
In the present invention, the clean unit 4 that is driven up and down is attached to the door option 16, the process processing device 15, or the mini-environment 18 in front of the opening of the FOUP 2. The process of the wafer 1 is performed from the lowermost end, and after the process, the clean unit 4 is sequentially moved upward along with the step of returning the wafer 1 to the original position of the FOUP 2. In this step, the wafer 1 before the process and the wafer 1 after the process are mixed in the same FOUP 2.
Therefore, as described above, the clean air from the clean unit 4 is blown onto the wafer 1 before the process processing, the environment around the wafer 1 before the process processing is maintained in a clean state, and the residual gas provided by the wafer 1 after the process processing It prevents contamination by. The interior of the FOUP 2 is always purged with clean air to eliminate the retention of air in the FOUP 2. In this way, the wafer 1 before the process processing can always be maintained in a clean air atmosphere.

FOUP2内よりウエハーを出し入れするロボットの上側が空間的に空いており、クリーンユニット4は、そこに上から保持させる。
また、クリーンユニット4はウエハー出入口ボットのアーム高さに応じ順次上昇させる。クリーンユニット4からのエアーはプロセス処理前のウエハー1に対して層流形成し、プロセス処理後のウエハー1からの空気を巻き込まない気流を形成する。
The upper side of the robot that takes in and out the wafer from the FOUP 2 is spatially vacant, and the clean unit 4 is held there from above.
Further, the clean unit 4 is sequentially raised according to the arm height of the wafer entrance / exit bot. The air from the clean unit 4 forms a laminar flow with respect to the wafer 1 before the process processing, and forms an air current that does not involve the air from the wafer 1 after the process processing.

また、プロセス処理前のウエハー1の汚染防止をより確実にするためFOUP2の下側にエアーの吸入口5を設け、FOUP2内の上昇気流を抑え、発生した残留ガスを吸入ファン7により引き込み、残留ガスのFOUP2上部への対流による拡散を防止する。  Further, in order to more reliably prevent contamination of the wafer 1 before the process processing, an air suction port 5 is provided below the FOUP 2 to suppress an upward air flow in the FOUP 2 and the generated residual gas is drawn by the suction fan 7, Prevent diffusion of gas by convection to the top of FOUP2.

この吸入口5と吸入ファン7のラインの途中にガス検知器6を設け、吸引エアーをガス検知器6に送り込み、吸引エアー内に含まれる残留ガスの残量をモニタリングすることができる。吸引エアー内のガス種及びその濃度等を検出し、FOUP2内のクリーン度の管理に利用できる。A gas detector 6 is provided in the middle of the line of the suction port 5 and the suction fan 7, and suction air is sent to the gas detector 6 to monitor the remaining amount of residual gas contained in the suction air. It can detect the gas type in the suction air, its concentration, etc., and can be used to manage the cleanliness in the FOUP 2.

本発明の第2の実施例として、図2に示すようにFOUP2の開口部の両側にエアーノズル8によりプロセス処理前のウエハー1にクリーンエアーを吹き込み、プロセス処理後のウエハー1の表面から発生する残留ガスを強制的に除去する。これは第一の実施例に付随して利用すれば効果が大きい。  As a second embodiment of the present invention, as shown in FIG. 2, clean air is blown into the wafer 1 before processing by air nozzles 8 on both sides of the opening of the FOUP 2 and is generated from the surface of the wafer 1 after processing. Residual gas is forcibly removed. This is very effective when used in conjunction with the first embodiment.

本発明の第3の実施例として、図3に示すようにプロセス処理前のウエハー1とプロセス処理後のウエハー1それぞれ別に専用のFOUPを設ける。FOUPAからプロセス処理前のウエハー1が処理室(CVD、ドライエッチング等)に搬送され、プロセス処理後のウエハー1がFOUPBに入る。
このようにFOUPを2台取り付けローダー用FOUP2とアンローダー用FOUP2を分ければ、クロスコンタミを防止することができる。従って、プロセス処理前ウエハー1とプロセス処理後のウエハー1の収納容器FOUP2が分離独立しているため、プロセス処理後のウエハー1から発生する残留ガスがプロセス処理前のウエハー1を汚染することはない。
As a third embodiment of the present invention, as shown in FIG. 3, a dedicated FOUP is provided for each of the wafer 1 before the process and the wafer 1 after the process. The wafer 1 before the process processing is transferred from the FOUPA to the processing chamber (CVD, dry etching, etc.), and the wafer 1 after the process processing enters the FOUPB.
Thus, if two FOUPs are attached and the loader FOUP2 and the unloader FOUP2 are separated, cross contamination can be prevented. Therefore, since the storage container FOUP 2 of the wafer 1 before the process processing and the wafer 1 after the process processing are separated and independent, the residual gas generated from the wafer 1 after the process processing does not contaminate the wafer 1 before the process processing. .

本発明の第4の実施例として、図4に示すようにプロセス処理装置15に処理後ウエハーを一時、収納する25枚ストックエリア9を設ける。FOUP2から取り出されたプロセス処理前のウエハー1が搬送ロボット10によりプロセス処理装置15に投入される。ウエハー1は、その処理室内でCVD等の処理後、ストックエリア9に収納され、プロセス処理前のウエハー1が収納されているFOUP2内には直ちに回収されない。プロセス処理後のウエハー1は、脱ガス完了後、FOUP2の元の位置に回収収納される。  As a fourth embodiment of the present invention, as shown in FIG. 4, the process processing apparatus 15 is provided with a 25-sheet stock area 9 for temporarily storing processed wafers. The unprocessed wafer 1 taken out from the FOUP 2 is loaded into the process processing apparatus 15 by the transfer robot 10. The wafer 1 is stored in the stock area 9 after processing such as CVD in the processing chamber, and is not immediately collected in the FOUP 2 in which the wafer 1 before the process processing is stored. The processed wafer 1 is collected and stored in the original position of the FOUP 2 after degassing is completed.

また、本方法は、ストックエリア9に収納することにより、FOUP2からプロセス処理前のウエハー1がなくなってからプロセス処理後のウエハー1をFOUP2に収納し、戻すこともできるので、プロセス処理前のウエハー1がプロセス処理後のウエハー1による汚染の影響は全く受けない。
なお、このストックエリア9は、プロセス処理装置15内にロードロックを設け、プロセス処理室とは空間的に完全に隔離遮断された領域を形成している。
Further, in this method, by storing in the stock area 9, the wafer 1 after the process processing can be stored in the FOUP 2 after the wafer 1 before the process processing disappears from the FOUP 2, so that the wafer before the process processing can be returned. 1 is not affected by contamination by the wafer 1 after the process.
The stock area 9 is provided with a load lock in the process processing apparatus 15 to form a region that is completely isolated and shielded from the process processing chamber.

本発明の第5の実施例として、図5に示すように脱ガスエリア11、冷却エリア12、ストックエリア9を水平に併設し、プロセス処理後のウエハー1を順次、脱ガス、冷却を経てストックエリア9に収納される。
ホットプレートと冷却板を設け、プロセス処理後のウエハー1をホットプレートで 脱ガ スを十分行い、冷却板で脱ガスを抑える。
As a fifth embodiment of the present invention, as shown in FIG. 5, a degassing area 11, a cooling area 12, and a stock area 9 are provided side by side, and the wafers 1 after processing are sequentially degassed and cooled to stock. Housed in area 9.
A hot plate and a cooling plate are provided, and the processed wafer 1 is sufficiently degassed with the hot plate, and degassing is suppressed with the cooling plate.

本発明の第6の実施例として、図6に示すように、上段にホットプレート13、下段に冷却板14を設置して上下2段の構成とし、さらに下段の冷却板14の下方にストックエリア9を設置する。プロセス処理後のウエハー1を上段にホットプレート13上に載せ、150℃で10分間加熱し、ウエハー1表面上を脱ガスを行う。次に、脱ガスを行ったウエハー1を下段の冷却板14上に載せ、1分間冷却をし、ウエハー1の表面上になお残留しているガスの発生を抑える。その後、ウエハー1を最下部にあるストックエリア9に収納する。  As a sixth embodiment of the present invention, as shown in FIG. 6, a hot plate 13 is installed in the upper stage and a cooling plate 14 is installed in the lower stage to form a two-stage configuration, and a stock area is provided below the lower cooling plate 14. 9 is installed. The wafer 1 after the process is placed on the hot plate 13 in the upper stage and heated at 150 ° C. for 10 minutes to degas the surface of the wafer 1. Next, the degassed wafer 1 is placed on the lower cooling plate 14 and cooled for 1 minute to suppress the generation of gas still remaining on the surface of the wafer 1. Thereafter, the wafer 1 is stored in the stock area 9 at the bottom.

本発明の第7の実施例として、図7に示すように、ミニエンバイロメント18のクリーンエアーをじょうご形フード17によりFOUP2内に引き込む。ミニエンバイロメント18のクリーンエアーの吹出し面とドアオプナー16をじょうご形フード17で接続する。このとき、じょうご形フード17は、ドアオプナー16側はFOUP2の開口部付近に吹出し口がくるように接続する。
また、フードを搬送ロボットのアーム位置に合わせて上下動させる。このようにすれば、FOUP2の発生ガスをパージするために別個にクリーンユニットを設けなくても、FOUP2を発生した残留ガスを排出してFOUP2をクリーンに維持できる。
As a seventh embodiment of the present invention, as shown in FIG. 7, the clean air of the mini-environment 18 is drawn into the FOUP 2 by the funnel-shaped hood 17. The clean air blowing surface of the mini-environment 18 and the door opener 16 are connected by a funnel-shaped hood 17. At this time, the funnel-shaped hood 17 is connected on the door option 16 side so that the outlet is located near the opening of the FOUP 2.
Further, the hood is moved up and down according to the arm position of the transfer robot. In this way, even if a separate clean unit is not provided for purging the gas generated from FOUP2, the residual gas that generated FOUP2 can be discharged to keep FOUP2 clean.

本発明の第1の実施例の構成を示す概略図である。It is the schematic which shows the structure of the 1st Example of this invention. 本発明の第2の実施例の構成を示すFOUPの開口部の左右両側にエアーノズルを取り付けた図である。It is the figure which attached the air nozzle to the both right and left sides of the opening part of FOUP which shows the structure of the 2nd Example of this invention. 本発明の第3の実施例の処理前ウエハーと処理後ウエハーのそれぞれ分離独立したFOUPの配置を示す図である。It is a figure which shows arrangement | positioning of the FOUP which each isolate | separated and independent of the wafer before a process and the wafer after a process of 3rd Example of this invention. 本発明の第4の実施例の構成を示す概略図で、プロセス処理装置に処理後ウエハーのストックエリアを設けた図である。It is the schematic which shows the structure of the 4th Example of this invention, and is the figure which provided the stock area of the wafer after a process processing apparatus. 本発明の第5の実施例の構成を示す概略図で、脱ガスエリア、冷却エリア及びストックエリアを設けた図である。It is the schematic which shows the structure of the 5th Example of this invention, and is the figure which provided the degassing area, the cooling area, and the stock area. 本発明の第5の実施例の構成を示す概略図で、ホットプレート、冷却板を上下に2段に設けた図である。It is the schematic which shows the structure of the 5th Example of this invention, and is the figure which provided the hot plate and the cooling plate in two steps up and down. 本発明の第5の実施例の構成を示す概略図で、ミニエンバイロメントからじょうご形フードによりFOUP内にクリーンエアーを引き込めるように配置した図である。It is the schematic which shows the structure of the 5th Example of this invention, and is the figure arrange | positioned so that clean air can be drawn in in FOUP by a funnel type | mold hood from a mini environment. 従来のドアオプナーにFOUPを設置した状態を示す図である。It is a figure which shows the state which installed FOUP in the conventional door opener.

符号の説明Explanation of symbols

1 ウエハー
2 FOUP
3 オプナー
4 クリーンユニット
5 吸入口
6 ガス検知器
7 吸入ファン
8 エアーノズル
9 ストックエリア
10 搬送ロボット
11 脱ガスエリア
12 冷却エリア
13 ホットプレート
14 冷却板
15 プロセス処理装置
16 ドアオプナー
16−1 積載台
16−2 ドア駆動装置
17 じょうご形フード
18 ミニエンバイロメント
1 Wafer 2 FOUP
3 OPNER 4 Clean unit 5 Suction port 6 Gas detector 7 Suction fan 8 Air nozzle 9 Stock area 10 Transport robot 11 Degassing area 12 Cooling area 13 Hot plate 14 Cooling plate 15 Process processing device 16 Door option 16-1 Loading platform 16- 2 Door drive unit 17 Funnel-shaped hood 18 Mini environment

Claims (9)

ウエハー収納容器FOUPと、該FOUPからウエハーを取り出し、また前記FOUPに回収するドアオプナーと、前記FOUPの開口前面に設けた上下駆動するクリーンユニットから構成され、かつウエハーを枚葉式にプロセス処理するため、前記FOUPの下側からウエハーを順次取り出し、プロセス処理後、該ウエハーをFOUP内の元の位置に下側から順次、回収し、主としてプロセス処理前のウエハーのみに前記クリーンユニットからのクリーンエアーを吹き付けるように前記クリーンユニットも前記FOUP内の処理前ウエハーに合わせて順次,上方に移動させるウエハー供給回収装置。A wafer storage container FOUP, a door opener that takes out a wafer from the FOUP and collects it in the FOUP, and a clean unit that is driven up and down provided in front of the opening of the FOUP, and processes the wafer in a single wafer process The wafers are sequentially taken out from the lower side of the FOUP, and after the processing, the wafers are sequentially recovered from the lower side to the original position in the FOUP, and clean air from the clean unit is mainly applied only to the wafers before the processing. A wafer supply / recovery device that sequentially moves the clean unit upward along with the unprocessed wafer in the FOUP so as to spray. 前記FOUPの下方にFOUP内の空気を吸引する吸引口を設けたことを特徴とする請求項1記載のウエハー供給回収装置。2. The wafer supply and recovery apparatus according to claim 1, wherein a suction port for sucking air in the FOUP is provided below the FOUP. 前記吸引口の排気ラインにガス検知器を設けたことを特徴とする請求項1及び請求項2記載のウエハー供給回収装置。3. The wafer supply and recovery apparatus according to claim 1, wherein a gas detector is provided in an exhaust line of the suction port. FOUPの開口部の左右少なくとも一方に、FOUP内にクリーンエアーを吹き込むエアーノズルを設けたことを特徴とする請求項1記載のウエハー供給回収装置。2. The wafer supply and recovery apparatus according to claim 1, wherein air nozzles for blowing clean air into the FOUP are provided on at least one of the left and right sides of the opening of the FOUP. プロセス処理前のウエハーとプロセス処理後のウエハーを取り出し、回収するため、それぞれ独立した別個専用のFOUPを用いるウエハー供給回収装置。Wafer supply / recovery device that uses independent and dedicated FOUPs to take out and collect wafers before and after processing. プロセス処理装置内にプロセス処理後のウエハーを一時、収納するストックエリアを設けたことを特徴とするウエハー供給回収装置。A wafer supply / recovery device characterized in that a stock area for temporarily storing a processed wafer is provided in the process processing device. 脱ガスエリア、冷却エリア、ストックエリアと順次、プロセス処理後のウエハーを搬送してFOUP内に収納するウエハー供給回収装置。Wafer supply / recovery device that transports wafers after process in order, degassing area, cooling area, stock area, and stores them in FOUP. 上段にホットプレートを備え、下段に冷却板を備えた上下2段から成るプロセス処理後のウエハーの脱ガス機能を具備するウエハー供給回収装置。A wafer supply / recovery device having a function of degassing a wafer after processing, comprising a hot plate in the upper stage and a cooling plate in the lower stage. ジョウゴ状のフードによりミニエンバイロメント内のクリーンエアーを集めFOUP内に送り込むウエハー供給回収装置。Wafer supply / collection device that collects clean air in the mini-environment and sends it into the FOUP using a hooded hood.
JP2003334329A 2003-08-20 2003-08-20 Wafer supply / recovery equipment Expired - Lifetime JP4386700B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003334329A JP4386700B2 (en) 2003-08-20 2003-08-20 Wafer supply / recovery equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003334329A JP4386700B2 (en) 2003-08-20 2003-08-20 Wafer supply / recovery equipment

Publications (2)

Publication Number Publication Date
JP2005072544A true JP2005072544A (en) 2005-03-17
JP4386700B2 JP4386700B2 (en) 2009-12-16

Family

ID=34419049

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003334329A Expired - Lifetime JP4386700B2 (en) 2003-08-20 2003-08-20 Wafer supply / recovery equipment

Country Status (1)

Country Link
JP (1) JP4386700B2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005340243A (en) * 2004-05-24 2005-12-08 Miraial Kk Gas replacing apparatus of accommodation vessel and gas replacing method using the same
JP2006351864A (en) * 2005-06-16 2006-12-28 Tokyo Electron Ltd Processing system and processing method
JP2006351868A (en) * 2005-06-16 2006-12-28 Tokyo Electron Ltd Processing system and processing method
JP2010161157A (en) * 2009-01-07 2010-07-22 Tokyo Electron Ltd Substrate storing method and storage medium
WO2013179762A1 (en) * 2012-05-30 2013-12-05 東京応化工業株式会社 Substrate holding device and substrate treatment device
CN104823271A (en) * 2012-11-30 2015-08-05 阿迪克森真空产品公司 Station and method for measuring the particulate contamination of a transport chamber for conveying and atmospherically storing semiconductor substrates
JP2017504218A (en) * 2014-01-21 2017-02-02 ボムジェ ウ Fume removal equipment
JP2018098358A (en) * 2016-12-13 2018-06-21 Tdk株式会社 Measuring device for atmosphere within wafer transfer container, wafer transfer container, purification device for inside of wafer transfer container, and purification method for inside of wafer transfer container
JP2018120993A (en) * 2017-01-26 2018-08-02 信越ポリマー株式会社 Substrate storing container and management method for the same
JP2020031194A (en) * 2018-08-24 2020-02-27 東京エレクトロン株式会社 Substrate transfer module and substrate transfer method

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5709011B2 (en) * 2011-12-26 2015-04-30 株式会社ダイフク Goods storage facility
CN103474373B (en) * 2012-06-08 2016-03-09 矽品科技(苏州)有限公司 Electroplating machine material collecting device

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005340243A (en) * 2004-05-24 2005-12-08 Miraial Kk Gas replacing apparatus of accommodation vessel and gas replacing method using the same
JP2006351864A (en) * 2005-06-16 2006-12-28 Tokyo Electron Ltd Processing system and processing method
JP2006351868A (en) * 2005-06-16 2006-12-28 Tokyo Electron Ltd Processing system and processing method
JP4541232B2 (en) * 2005-06-16 2010-09-08 東京エレクトロン株式会社 Processing system and processing method
JP2010161157A (en) * 2009-01-07 2010-07-22 Tokyo Electron Ltd Substrate storing method and storage medium
WO2013179762A1 (en) * 2012-05-30 2013-12-05 東京応化工業株式会社 Substrate holding device and substrate treatment device
CN104823271A (en) * 2012-11-30 2015-08-05 阿迪克森真空产品公司 Station and method for measuring the particulate contamination of a transport chamber for conveying and atmospherically storing semiconductor substrates
JP2017504218A (en) * 2014-01-21 2017-02-02 ボムジェ ウ Fume removal equipment
JP2018098358A (en) * 2016-12-13 2018-06-21 Tdk株式会社 Measuring device for atmosphere within wafer transfer container, wafer transfer container, purification device for inside of wafer transfer container, and purification method for inside of wafer transfer container
JP2018120993A (en) * 2017-01-26 2018-08-02 信越ポリマー株式会社 Substrate storing container and management method for the same
JP2020031194A (en) * 2018-08-24 2020-02-27 東京エレクトロン株式会社 Substrate transfer module and substrate transfer method
JP7187890B2 (en) 2018-08-24 2022-12-13 東京エレクトロン株式会社 SUBSTRATE TRANSFER MODULE AND SUBSTRATE TRANSFER METHOD

Also Published As

Publication number Publication date
JP4386700B2 (en) 2009-12-16

Similar Documents

Publication Publication Date Title
JP3880343B2 (en) Load port, substrate processing apparatus, and atmosphere replacement method
US9272315B2 (en) Mechanisms for controlling gas flow in enclosure
JP4386700B2 (en) Wafer supply / recovery equipment
JP4342745B2 (en) Substrate processing method and semiconductor device manufacturing method
JP2010219228A (en) Substrate processing apparatus
JP2003007800A (en) Substrate treatment device and method of manufacturing semiconductor device
JP5724713B2 (en) Heat treatment equipment
JP5155035B2 (en) Substrate processing apparatus and substrate processing method
JP2007095879A (en) Substrate processing equipment
JPH04269825A (en) Vertical type heat-treating device
JP2004119888A (en) Semiconductor manufacturing apparatus
JP4709912B2 (en) Substrate processing method and semiconductor device manufacturing method
JP4260298B2 (en) Manufacturing method of semiconductor parts
JP2010165943A (en) Method of manufacturing semiconductor device, and wafer processing system
JP2003224102A (en) Substrate treatment equipment and substrate treatment method
JP2008270266A (en) Substrate treatment equipment
US20020124428A1 (en) Apparatus to reduce contaminants from semiconductor wafers
CN110379753B (en) Substrate transfer system, storage medium, and substrate transfer method
JP2005347667A (en) Semiconductor fabrication device
JP3856726B2 (en) Semiconductor manufacturing equipment
JP2014067797A (en) Substrate processing apparatus, substrate processing method and semiconductor device manufacturing method
JP2000297953A (en) Interface chamber for pod in clean room
KR100612421B1 (en) System for transferring substrates
JP2007116089A (en) Substrate processing apparatus and method for manufacturing semiconductor device
JPH07176509A (en) Washing device and washing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060726

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20060726

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081216

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081218

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20081222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090423

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090611

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090917

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090929

R150 Certificate of patent or registration of utility model

Ref document number: 4386700

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121009

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121009

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131009

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term