JP2004326453A - Semiconductor integrated circuit design method and semiconductor integrated circuit design program - Google Patents

Semiconductor integrated circuit design method and semiconductor integrated circuit design program Download PDF

Info

Publication number
JP2004326453A
JP2004326453A JP2003120437A JP2003120437A JP2004326453A JP 2004326453 A JP2004326453 A JP 2004326453A JP 2003120437 A JP2003120437 A JP 2003120437A JP 2003120437 A JP2003120437 A JP 2003120437A JP 2004326453 A JP2004326453 A JP 2004326453A
Authority
JP
Japan
Prior art keywords
clock
wiring
integrated circuit
semiconductor integrated
buffer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003120437A
Other languages
Japanese (ja)
Inventor
Takayuki Sekihara
孝幸 関原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2003120437A priority Critical patent/JP2004326453A/en
Publication of JP2004326453A publication Critical patent/JP2004326453A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To quickly design a semiconductor integrated circuit by dispensing with repeated readjustments when designing the semiconductor integrated circuit by use of a standard cell. <P>SOLUTION: Cell layout (processing 11), buffer insertion/layout (processing 12), wiring (processing 13) and delay calculation (processing 14) are successively carried out, and clock readjustment for optimizing a clock characteristic (readjustment of drive ability of a clock buffer (processing 151)) is carried out based on the delay calculation result. In this readjustment, a desired one is selected from two or more kinds of preliminarily prepared clock buffers differed in the characteristic with the same foot print and having a wiring prohibition area buried therein, and substituted by the inserted/laid-out clock buffer. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

【0001】
【発明の属する技術分野】
本発明は、フットプリントが同一で、特性(ドライブ能力やセル内遅延)の異なる複数種類のクロックバッファセルを使用することによって、配線後、クロックバッファセルの置換えのみで、再調整の繰返し不要として、半導体集積回路が速やかに設計されるようにした半導体集積回路設計方法並びに半導体集積回路設計プログラムに関する。
【0002】
【従来の技術】
従来技術に係る、CADツールによる半導体集積回路設計処理フローを図4に示す。図示のように、ネットリストが予め得られているものとして、先ずスタンダードセルをチップ上の、とある位置におくセル配置が行われる(処理41)。次に、クロックネット合成によりクロックネットにバッファが挿入(追加)/配置される(処理42)。これについて補足説明すれば、配置・配線が行われる前の初期ネットでは、クロック信号は多数のフリップフロップ(FF)に接続されているが、クロックネット合成とは、FFの配置情報から、スキューや遅延(ディレー)が最小となるように、クロックネットにバッファを挿入(追加)/配置することをいう。
【0003】
その後は、配線が行われた上、実配線からRC(配線抵抗・配線容量)をバックアノテート、即ち、RC(配線抵抗・配線容量)を考慮しての遅延計算が行われる(処理43,44)。この遅延計算結果に基づき、クロック特性を最適化するためのクロック再調整(クロックバッファのドライブ能力の再調整(処理451))が行われるようになっている(処理45)。
【0004】
しかしながら、その再調整に際しては、多くの場合、セルサイズが元のサイズより大きくなったり、セルの端子位置が変わる、といった理由により、セル同士の重なり(オーバラップ)を防ぐための再配置や、セル変更に伴う端子位置の変更により未接続部分の再配線が行われる(処理452,453)。その後は、再びRC(配線抵抗・配線容量)を考慮しての遅延計算が行われた上、その遅延計算結果が合否判定される(処理46,47)。もしも、この合否判定で、所望の結果が得られなかった場合には、再び再調整が行われる(処理47,45)。
【0005】
因みに、特許文献1では、マザーボード上に搭載された複数のカード基板間でデータの授受転送が行われる場合に、データをラッチするためのクロック信号の位相バラツキが低減化されている。
【0006】
【特許文献1】
特開2001―53731号公報
【0007】
【発明が解決しようとする課題】
しかしながら、図4に示す従来技術による場合、クロックバッファセルのドライブ能力が異なれば、多くの場合、それに伴いセルのサイズや端子位置も異なるようになる。即ち、再調整に際しては、多くの場合、再配置・再配線が必要となっている。セルのその再配置・再配線によっては、配線経路も変更されることになるが、この配線経路変更により、再調整後での遅延計算結果の合否判定では、多くの場合、所望の結果が得られず、これがために、いきおい、設計期間が増加することは否めないものとなっている。
【0008】
本発明の目的は、スタンダードセルを用い、半導体集積回路が設計されるに際し、再調整の繰返し不要として、したがって、半導体集積回路が速やかに設計され得る半導体集積回路設計方法や半導体集積回路設計プログラムを提供することにある。
【0009】
【課題を解決するための手段】
本発明の半導体集積回路設計方法は、スタンダードセルを用い、半導体集積回路が設計されるに際し、スタンダードセルをチップ上の、とある位置におくセル配置ステップと、クロックネット合成によりクロックネットにクロックバッファを挿入/配置するバッファ挿入/配置ステップと、配線を行うステップと、実配線から、配線抵抗・配線容量を考慮しての遅延計算を行う遅延計算ステップと、該遅延計算ステップによる遅延計算結果に基づき、フットプリントが同一で、且つ特性が相異なり、しかも、配線禁止領域が埋め込まれている、予め複数種類用意されているクロックバッファの中から所望のものを選択した上、挿入/配置済みのクロックバッファと置換するバッファ置換ステップとを含むよう、構成したものである。
【0010】
以上のように、遅延計算結果に基づき、フットプリントが同一で、且つ特性が相異なり、しかも、配線禁止領域が埋め込まれている、予め複数種類用意されているクロックバッファの中から所望のものが選択された上、既に挿入/配置済みのクロックバッファと置換されていることから、再調整の繰返し不要として、したがって、半導体集積回路が速やかに設計可能とされている。
【0011】
【発明の実施の形態】
以下、本発明の一実施の形態を図1から図3により説明する。
先ず本発明に係る、CADツールによる一例での半導体集積回路設計処理フローを図1に示す。図示のように、ネットリストが予め得られているものとして、先ずスタンダードセルをチップ上の、とある位置におくセル配置が行われる(処理11)。次に、クロックネット合成によりクロックネットにバッファが挿入(追加)/配置される(処理12)。
【0012】
その後は、配線が行われた上、実配線からRC(配線抵抗・配線容量)をバックアノテート、即ち、RC(配線抵抗・配線容量)を考慮しての遅延計算が行われる(処理13,14)。この遅延計算結果に基づき、クロック特性を最適化するためのクロック再調整(クロックバッファのドライブ能力の再調整(処理151))が行われるようになっている(処理15)。
【0013】
したがって、ここまでは、既述の従来技術に係る半導体集積回路設計処理フローに、見掛け上、全体として類似していることは否めない。しかしながら、本発明の特徴としては、このクロック特性を最適化するためのクロック再調整が挙げられる。その再調整方法において、従来技術に係る再調整方法とは明らかに区別され得るものとなっている。
【0014】
即ち、本発明においては、フットプリントが同一で、且つ特性(ドライブ能力やセル内遅延時間)が相異なり、しかも、配線禁止領域が埋め込まれているクロックバッファが、予め複数種類用意されているが、これらクロックバッファの中から所望のものが遅延計算結果に基づき、選択された上、既に挿入/配置済みのクロックバッファと置換されているからである。実に、この置換により、従来要されていた再調整の繰返しが不要とされている分、半導体集積回路が速やかに設計可能とされるばかりか、クロック信号間スキュー調整の容易化が可能とされているものである。
【0015】
さて、予め複数種類用意されているクロックバッファセルの具体例について説明すれば、図2(A)〜(C)にそれぞれ示すようである。これによる場合、図2(A)には、入力端子Aと出力端子Xとの間に1個のクロックバッファ(三角図形として表示)21のみ、したがって、基本的な1段構成のものを示す。また、図2(B)には、3個のクロックバッファ21が縦続接続されたものを示す。図2(A)に示すものに比し、ドライブ能力は同一とされているが、遅延時間は3倍に設定される。一般に、縦続接続段数が多くなる程に、遅延時間は大として設定される。
【0016】
更に、図2(C)には、2個のクロックバッファ21が並列接続されたものを示す。図2(A)に示すものに比し、遅延時間は同一ながらも、バッファとしてのドライブ能力は2倍に設定される。一般に、並列接続段数が多くなる程に、ドライブ能力は大として設定される。尤も、これら図2(A)〜(C)に示すもの以外にも、クロックバッファの構成としては、各種のものが考えられることは明らかである。
【0017】
以上のように、本発明に係るクロックバッファは、フットプリントが同一であることが要件とされているが、これは、フットプリントが同一であれば、セルの置換のみで、特性の変更が可能とされているからである。
【0018】
ここで、フットプリントについて補足説明すれば、フットプリントとは、スタンダードセルにおけるセル枠及び端子の位置・形状・レイヤーについての情報として定義される。スタンダードセルでは、CADツールにより自動配置・配線が行われるが、フットプリントは、その際に必要な情報とされる。因みに、セル枠とは、セルの外周枠とされ、通常、メタル層の最外周であり、well等はこの枠からはみ出ることが多い。また、セル同士が結線される際でのセル内の図形は端子と称されるが、端子形状とは、その図形の形状とされる(例えば図2(A)〜(C)における入力端子A及び出力端子Xを参照のこと)。更に、端子レイヤーとは、端子として使用されるメタル層のことである。
【0019】
引き続き配線禁止領域について説明すれば、図3(A)にバッファ(セル)のメタル層のパターンを示す。左肩上り斜線表示部分はセル枠や端子図形に相当するメタル2のパターンを、また、右肩上り斜線表示部分はメタル1のパターンを示す。一般に、スタンダードセルの配置・配線では、フットプリント(セル枠と端子図形の情報)が使用されるが、このフットプリントだけでは、出力端子Xへの結線(配線)に際し、メタル1のパターンと短絡する可能性があることは否めない。そこで、図3(B)に示すように、出力端子Xへの結線(配線)に、メタル1が使用されないように、セル全面にメタル1の配線禁止領域が挿入/設定されるようにした。
【0020】
以上、本発明による半導体集積回路設計方法について説明したが、その内容を半導体集積回路設計プログラムとして捉えることも容易に考えられる。
【0021】
以上、本発明者によってなされた発明を実施の形態に基づき、具体的に説明したが、本発明は上記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲内で種々変更可能であることはいうまでもない。
【0022】
【発明の効果】
スタンダードセルを用い、半導体集積回路が設計されるに際し、再調整の繰返し不要として、半導体集積回路が速やかに設計され得る。
【図面の簡単な説明】
【図1】本発明に係る一例での半導体集積回路設計処理フロー示す図である。
【図2】本発明に係るクロックバッファセルの具体例を示す図である。
【図3】本発明に係る配線禁止領域を説明するための図である。
【図4】従来技術に係る半導体集積回路設計処理フロー示す図である。
【符号の説明】
21…クロックバッファ
[0001]
TECHNICAL FIELD OF THE INVENTION
According to the present invention, by using a plurality of types of clock buffer cells having the same footprint and different characteristics (driving ability and intra-cell delay), only the replacement of the clock buffer cells after wiring is performed, and re-adjustment is not required. The present invention relates to a semiconductor integrated circuit design method and a semiconductor integrated circuit design program that allow a semiconductor integrated circuit to be quickly designed.
[0002]
[Prior art]
FIG. 4 shows a flow of a semiconductor integrated circuit design process using a CAD tool according to the related art. As shown in the figure, assuming that the netlist has been obtained in advance, the cell is first placed at a certain position on the chip (step 41). Next, a buffer is inserted (added) / placed in the clock net by the clock net synthesis (process 42). As a supplementary explanation, in the initial net before the placement / wiring is performed, the clock signal is connected to a large number of flip-flops (FFs). This refers to inserting (adding) / arranging a buffer in the clock net so that the delay (delay) is minimized.
[0003]
Thereafter, after the wiring is performed, the back calculation of the RC (wiring resistance / wiring capacitance) from the actual wiring, that is, the delay calculation in consideration of the RC (wiring resistance / wiring capacitance) is performed (processing 43, 44). ). Based on the result of the delay calculation, the clock is readjusted to optimize the clock characteristics (the drive capability of the clock buffer is readjusted (process 451)) (process 45).
[0004]
However, at the time of the readjustment, in many cases, the cell size becomes larger than the original size or the terminal position of the cell changes, so that relocation to prevent the cells from overlapping (overlapping), The reconnection of the unconnected portion is performed by the change of the terminal position accompanying the cell change (steps 452 and 453). After that, delay calculation is again performed in consideration of RC (wiring resistance / wiring capacitance), and the result of the delay calculation is determined as pass / fail (processes 46 and 47). If the desired result is not obtained in this pass / fail determination, readjustment is performed again (steps 47 and 45).
[0005]
Incidentally, in Patent Literature 1, when data is transferred between a plurality of card boards mounted on a motherboard, the phase variation of a clock signal for latching data is reduced.
[0006]
[Patent Document 1]
JP 2001-53731 A
[Problems to be solved by the invention]
However, in the case of the prior art shown in FIG. 4, if the driving capability of the clock buffer cell is different, the cell size and terminal position are often different accordingly. That is, re-adjustment often requires rearrangement and re-wiring. Depending on the rearrangement / rewiring of the cell, the wiring path may also be changed. However, this wiring path change often results in a desired result in the pass / fail judgment of the delay calculation result after readjustment. However, it is unavoidable that this increases the design period.
[0008]
An object of the present invention is to provide a semiconductor integrated circuit design method and a semiconductor integrated circuit design program that can use a standard cell to design a semiconductor integrated circuit so that re-adjustment is not required repeatedly. To provide.
[0009]
[Means for Solving the Problems]
A semiconductor integrated circuit designing method according to the present invention uses a standard cell, and when a semiconductor integrated circuit is designed, a cell arrangement step of placing the standard cell at a certain position on a chip, and a clock buffer in a clock net by clock net synthesis. A buffer insertion / placement step of inserting / placement, a wiring step, a delay calculation step of performing a delay calculation in consideration of the wiring resistance and the wiring capacity from the actual wiring, and a delay calculation result by the delay calculation step. A plurality of clock buffers having the same footprint, different characteristics, different wiring characteristics, and embedded wiring prohibited areas are selected from a plurality of clock buffers prepared in advance, and inserted / placed. And a buffer replacement step for replacing the clock buffer.
[0010]
As described above, based on the delay calculation result, a desired clock buffer is prepared from a plurality of types of clock buffers in which the footprints are the same, the characteristics are different, and the wiring prohibited area is embedded. Since it is selected and replaced with the clock buffer that has already been inserted / placed, it is not necessary to repeat re-adjustment, so that the semiconductor integrated circuit can be quickly designed.
[0011]
BEST MODE FOR CARRYING OUT THE INVENTION
Hereinafter, an embodiment of the present invention will be described with reference to FIGS.
First, FIG. 1 shows a flow of a semiconductor integrated circuit design process using a CAD tool according to an embodiment of the present invention. As shown in the figure, assuming that a netlist has been obtained in advance, a cell arrangement for placing a standard cell at a certain position on a chip is performed (process 11). Next, a buffer is inserted (added) / placed in the clock net by clock net synthesis (process 12).
[0012]
Thereafter, after the wiring is performed, a back calculation is performed on the RC (wiring resistance / wiring capacitance) from the actual wiring, that is, a delay calculation is performed in consideration of the RC (wiring resistance / wiring capacitance) (processes 13 and 14). ). Based on the result of the delay calculation, the clock is readjusted to optimize the clock characteristics (the drive capacity of the clock buffer is readjusted (process 151)) (process 15).
[0013]
Therefore, it cannot be denied that the overall process is apparently similar to the above-described semiconductor integrated circuit design processing flow according to the related art. However, a feature of the present invention is a clock readjustment for optimizing the clock characteristics. The readjustment method is clearly distinguishable from the readjustment method according to the prior art.
[0014]
That is, in the present invention, a plurality of types of clock buffers having the same footprint, different characteristics (driving ability and delay time in a cell), and in which a wiring prohibited area is embedded are prepared in advance. This is because a desired one of these clock buffers is selected based on the result of the delay calculation, and is replaced with the already inserted / placed clock buffer. Indeed, this replacement eliminates the need for re-adjustment, which has been required conventionally, and thus allows not only quick design of a semiconductor integrated circuit but also simplification of skew adjustment between clock signals. Is what it is.
[0015]
Now, specific examples of a plurality of types of clock buffer cells prepared in advance will be described with reference to FIGS. 2A to 2C. In this case, FIG. 2A shows only one clock buffer (displayed as a triangular figure) 21 between the input terminal A and the output terminal X, and thus shows a basic one-stage configuration. FIG. 2B shows a case in which three clock buffers 21 are cascaded. The drive capability is the same as that shown in FIG. 2A, but the delay time is set to three times. Generally, the delay time is set to be longer as the number of cascade connection stages increases.
[0016]
FIG. 2C shows a case where two clock buffers 21 are connected in parallel. Although the delay time is the same as that shown in FIG. 2A, the drive capability as a buffer is set to be twice as large. Generally, as the number of parallel connection stages increases, the drive capability is set to be large. However, it is apparent that various clock buffer configurations other than those shown in FIGS. 2A to 2C are conceivable.
[0017]
As described above, the clock buffer according to the present invention is required to have the same footprint. However, if the footprint is the same, the characteristics can be changed only by replacing cells. It is because it is.
[0018]
Here, if the footprint is supplementarily described, the footprint is defined as information on the position, shape, and layer of a cell frame and terminals in a standard cell. In the standard cell, automatic placement and wiring are performed by a CAD tool, and the footprint is information necessary at that time. Incidentally, the cell frame is the outer peripheral frame of the cell, which is usually the outermost peripheral of the metal layer, and the well and the like often protrude from this frame. A figure in the cell when the cells are connected to each other is called a terminal, and the terminal shape is the shape of the figure (for example, the input terminal A in FIGS. 2A to 2C). And output terminal X). Further, the terminal layer is a metal layer used as a terminal.
[0019]
Continuing to explain the wiring prohibited area, FIG. 3A shows a pattern of a metal layer of a buffer (cell). The upwardly-sloping hatched portion indicates a metal 2 pattern corresponding to a cell frame or a terminal figure, and the upwardly-sloping hatched portion indicates a metal 1 pattern. Generally, footprints (information on cell frames and terminal figures) are used in the placement and wiring of standard cells. However, this footprint alone short-circuits the metal 1 pattern when connecting (wiring) to the output terminal X. There is no denying that there is a possibility. Therefore, as shown in FIG. 3B, a wiring prohibited area of metal 1 is inserted / set on the entire surface of the cell so that metal 1 is not used for connection (wiring) to output terminal X.
[0020]
As described above, the semiconductor integrated circuit designing method according to the present invention has been described. However, the contents can be easily considered as a semiconductor integrated circuit designing program.
[0021]
As described above, the invention made by the present inventor has been specifically described based on the embodiment. However, the present invention is not limited to the above embodiment, and can be variously modified without departing from the gist thereof. Needless to say, there is.
[0022]
【The invention's effect】
When designing a semiconductor integrated circuit using standard cells, the semiconductor integrated circuit can be quickly designed without the need for re-adjustment.
[Brief description of the drawings]
FIG. 1 is a flowchart showing a semiconductor integrated circuit design processing flow according to an example of the present invention.
FIG. 2 is a diagram showing a specific example of a clock buffer cell according to the present invention.
FIG. 3 is a diagram for explaining a wiring prohibited area according to the present invention.
FIG. 4 is a diagram showing a flow of a semiconductor integrated circuit design process according to the related art.
[Explanation of symbols]
21 ... Clock buffer

Claims (4)

スタンダードセルを用いた半導体集積回路設計方法であって、
スタンダードセルをチップ上の、とある位置におくセル配置ステップと、
クロックネット合成によりクロックネットにクロックバッファを挿入/配置するバッファ挿入/配置ステップと、
配線を行うステップと、
実配線から、配線抵抗・配線容量を考慮しての遅延計算を行う遅延計算ステップと、
該遅延計算ステップによる遅延計算結果に基づき、フットプリントが同一で、且つ特性が相異なり、しかも、配線禁止領域が埋め込まれている、予め複数種類用意されているクロックバッファの中から所望のものを選択した上、挿入/配置済みのクロックバッファと置換するバッファ置換ステップと
を含む半導体集積回路設計方法。
A semiconductor integrated circuit design method using a standard cell,
A cell placement step of placing the standard cell at a certain position on the chip;
A buffer insertion / placement step of inserting / placement of a clock buffer in a clock net by clock net synthesis;
Performing wiring;
A delay calculation step of calculating a delay in consideration of wiring resistance and wiring capacitance from actual wiring,
Based on the delay calculation result of the delay calculation step, a desired one is prepared from a plurality of types of clock buffers prepared in advance, which have the same footprint, different characteristics, and embedded wiring prohibited areas. And a buffer replacement step of replacing the selected and inserted / placed clock buffer.
請求項1記載の半導体集積回路設計方法であって、
上記バッファ置換ステップによるクロックバッファ置換により、配線後でのクロック信号間スキュー調整が行われる半導体集積回路設計方法。
The method for designing a semiconductor integrated circuit according to claim 1,
A method of designing a semiconductor integrated circuit in which skew adjustment between clock signals after wiring is performed by clock buffer replacement in the buffer replacement step.
スタンダードセルを用い、半導体集積回路が設計される際に用いられる半導体集積回路設計プログラムであって、
スタンダードセルをチップ上の、とある位置におくセル配置ステップと、
クロックネット合成によりクロックネットにクロックバッファを挿入/配置するバッファ挿入/配置ステップと、
配線を行うステップと、
実配線から、配線抵抗・配線容量を考慮しての遅延計算を行う遅延計算ステップと、
該遅延計算ステップによる遅延計算結果に基づき、フットプリントが同一で、且つ特性が相異なり、しかも、配線禁止領域が埋め込まれている、予め複数種類用意されているクロックバッファの中から所望のものを選択した上、挿入/配置済みのクロックバッファと置換するバッファ置換ステップと
を含む半導体集積回路設計プログラム。
A semiconductor integrated circuit design program used when a semiconductor integrated circuit is designed using standard cells,
A cell placement step of placing the standard cell at a certain position on the chip;
A buffer insertion / placement step of inserting / placement of a clock buffer in a clock net by clock net synthesis;
Performing wiring;
A delay calculation step of calculating a delay in consideration of wiring resistance and wiring capacitance from actual wiring,
Based on the delay calculation result of the delay calculation step, a desired one is prepared from a plurality of types of clock buffers prepared in advance, which have the same footprint, different characteristics, and embedded wiring prohibited areas. A buffer replacement step of replacing a selected and inserted / placed clock buffer.
請求項3記載の半導体集積回路設計プログラムであって、
上記バッファ置換ステップによるクロックバッファ置換により、配線後でのクロック信号間スキュー調整が行われる半導体集積回路設計プログラム。
4. The semiconductor integrated circuit design program according to claim 3, wherein
A semiconductor integrated circuit design program for performing skew adjustment between clock signals after wiring by clock buffer replacement in the buffer replacement step.
JP2003120437A 2003-04-24 2003-04-24 Semiconductor integrated circuit design method and semiconductor integrated circuit design program Pending JP2004326453A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003120437A JP2004326453A (en) 2003-04-24 2003-04-24 Semiconductor integrated circuit design method and semiconductor integrated circuit design program

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003120437A JP2004326453A (en) 2003-04-24 2003-04-24 Semiconductor integrated circuit design method and semiconductor integrated circuit design program

Publications (1)

Publication Number Publication Date
JP2004326453A true JP2004326453A (en) 2004-11-18

Family

ID=33499344

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003120437A Pending JP2004326453A (en) 2003-04-24 2003-04-24 Semiconductor integrated circuit design method and semiconductor integrated circuit design program

Country Status (1)

Country Link
JP (1) JP2004326453A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006260299A (en) * 2005-03-17 2006-09-28 Fujitsu Ltd Layout method of semiconductor integrated circuit, and cell frame sharing program
JP2010129843A (en) * 2008-11-28 2010-06-10 Renesas Electronics Corp Method of generating cell data in semiconductor integrated circuit, and method of designing semiconductor integrated circuit
WO2012124117A1 (en) * 2011-03-17 2012-09-20 富士通株式会社 Timing error elimination method, design assistance device, and program

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006260299A (en) * 2005-03-17 2006-09-28 Fujitsu Ltd Layout method of semiconductor integrated circuit, and cell frame sharing program
JP4536559B2 (en) * 2005-03-17 2010-09-01 富士通セミコンダクター株式会社 Semiconductor integrated circuit layout method and cell frame sharing program.
JP2010129843A (en) * 2008-11-28 2010-06-10 Renesas Electronics Corp Method of generating cell data in semiconductor integrated circuit, and method of designing semiconductor integrated circuit
WO2012124117A1 (en) * 2011-03-17 2012-09-20 富士通株式会社 Timing error elimination method, design assistance device, and program
US8701064B2 (en) 2011-03-17 2014-04-15 Fujitsu Limited Timing error removing method and design support apparatus
JPWO2012124117A1 (en) * 2011-03-17 2014-07-17 富士通株式会社 Timing error elimination method, design support apparatus, and program

Similar Documents

Publication Publication Date Title
JP4940013B2 (en) Simultaneous operation signal noise estimation method and program for semiconductor device
JP2007027290A (en) Method for designing layout of semiconductor integrated circuit
US7178122B2 (en) Semiconductor integrated circuit, method of designing semiconductor integrated circuit, and device for designing the same
US6944809B2 (en) Methods of resource optimization in programmable logic devices to reduce test time
JP2005123537A (en) Semiconductor device and its manufacturing method
JP2004326453A (en) Semiconductor integrated circuit design method and semiconductor integrated circuit design program
US6938232B2 (en) Floorplanning apparatus deciding floor plan using logic seeds associated with hierarchical blocks
WO2001075687A9 (en) Method and apparatus to optimize an integrated circuit design using transistor folding
US7103858B2 (en) Process and apparatus for characterizing intellectual property for integration into an IC platform environment
JP2010212377A (en) Semiconductor integrated circuit designing device and semiconductor integrated circuit designing method
JPH1092939A (en) Method of auto placement and routing of semiconductor integrated circuit
JP3644413B2 (en) Element and wiring arrangement determination method
JP2715931B2 (en) Semiconductor integrated circuit design support method
JP4387338B2 (en) Semiconductor integrated circuit design method
JP2005032956A (en) Automatic layout wiring method for semiconductor integrated circuit
JP2009176823A (en) Semiconductor integrated circuit device
CN115081371A (en) FPGA layout method based on IP core layout range constraint
JPH10283378A (en) Automatic component arranging method
US20040194047A1 (en) Layout design apparatus
JP2004335589A (en) Semiconductor integrated circuit and method of designing its layout
JPH0555381A (en) Semiconductor integrated circuit design method and device
JP2912300B2 (en) ASIC layout method
US8638120B2 (en) Programmable gate array as drivers for data ports of spare latches
JP2007042991A (en) Semiconductor integrated circuit
JP2004207530A (en) Semiconductor integrated circuit and its layout design method