JP2715931B2 - Semiconductor integrated circuit design support method - Google Patents

Semiconductor integrated circuit design support method

Info

Publication number
JP2715931B2
JP2715931B2 JP6236540A JP23654094A JP2715931B2 JP 2715931 B2 JP2715931 B2 JP 2715931B2 JP 6236540 A JP6236540 A JP 6236540A JP 23654094 A JP23654094 A JP 23654094A JP 2715931 B2 JP2715931 B2 JP 2715931B2
Authority
JP
Japan
Prior art keywords
processing step
determined
grouping
processing
floor plan
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP6236540A
Other languages
Japanese (ja)
Other versions
JPH08101853A (en
Inventor
登志子 畑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP6236540A priority Critical patent/JP2715931B2/en
Publication of JPH08101853A publication Critical patent/JPH08101853A/en
Application granted granted Critical
Publication of JP2715931B2 publication Critical patent/JP2715931B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は半導体集積回路設計支援
方法に関し、特に自動配置配線により設計される半導体
集積回路に適用される半導体集積回路設計支援方法に関
する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor integrated circuit design support method, and more particularly to a semiconductor integrated circuit design support method applied to a semiconductor integrated circuit designed by automatic placement and routing.

【0002】[0002]

【従来の技術】近年半導体集積回路技術の向上により、
LSIの大規模化ならびに複合化が進展し、半導体集積
回路の集積度は益々高くなる傾向にある。現在、この種
の半導体集積回路の自動配置配線は、電子計算機処理に
より行われているが、その結果として得られる配線長に
よりシミュレーションを行い、所望の配置配線の要求条
件に適合しない場合には、再度配置配線をやり直してい
るのが実情である。このように、配置配線を繰返して行
うということは設計工数の増大を招き、設計の効率化を
阻害する。この対応策として、実際に自動配置配線を行
う前にフロアプランを行って配線長を予測し、当該予測
に基づいてのシミュレーションを行い、要求を満足させ
る回路設計が完了した時点において、実際の配置配線が
行われる。
2. Description of the Related Art In recent years, with the improvement of semiconductor integrated circuit technology,
As the scale of LSIs and the complexity of LSIs have advanced, the degree of integration of semiconductor integrated circuits has tended to increase further. At present, automatic placement and routing of this type of semiconductor integrated circuit is performed by computer processing, but simulation is performed based on the resulting wiring length, and if the required placement and routing requirements are not met, The fact is that the placement and routing is performed again. As described above, the repetition of the placement and routing causes an increase in design man-hours, and hinders efficient design. As a countermeasure, before performing the actual placement and routing, a floor plan is performed to predict the wiring length, a simulation is performed based on the prediction, and when a circuit design that satisfies the requirements is completed, the actual placement is completed. Wiring is performed.

【0003】従来の半導体集積回路設計支援方法として
は、特開平2−264451号公報に一つの手法が提案
されている。図3は、当該特開平2−264451号公
報に記載されている従来の半導体集積回路設計支援方法
の処理手順を示す流れ図である。図3において、まず処
理ステップ33においてフロアプラン概略図を手書きで
作成し、処理ステップ34においては前記フロアプラン
概略図の入力処理が行われる。次いで処理ステップ35
において、予め蓄えられているセルブロック内の標準ブ
ロックの中から、その仕様に適合するブロックがピック
アップされる。処理ステップ36においては、予め蓄え
られているフロアプラン知識ルールのデータが取り込ま
れ、処理ステップ37において、当該フロアプラン概略
図が前記フラアプラン知識ルールに沿っているか否かが
判断されて、当該フラアプラン知識ルールに適合する場
合には、処理ステップ41に移行して当該ルールに従っ
てレイアウト処理が行われ、自動配置配線処理は終了す
る。また、適合しない場合には、処理ステップ38に移
行して、前記ルールに適合するまでブロックおよびパッ
ド等の配置を変更する処理が行われる。次いで処理ステ
ップ39においては、処理ステップ38による変更結果
によるフラアプラン図をグラフィック・ディスプレイな
どに表示し、処理ステップ40において当該フロアプラ
ンの確認チェックが行われて、不適当な点があれば処理
ステップ34に戻り、再度処理ステップ34以降の修正
・再入力等を含む処理が繰返して行われる。また、処理
ステップ40において適当であると判断される場合に
は、処理ステップ41においてレイアウト処理が行わ
れ、自動配置配線処理は終了する。
[0003] As a conventional semiconductor integrated circuit design support method, one method is proposed in Japanese Patent Application Laid-Open No. 2-264451. FIG. 3 is a flowchart showing a processing procedure of a conventional semiconductor integrated circuit design support method described in Japanese Patent Application Laid-Open No. 2-264451. In FIG. 3, first, in a processing step 33, a floor plan schematic diagram is created by hand, and in a processing step 34, the floor plan schematic diagram is input. Then processing step 35
In the above, a block conforming to the specification is picked up from standard blocks in a cell block stored in advance. In the processing step 36, data of the floor plan knowledge rule stored in advance is fetched, and in the processing step 37, it is determined whether or not the schematic diagram of the floor plan complies with the flour plan knowledge rule. If the rule is satisfied, the process proceeds to processing step 41, where a layout process is performed according to the rule, and the automatic placement and routing process ends. If they do not match, the process proceeds to processing step 38, where the processing of changing the arrangement of blocks, pads, and the like is performed until the rules are satisfied. Next, in a processing step 39, a flare plan diagram based on the result of the change in the processing step 38 is displayed on a graphic display or the like. In a processing step 40, the floor plan is checked and checked. Then, the processing including the correction / re-input after the processing step 34 is repeated. On the other hand, if it is determined in the processing step 40 that it is appropriate, the layout processing is performed in the processing step 41, and the automatic placement and routing processing ends.

【0004】上記の処理ステップ39において、前記フ
ロアプラン知識ルールに従って、各ブロック間の最適な
配置配線を得るために、最適な配置を設定するためにと
られている手法としては、グルーピングがある。これ
は、論理回路上、接続関係が強い回路ブロック同士にグ
ループ分けを行い、その結果を配置配線ツールに対して
制約として与えて近接させて配置し、それらに含まれる
複数の回路ブロック間の配線長を、出来るだけ短かくし
ようとする方法である。この手法によって、接続関係の
強いブロック同士がグループ化され、これにより配置さ
れる領域が決定される。図4は、或る領域42に配置さ
れるグルーピングされたブロックの配置関係の一例を示
す模式図である。図4においては、グルーピングされた
ブロックa、b、cおよびdが領域42内に配置されて
いるが、本例の場合には、このグループ内の予測配線長
は、例えば(LX +Ly )として算出される。
[0004] In the above processing step 39, there is a grouping as a technique which is used for setting an optimal arrangement in order to obtain an optimal arrangement and wiring between blocks in accordance with the floor plan knowledge rule. This is because, on a logic circuit, circuit blocks having a strong connection relationship are grouped, and the result is given as a constraint to a placement and routing tool, placed close to each other, and the wiring between a plurality of circuit blocks included therein is set. It is a way to keep the length as short as possible. By this method, blocks having a strong connection relationship are grouped together, and an area to be arranged is determined by this. FIG. 4 is a schematic diagram illustrating an example of an arrangement relationship of grouped blocks arranged in a certain area 42. In FIG. 4, the grouped blocks a, b, c, and d are arranged in the region 42. In the case of this example, the predicted wiring length in this group is, for example, (L X + L y ). Is calculated as

【0005】[0005]

【発明が解決しようとする課題】上述した従来の半導体
集積回路設計支援方法においては、配線長の予測を行う
際に、グルーピングされたブロック群を囲む矩形の半周
長、即ち図4の例における(LX +Ly )を用いている
ために、小さい矩形にブロック群が含まれていればいる
程、即ちグループ内ブロック使用率が高ければ高い程、
そのグループに含まれるブロック間の予測配線長は短か
く算出される結果となる。しかし、グループ内ブロック
使用率が高過ぎると、実際にそれらのブロックの配置・
配線処理を行う場合に、ブロックの配置が混雑して配線
処理が困難となり、余計に迂回する配線が増加して実配
線長が長くなってしまったり、最悪の場合には、配線処
理が一部不可能になることもあり得る。また、設計者が
未配線が発生することを恐れて、グルーピングの領域を
大きく設定し過ぎたような場合には、ブロックが近接し
て配置されることなく、結果として、配線長が冗長にな
ってしまい、設計の要求を満たし得ない結果となる。従
って、これらの要因により、半導体集積回路の設計精度
が低下するとともに、設計工数として多大の時間を要す
るという欠点がある。
In the conventional semiconductor integrated circuit design support method described above, when estimating the wiring length, the half-perimeter of the rectangle surrounding the grouped blocks, that is, ( L X + L y ), the smaller the rectangle includes the block group, that is, the higher the block use rate within the group,
As a result, the predicted wiring length between the blocks included in the group is calculated to be short. However, if the block usage rate within the group is too high,
When performing the wiring processing, the arrangement of the blocks becomes congested and the wiring processing becomes difficult, and the number of detours increases, and the actual wiring length becomes longer. In the worst case, the wiring processing is partially performed. It can be impossible. In addition, if the designer sets the grouping area too large for fear of unwiring, blocks are not arranged close to each other, resulting in a redundant wiring length. As a result, the design cannot be satisfied. Therefore, there are drawbacks that these factors reduce the design accuracy of the semiconductor integrated circuit and require a great deal of time as design man-hours.

【0006】本発明の目的は、上記欠点を排除する半導
体集積回路設計支援装置を提供することにある。
An object of the present invention is to provide a semiconductor integrated circuit design support apparatus which eliminates the above-mentioned disadvantages.

【0007】[0007]

【課題を解決するための手段】第1の発明の半導体集積
回路設計支援方法は、電子計算機によりフロアプランを
行う半導体集積回路設計支援方法において、半導体集積
回路を形成する論理回路のデータ入力を受けて、所定の
ブロックデータを参照し、論理回路ブロック、メモリブ
ロック、電源回路およびパッド等を含む回路構成要素の
フロアプランを行う第1の処理ステップと、前記第1の
処理ステップによる解析結果に基づいて、前記論理回路
ブロックをグループ化するとともに、各論理回路ブロッ
クに含まれるブロックの配置される領域を設定する第2
の処理ステップと、前記第2の処理ステップにおいて各
論理回路グループに設定された領域の大きさを参照し
て、当該領域の半周長をパラメータとして抽出する第3
の処理ステップと、前記パラメータと、予めフロアプラ
ンデータベースとして保持されているフロアプランのパ
ラメータならびに実配置配線の結果の配線長に関する相
関関係とを照合して、前記第2の処理ステップによるグ
ループ化の正当性が判定され、正当性なしと判定される
場合には前記第2の処理ステップに戻り、正当性ありと
判定される場合には次の第5の処理ステップに移行する
第4の処理ステップと、前記第4の処理ステップにおい
て、グループ化の正当性ありと判定される場合に、必要
なグルーピングが全て完了しているか否かが判定され、
完了していない場合には前記第2の処理ステップに戻
り、完了している場合には次の第6の処理ステップに移
行する第5の処理ステップと、前記第5の処理ステップ
において、必要なグルーピングが全て完了していると判
定される場合に、予測配線長を見積る第6の処理ステッ
プとを有することを特徴としている。
According to a first aspect of the present invention, there is provided a semiconductor integrated circuit design support method for performing a floor plan by an electronic computer. The method receives a data input of a logic circuit forming a semiconductor integrated circuit. A first processing step of referring to predetermined block data and performing a floor plan of a circuit component including a logic circuit block, a memory block, a power supply circuit, a pad, and the like; and an analysis result obtained by the first processing step. A second area for grouping the logic circuit blocks and setting an area where blocks included in each logic circuit block are arranged.
And a third step of extracting the half circumference of the area as a parameter by referring to the size of the area set in each logic circuit group in the second processing step.
And comparing the parameters with the floorplan parameters previously stored as a floorplan database and the correlation relating to the wiring length as a result of the actual placement and routing, and performing the grouping by the second processing step. If the validity is determined, and if it is determined that there is no validity, the process returns to the second processing step, and if it is determined that there is validity, the process proceeds to the next fifth processing step. And, in the fourth processing step, when it is determined that the grouping is valid, it is determined whether or not all necessary groupings are completed.
If the processing is not completed, the process returns to the second processing step. If the processing is completed, the processing proceeds to the next sixth processing step. And a sixth processing step of estimating the estimated wiring length when it is determined that all the groupings have been completed.

【0008】なお、前記第4の処理ステップにおいて、
正当性なしと判定される場合に、正当性なしとの警告を
表示するとともに、前記第2の処理ステップに戻るよう
にしてもよい。
[0008] In the fourth processing step,
When it is determined that there is no validity, a warning that there is no validity may be displayed, and the process may return to the second processing step.

【0009】また、第2の発明の半導体集積回路設計支
援方法は、電子計算機によりフロアプランを行う半導体
集積回路設計支援方法において、半導体集積回路を形成
する論理回路のデータ入力を受けて、所定のブロックデ
ータを参照し、論理回路ブロック、メモリブロック、電
源回路およびパッド等を含む回路構成要素のフロアプラ
ンを行う第1の処理ステップと、前記第1の処理ステッ
プによる解析結果に基づいて、前記論理回路ブロックを
グループ化するとともに、各論理回路ブロックに含まれ
るブロックの配置される領域を設定する第2の処理ステ
ップと、前記第2の処理ステップにおいて各論理回路グ
ループに設定された領域の大きさを参照して、当該領域
の半周長をパラメータとして抽出する第3の処理ステッ
プと、前記パラメータと、予めフロアプランデータベー
スとして保持されているフロアプランのパラメータなら
びに実配置配線の結果の配線長に関する相関関係とを照
合して、前記第2の処理ステップによるグループ化の正
当性が判定され、正当性なしと判定される場合には第5
の処理ステップに移行し、正当性ありと判定される場合
には第7の処理ステップに移行する第4の処理ステップ
と、前記第4の処理ステップにおいて、グループ化の正
当性なしと判定される場合に、前記フロアプランデータ
ベースに基づいて、適切なグループ化の修正案を提示す
る前記第5の処理ステップと、前記第5の処理ステップ
において提示されるグループ化の修正案が設計者の要求
に適合するか否かが判定され、適合しないと判定される
場合には、前記第5の処理ステップまたは前記第2の処
理ステップに戻り、適合するものと判定される場合に
は、前記7の処理ステップに移行する第6の処理ステッ
プと、前記第4の処理ステップにおいてグループ化の正
当性ありと判定される場合、ならびに前記第6の処理ス
テップにおいて設計者の要求に適合するものと判定され
る場合に、必要なグルーピングが全て完了しているか否
かが判定され、完了していない場合には前記第2の処理
ステップに戻り、完了している場合には次の第8処理ス
テップに移行する前記第7の処理ステップと、前記第7
の処理ステップにおいて、必要なグルーピングが全て完
了していると判定される場合に、予測配線長を見積る第
8の処理ステップとを有することを特徴としている。
According to a second aspect of the present invention, there is provided a semiconductor integrated circuit design supporting method for performing a floor plan by an electronic computer. A first processing step of performing a floor plan of a circuit component including a logic circuit block, a memory block, a power supply circuit, a pad, and the like with reference to block data; A second processing step of grouping circuit blocks and setting an area where blocks included in each logic circuit block are arranged, and a size of an area set in each logic circuit group in the second processing step A third processing step of extracting a half circumference of the area as a parameter with reference to And the correlation between the floor plan parameters stored in advance as the floor plan database and the wiring length as a result of the actual placement and routing, and the validity of the grouping in the second processing step is determined. If it is determined that there is no validity, the fifth
And the fourth processing step proceeds to the seventh processing step when it is determined that there is legitimacy. In the fourth processing step, it is determined that the grouping is not valid. In this case, based on the floor plan database, the fifth processing step of presenting an appropriate grouping correction plan, and the grouping correction plan presented in the fifth processing step are requested by a designer. It is determined whether or not they match, and if it is determined that they do not match, the process returns to the fifth processing step or the second processing step. If it is determined that they match, the processing of step 7 is performed. A sixth processing step that shifts to a step, a case where it is determined that the grouping is valid in the fourth processing step, and a design in the sixth processing step. If it is determined that the request meets the requirements, it is determined whether or not all necessary groupings have been completed. If not, the process returns to the second processing step. The seventh processing step proceeds to the next eighth processing step, and the seventh processing step
And the eighth processing step of estimating the estimated wiring length when it is determined that all the necessary groupings have been completed.

【0010】[0010]

【実施例】次に、本発明について図面を参照して説明す
る。
Next, the present invention will be described with reference to the drawings.

【0011】図1は本発明の一実施例における処理手順
を示すフローチャートである。図1において、まず処理
ステップ10において、半導体集積回路として設計の対
象となる論理回路データが入力される。次いで処理ステ
ップ11においては、前記論理回路を構成するブロック
データが格納されるファイル12より、当該ブロックデ
ータが読み出され、このブロックデータを用いて、前記
論理回路に含まれるブロックの個数、接続関係、ピンペ
ア数および各ブロックの大きさが解析される。処理ステ
ップ13においては、処理ステップ11における解析結
果に基づいて、接続関係の強いブロック同士がそれぞれ
複数のブロック群に区分けされ、それぞれのグループ群
に対して、当該グループ群に含まれる複数のブロックが
配置される領域が、当該領域の大きさとして与えられ
る。この場合に、前記領域を固定して与えるか、または
領域の半周長などを与えることにより、形状は可変の状
態としておくものとする。そして、それらのグループ群
の領域のチップ上における配置位置を決定するか、また
は任意の範囲を指定して、その範囲内に配置するように
指定するか、或はまたはチップ上でのグループの配置位
置は指定せずに、自動配置配線処理装置において配置位
置を決定させるようにすることもできる。このようにし
て決定されたグループ群に対して、処理ステップ14に
おいては、パラメータの抽出が行われる。ここで云うパ
ラメータとは、グループ群の領域の大きさ、半周長を指
している。このパラメータは、一旦ファイル15に格納
される。次いで処理ステップ17においては、ファイル
15より読み出される前記パラメータ、ならびに既に処
理ステップ11において解析されて得られている各ブロ
ック群に関する情報が、ファイル16に予め格納されて
いるフロアプラン結果、ならびにそれを実際に配置配線
した結果の相関関係を示すフロアプランデータべースに
照会される。このフロアプランデータベースには、様々
なデータによりフロアプランが行われ、グルーピングが
実行されて配線長の予測が行われ、各グループ群のパラ
メータが抽出されて、その後、これらのデータにより実
際に配置配線処理が行われて実際の配線長が抽出され、
これらの予測配線長、実配線長およびグルーピングのパ
ラメータの相関関係を求めた結果が含まれている。処理
ステップ17においては、このフロアプランデータベー
スの内容と照合して、前記グルーピングが適切であるか
否かが判定され、不適切であると判定された場合には、
その結果がディスプレイ18に警告表示され、この警告
に従って、再度処理ステップ13に戻り、処理ステップ
14および17を通してグルーピング処理がやり直され
る。このグルーピング処理は、処理ステップ17におい
て、適切なグルーピングであると判定されるまで繰返し
て行われる。処理ステップ17において適切なグルーピ
ングであると判定されると、処理ステップ19におい
て、全てのグループ群に対するグルーピング処理が終了
しているか否かの判定が行われて、終了していない場合
には再度処理ステップ13に戻り、次のグループ群に対
するグルーピング処理が行われる。また全ての必要なグ
ルーピング処理が終了している場合には、処理ステップ
20において予測配線長の見積りが行われる。
FIG. 1 is a flowchart showing a processing procedure in one embodiment of the present invention. In FIG. 1, first, in processing step 10, logic circuit data to be designed as a semiconductor integrated circuit is input. Next, in a processing step 11, the block data is read from a file 12 in which the block data constituting the logic circuit is stored, and the number of blocks included in the logic circuit and the connection relationship are read using the block data. , The number of pin pairs and the size of each block are analyzed. In the processing step 13, the blocks having a strong connection relationship are respectively divided into a plurality of block groups based on the analysis result in the processing step 11, and for each group group, a plurality of blocks included in the group group are determined. The area to be arranged is given as the size of the area. In this case, the shape is made to be variable by giving the area fixedly or by giving a half circumference of the area. Then, the arrangement position of the area of the group group on the chip is determined, or an arbitrary range is designated, and the area is designated to be arranged within the range, or the group is arranged on the chip. It is also possible to have the automatic placement and routing apparatus determine the placement position without specifying the position. In the processing step 14, parameters are extracted from the group group determined in this way. The parameters referred to here indicate the size and half circumference of the group group area. This parameter is temporarily stored in the file 15. Next, in the processing step 17, the parameters read out from the file 15 and the information on each block group already analyzed and obtained in the processing step 11 are stored in the file 16 in advance, and the floor plan results are stored in the file 16. An inquiry is made to a floor plan database showing the correlation between the results of the actual placement and routing. In this floor plan database, floor planning is performed based on various data, grouping is performed, a wiring length is predicted, parameters of each group are extracted, and thereafter, actual placement and routing are performed based on these data. The process is performed to extract the actual wire length,
The result includes the correlation between the estimated wiring length, the actual wiring length, and the grouping parameter. In the processing step 17, it is determined whether or not the grouping is appropriate by comparing with the contents of the floor plan database, and when it is determined that the grouping is inappropriate,
The result is displayed on the display 18 as a warning. In accordance with the warning, the process returns to the processing step 13 again, and the grouping processing is performed again through the processing steps 14 and 17. This grouping process is repeatedly performed until it is determined in step 17 that the grouping is appropriate. If it is determined in the processing step 17 that the grouping is appropriate, it is determined in the processing step 19 whether or not the grouping processing for all the group groups has been completed, and if not, the processing is performed again. Returning to step 13, grouping processing is performed on the next group. If all necessary grouping processes have been completed, the estimated wiring length is estimated in processing step 20.

【0012】図2は、本発明の第2の実施例における処
理手順を示すフローチャートである。図2において、ま
ず処理ステップ21において、半導体集積回路として設
計の対象となる論理回路データが入力される。次いで処
理ステップ22においては、前記論理回路を構成するブ
ロックデータが格納されるファイル23より、当該ブロ
ックデータが読み出され、このブロックデータを用い
て、前記論理回路に含まれるブロックの個数、接続関
係、ピンペア数および各ブロックの大きさが解析され
る。処理ステップ24においては、処理ステップ22に
おける解析結果に基づいて、接続関係の強いブロック同
士がそれぞれ複数のブロック群に区分けされ、それぞれ
のグループ群に対して、当該グループ群に含まれる複数
のブロックが配置される領域が、当該領域の大きさとし
て与えられる。この場合に、前記領域を固定して与える
か、または領域の半周長などを与えることにより、形状
は可変の状態としておくものとする。そして、それらの
グループ群の領域のチップ上における配置位置を決定す
るか、または任意の範囲を指定して、その範囲内に配置
するように指定するか、或はまたはチップ上でのグルー
プの配置位置は指定せずに、自動配置配線処理装置にお
いて配置位置を決定させるようにすることもできる。こ
のようにして決定されたグループ群に対して、処理ステ
ップ25においては、パラメータの抽出が行われる。こ
のパラメータは、一旦ファイル26に格納される。次い
で処理ステップ28においては、ファイル26より読み
出される前記パラメータ、ならびに既に処理ステップ2
2において解析されて得られている各ブロック群に関す
る情報が、ファイル27に予め格納されているフロアプ
ラン結果、ならびにそれを実際に配置配線した結果の相
関関係を示すフロアプランデータべースに照会される。
このフロアプランデータベースには、様々なデータによ
りフロアプランを行われてグルーピングが実行されて、
配線長の予測が行われ、各グループ群のパラメータが抽
出されて、その後、これらのデータにより実際に配置配
線処理が行われて実際の配線長が抽出され、これらの予
測配線長、実配線長およびグルーピングのパラメータの
相関関係を求めた結果が含まれている。処理ステップ2
8においては、このフロアプランデータベースの内容と
照合して、前記グルーピングが適切であるか否かが判定
され、不適切であると判定された場合には、処理ステッ
プ29に移行し、適切であると判定された場合には処理
ステップ31に移行する。なお、上記の処理ステップ2
1がら処理ステップ28までの処理ステップの内容は、
前述の第1の実施例の場合と同様である。処理ステップ
29においては、前記グルーピングが不適切であると判
定された場合に対応して、当該グルーピングの改良処理
が行われる。この改良処理においては、フロアプランデ
ータベースに基づき、グループ内ブロック面積率の調整
や、グループの領域の形状などをより適切なものに修正
した修正案として提示される。次いで処理ステップ30
においては、前記修正案が設計者の要求に反するもので
あるか否かが判定され、当該要求に反するものである場
合には、処理ステップ29に戻り他の修正案を提示させ
るか、または処理ステップ24に戻り、再度グルーピン
グ処理が上記の処理ステップを繰返すことにより行われ
る。このグルーピング処理は、処理ステップ30におい
て、適切なグルーピングであると判定されるまで繰返し
て行われる。処理ステップ30において、前記修正案が
設計者の要求に適合するものであると判定されると、処
理ステップ31において、全てのグループ群に対するグ
ルーピング処理が終了しているか否かの判定が行われ
て、終了していない場合には再度処理ステップ24に戻
り、次のグループ群に対するグルーピング処理が行われ
る。また全ての必要なグルーピング処理が終了している
場合には、処理ステップ31において予測配線長の見積
りが行われる。なお処理ステップ28において、グルー
ピングが適切であると判定された場合においても、処理
ステップ31において、全てのグループ群に対するグル
ーピング処理が終了しているか否かの判定が行われて、
終了していない場合には再度処理ステップ24に戻り、
次のグループ群に対するグルーピング処理が行われる。
FIG. 2 is a flowchart showing a processing procedure in the second embodiment of the present invention. In FIG. 2, first, in processing step 21, logic circuit data to be designed as a semiconductor integrated circuit is input. Next, in processing step 22, the block data is read from the file 23 storing the block data constituting the logic circuit, and the number of blocks included in the logic circuit and the connection relation are read using the block data. , The number of pin pairs and the size of each block are analyzed. In processing step 24, based on the analysis result in processing step 22, the blocks having a strong connection relationship are respectively divided into a plurality of block groups, and for each group group, a plurality of blocks included in the group group are determined. The area to be arranged is given as the size of the area. In this case, the shape is made to be variable by giving the area fixedly or by giving a half circumference of the area. Then, the arrangement position of the area of the group group on the chip is determined, or an arbitrary range is designated, and the area is designated to be arranged within the range, or the group is arranged on the chip. It is also possible to have the automatic placement and routing apparatus determine the placement position without specifying the position. In the processing step 25, parameters are extracted from the group group determined in this way. This parameter is temporarily stored in the file 26. Next, in processing step 28, the parameters read from the file 26 and the processing steps 2
The information about each block group obtained by analysis in step 2 is referred to a floor plan database stored in advance in the file 27 and a floor plan database showing the correlation between the results of actual placement and routing of the floor plan. Is done.
In this floor plan database, a floor plan is performed based on various data and grouping is performed.
The wiring length is predicted, the parameters of each group are extracted, and then the actual wiring length is extracted based on these data to extract the actual wiring length. And the result of obtaining the correlation between the grouping parameters. Processing step 2
In step 8, it is determined whether or not the grouping is appropriate by comparing with the contents of the floor plan database. If it is determined that the grouping is inappropriate, the process proceeds to processing step 29 and is appropriate. If determined to be, the process proceeds to processing step 31. Note that the above processing step 2
The contents of the processing steps up to the processing step 28 are:
This is the same as in the first embodiment. In processing step 29, in response to the determination that the grouping is inappropriate, the grouping is improved. In this improvement processing, adjustment is made based on the floor plan database to adjust the block area ratio in the group, or to modify the shape of the group area to a more appropriate one, and the correction plan is presented. Then processing step 30
In the above, it is determined whether or not the amendment is against the request of the designer. If the amendment is against the request, the process returns to the process step 29 to present another amendment, or Returning to step 24, the grouping process is performed again by repeating the above processing steps. This grouping process is repeatedly performed until it is determined in step 30 that the grouping is appropriate. If it is determined in the processing step 30 that the modification plan meets the requirement of the designer, it is determined in the processing step 31 whether or not the grouping processing for all the group groups has been completed. If the processing has not been completed, the flow returns to the processing step 24 again, and the grouping processing for the next group is performed. If all the necessary grouping processes have been completed, the estimated wiring length is estimated in processing step 31. Even in the case where it is determined in the processing step 28 that the grouping is appropriate, it is determined in the processing step 31 whether or not the grouping processing for all the group groups has been completed.
If not, the process returns to the processing step 24 again.
A grouping process is performed on the next group.

【0013】[0013]

【発明の効果】以上説明したように、本発明は、複数の
論理回路を含む回路に対して、接続関係の強いブロック
同士を、出来るだけ短かい配線により接続するために施
されるグルーピング処理に対応して、様々のデータに対
して既に得られているフロアプランによるグルーピング
のパラメータと、予測配線長および実際の配置配線によ
る実配線長の相関関係を示すフロアプランデータベース
とを照会することにより、より最適なグルーピングを行
うことが可能になるとともに、予測配線長の精度が向上
し、実配線後において再設計を行うという設計期間の損
失が排除されて、設計期間の短縮を図ることができると
いう効果がある。
As described above, the present invention relates to a grouping process which is performed to connect blocks having a strong connection relationship to a circuit including a plurality of logic circuits by wiring as short as possible. Correspondingly, by querying the grouping parameters according to the floorplan already obtained for various data and the floorplan database showing the correlation between the predicted wiring length and the actual wiring length due to the actual placement and routing, It is possible to perform more optimal grouping, improve the accuracy of the estimated wiring length, eliminate the loss of the design period of performing redesign after actual wiring, and reduce the design period. effective.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1の実施例における処理手順のフロ
ーチャートを示す図である。
FIG. 1 is a diagram showing a flowchart of a processing procedure in a first embodiment of the present invention.

【図2】本発明の第2の実施例における処理手順のフロ
ーチャートを示す図である。
FIG. 2 is a diagram showing a flowchart of a processing procedure in a second embodiment of the present invention.

【図3】従来例における処理手順のフローチャートを示
す図である。
FIG. 3 is a diagram showing a flowchart of a processing procedure in a conventional example.

【図4】グループ化の模式図である。FIG. 4 is a schematic diagram of grouping.

【符号の説明】[Explanation of symbols]

10、21、34 データ入力処理 11、22 データ解析処理 12、15、16、23、26、27 ファイル 13、24 グルーピング処理 14、25 パラメータ抽出処理 17、28 フロアプラン判定処理 18 警告表示 19、31 グルーピング終了判定処理 20、32 予測配線長見積処理 29 グルーピング改良処理 30 グルーピング改良判定処理 33 フロアプラン概略作成処理 35 機能ブロック抽出処理 36 フロアプラン知識ルール処理 37 フロアプラン知識ルール判定処理 38 プロック・パッド変更処理 39 フロアプラン出力・メッセージ処理 40 確認判定処理 41 レイアウト処理 42 領域 10, 21, 34 Data input processing 11, 22 Data analysis processing 12, 15, 16, 23, 26, 27 File 13, 24 Grouping processing 14, 25 Parameter extraction processing 17, 28 Floor plan determination processing 18 Warning display 19, 31 Grouping end determination processing 20, 32 Predicted wiring length estimation processing 29 Grouping improvement processing 30 Grouping improvement determination processing 33 Floorplan outline creation processing 35 Functional block extraction processing 36 Floorplan knowledge rule processing 37 Floorplan knowledge rule determination processing 38 Block pad change Processing 39 Floor plan output / message processing 40 Confirmation judgment processing 41 Layout processing 42 Area

Claims (3)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 電子計算機によりフロアプランを行う半
導体集積回路設計支援方法において、 半導体集積回路を形成する論理回路のデータ入力を受け
て、所定のブロックデータを参照し、論理回路ブロッ
ク、メモリブロック、電源回路およびパッド等を含む回
路構成要素のフロアプランを行う第1の処理ステップ
と、 前記第1の処理ステップによる解析結果に基づいて、前
記論理回路ブロックをグループ化するとともに、各論理
回路ブロックに含まれるブロックの配置される領域を設
定する第2の処理ステップと、 前記第2の処理ステップにおいて各論理回路グループに
設定された領域の大きさを参照して、当該領域の半周長
をパラメータとして抽出する第3の処理ステップと、 前記パラメータと、予めフロアプランデータベースとし
て保持されているフロアプランのパラメータならびに実
配置配線の結果の配線長に関する相関関係とを照合し
て、前記第2の処理ステップによるグループ化の正当性
が判定され、正当性なしと判定される場合には前記第2
の処理ステップに戻り、正当性ありと判定される場合に
は次の第5の処理ステップに移行する第4の処理ステッ
プと、 前記第4の処理ステップにおいて、グループ化の正当性
ありと判定される場合に、必要なグルーピングが全て完
了しているか否かが判定され、完了していない場合には
前記第2の処理ステップに戻り、完了している場合には
次の第6の処理ステップに移行する第5の処理ステップ
と、 前記第5の処理ステップにおいて、必要なグルーピング
が全て完了していると判定される場合に、予測配線長を
見積る第6の処理ステップと、 を有することを特徴とする半導体集積回路設計支援方
法。
1. A semiconductor integrated circuit design support method for performing a floor plan by an electronic computer, comprising the steps of: receiving data input of a logic circuit forming a semiconductor integrated circuit, referring to predetermined block data, and executing a logic circuit block, a memory block, A first processing step of performing a floor plan of a circuit component including a power supply circuit, a pad, and the like; and grouping the logic circuit blocks based on an analysis result of the first processing step. A second processing step of setting an area in which the included block is arranged; and referring to a size of the area set in each logic circuit group in the second processing step, using a half circumference of the area as a parameter. A third processing step to be extracted; the parameters; and a pre-stored as a floor plan database. When the validity of the grouping by the second processing step is determined by comparing the floor plan parameters and the correlation relating to the wiring length of the result of the actual placement and routing, and if it is determined that there is no validity, Is the second
Returning to the processing step, if it is determined that there is validity, the fourth processing step proceeds to the next fifth processing step; and in the fourth processing step, it is determined that the grouping is valid. In this case, it is determined whether or not all necessary groupings have been completed. If not, the process returns to the second processing step. If completed, the process proceeds to the next sixth processing step. A fifth processing step to be shifted; and a sixth processing step for estimating a predicted wiring length when it is determined in the fifth processing step that all necessary grouping is completed. Semiconductor integrated circuit design support method.
【請求項2】 前記第4の処理ステップにおいて、正当
性なしと判定される場合に、正当性なしとの警告を表示
するとともに、前記第2の処理ステップに戻る請求項1
記載の半導体集積回路設計支援方法。
2. In the fourth processing step, when it is determined that there is no validity, a warning indicating that there is no validity is displayed, and the process returns to the second processing step.
13. The method for supporting design of a semiconductor integrated circuit according to the above.
【請求項3】 電子計算機によりフロアプランを行う半
導体集積回路設計支援方法において、 半導体集積回路を形成する論理回路のデータ入力を受け
て、所定のブロックデータを参照し、論理回路ブロッ
ク、メモリブロック、電源回路およびパッド等を含む回
路構成要素のフロアプランを行う第1の処理ステップ
と、 前記第1の処理ステップによる解析結果に基づいて、前
記論理回路ブロックをグループ化するとともに、各論理
回路ブロックに含まれるブロックの配置される領域を設
定する第2の処理ステップと、 前記第2の処理ステップにおいて各論理回路グループに
設定された領域の大きさを参照して、当該領域の半周長
をパラメータとして抽出する第3の処理ステップと、 前記パラメータと、予めフロアプランデータベースとし
て保持されているフロアプランのパラメータならびに実
配置配線の結果の配線長に関する相関関係とを照合し
て、前記第2の処理ステップによるグループ化の正当性
が判定され、正当性なしと判定される場合には第5の処
理ステップに移行し、正当性ありと判定される場合には
第7の処理ステップに移行する第4の処理ステップと、 前記第4の処理ステップにおいて、グループ化の正当性
なしと判定される場合に、前記フロアプランデータベー
スに基づいて、適切なグループ化の修正案を提示する前
記第5の処理ステップと、 前記第5の処理ステップにおいて提示されるグループ化
の修正案が設計者の要求に適合するか否かが判定され、
適合しないと判定される場合には、前記第5の処理ステ
ップまたは前記第2の処理ステップに戻り、適合するも
のと判定される場合には、前記7の処理ステップに移行
する第6の処理ステップと、 前記第4の処理ステップにおいてグループ化の正当性あ
りと判定される場合、ならびに前記第6の処理ステップ
において設計者の要求に適合するものと判定される場合
に、必要なグルーピングが全て完了しているか否かが判
定され、完了していない場合には前記第2の処理ステッ
プに戻り、完了している場合には次の第8処理ステップ
に移行する前記第7の処理ステップと、 前記第7の処理ステップにおいて、必要なグルーピング
が全て完了していると判定される場合に、予測配線長を
見積る第8の処理ステップと、 を有することを特徴とする半導体集積回路設計支援方
法。
3. A semiconductor integrated circuit design supporting method for performing a floor plan by an electronic computer, comprising the steps of: receiving data input of a logic circuit forming a semiconductor integrated circuit; A first processing step of performing a floor plan of a circuit component including a power supply circuit, a pad, and the like; and grouping the logic circuit blocks based on an analysis result of the first processing step. A second processing step of setting an area in which the included block is arranged; and referring to a size of the area set in each logic circuit group in the second processing step, using a half circumference of the area as a parameter. A third processing step to be extracted; the parameters; and a pre-stored as a floor plan database. When the validity of the grouping by the second processing step is determined by comparing the floor plan parameters and the correlation relating to the wiring length of the result of the actual placement and routing, and if it is determined that there is no validity, Shifts to a fifth processing step, and if determined to be valid, shifts to a seventh processing step; and in the fourth processing step, a determination is made that there is no validity of grouping. If determined, the fifth processing step of presenting an appropriate grouping correction plan based on the floor plan database; and the grouping correction plan presented in the fifth processing step is a designer Is determined to meet the requirements of
When it is determined that they do not match, the process returns to the fifth processing step or the second processing step, and when it is determined that they match, the sixth processing step proceeds to the processing step of 7 When it is determined in the fourth processing step that the grouping is valid, and when it is determined in the sixth processing step that the grouping meets the requirements of the designer, all necessary grouping is completed. It is determined whether or not the processing has been completed. If the processing has not been completed, the processing returns to the second processing step; if completed, the processing proceeds to the next eighth processing step, the seventh processing step; In a seventh processing step, when it is determined that all necessary groupings are completed, an eighth processing step of estimating a predicted wiring length is provided. Conductor integrated circuit design support method.
JP6236540A 1994-09-30 1994-09-30 Semiconductor integrated circuit design support method Expired - Lifetime JP2715931B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP6236540A JP2715931B2 (en) 1994-09-30 1994-09-30 Semiconductor integrated circuit design support method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP6236540A JP2715931B2 (en) 1994-09-30 1994-09-30 Semiconductor integrated circuit design support method

Publications (2)

Publication Number Publication Date
JPH08101853A JPH08101853A (en) 1996-04-16
JP2715931B2 true JP2715931B2 (en) 1998-02-18

Family

ID=17002190

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6236540A Expired - Lifetime JP2715931B2 (en) 1994-09-30 1994-09-30 Semiconductor integrated circuit design support method

Country Status (1)

Country Link
JP (1) JP2715931B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5239638B2 (en) * 2008-08-28 2013-07-17 日本電気株式会社 Semiconductor integrated circuit design method and semiconductor integrated circuit design apparatus

Also Published As

Publication number Publication date
JPH08101853A (en) 1996-04-16

Similar Documents

Publication Publication Date Title
US6966045B2 (en) Method and computer program product for estimating wire loads
JPH01166261A (en) Design system for semiconductor integrated circuit
US6513149B1 (en) Routing balanced clock signals
US6698000B2 (en) Semiconductor process parameter determining method, semiconductor process parameter determining system, and semiconductor process parameter determining program
CN117151003B (en) FPGA layout method and device based on clock domain division
US6971082B2 (en) Method and apparatus for revising wiring of a circuit to prevent electro-migration
JP2715931B2 (en) Semiconductor integrated circuit design support method
US6195787B1 (en) Layout designing method for semiconductor integrated circuits
JPH0793386A (en) Lsi package designing system
US20040153987A1 (en) Method and system for connecting computer-generated rectangles
JP3230233B2 (en) Semiconductor integrated circuit design apparatus, wiring control method thereof, and storage medium storing wiring control program
JP2000029919A (en) Method and system for improving logic circuit
JP2005129869A (en) Method of designing semiconductor integrated circuit
JP4071546B2 (en) Circuit design support apparatus and layout change method for semiconductor device
JP2957708B2 (en) Method and apparatus for inspecting output dots of semiconductor device
JPH10283378A (en) Automatic component arranging method
JP2630218B2 (en) Circuit design equipment
JPH0540802A (en) Arrangement design system
JPH0535822A (en) Arrangement designing system
JP2000231577A (en) Part layout device and its method
JPH10326835A (en) Layout of lis
JP2788763B2 (en) Semiconductor design apparatus and method
JP3095307B2 (en) Automatic electric component placement apparatus and automatic electric component placement method
JP2002164435A (en) Method for designing layout
JP2002215704A (en) Method and device for determining terminal position in module

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19971007