JP2004279570A - Method for forming pattern and method for manufacturing semiconductor device - Google Patents

Method for forming pattern and method for manufacturing semiconductor device Download PDF

Info

Publication number
JP2004279570A
JP2004279570A JP2003068379A JP2003068379A JP2004279570A JP 2004279570 A JP2004279570 A JP 2004279570A JP 2003068379 A JP2003068379 A JP 2003068379A JP 2003068379 A JP2003068379 A JP 2003068379A JP 2004279570 A JP2004279570 A JP 2004279570A
Authority
JP
Japan
Prior art keywords
film
pattern
resist
forming
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003068379A
Other languages
Japanese (ja)
Inventor
Shigeo Irie
重夫 入江
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Leading Edge Technologies Inc
Original Assignee
Semiconductor Leading Edge Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Leading Edge Technologies Inc filed Critical Semiconductor Leading Edge Technologies Inc
Priority to JP2003068379A priority Critical patent/JP2004279570A/en
Publication of JP2004279570A publication Critical patent/JP2004279570A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To form a desired pattern on a substrate to be processed by forming a resist pattern having no tilt or collapse, and to manufacture a semiconductor device by using the method for forming a pattern. <P>SOLUTION: An antireflection film 2 containing an acidic substance or a basic substance is formed on a semiconductor substrate 1, and a chemically amplifying resist film 3 containing an acid generating agent is formed on the antireflection film 2. The resist film 3 is irradiated with exposure light through a specified mask, heated and developed to form a resist pattern 8. The cross section of the resist pattern 8 has structure composed of a tapered part 8a in contact with the antireflection film 3 and a square part 8b on the tapered part 8a. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

【0001】
【発明の属する技術分野】
本発明は、パターン形成方法および半導体装置の製造方法に関し、より詳しくは、化学増幅型のレジストを使用するパターン形成方法および半導体装置の製造方法に関する。
【0002】
【従来の技術】
近年、半導体装置の集積度の増加に伴い個々の素子の寸法は微小化が進み、各素子を構成する配線やゲートなどの幅も微細化されている。
【0003】
この微細化を支えているフォトリソグラフィ技術には、被加工基板表面にレジスト組成物を塗布してレジスト膜を形成する工程、光を照射して所定のレジストパターンを露光することによりレジストパターン潜像を形成する工程、必要に応じ加熱処理する工程、次いでこれを現像して所望のレジストパターンを形成する工程、および、このレジストパターンをマスクとして被加工基板に対してエッチングなどの加工を行う工程が含まれる。
【0004】
このようなフォトリソグラフィ技術を用いて、微細なデザイン・ルールを有する半導体装置を製造するに際しては、微細なレジストパターンを形成することが必要となる。
【0005】
レジストパターンの微細化を図る手段の一つとして、上記のレジストパターン潜像を形成する際に使用される露光光の短波長化が進められている。
【0006】
従来、例えば64Mビットまでの集積度のDRAM(Dynamic Random Access Memory)の製造には、高圧水銀灯のi線(波長:365nm)が光源として使用されてきた。近年では、256メガビットDRAMの量産プロセスには、KrF(フッ化クリプトン)エキシマレーザ(波長:248nm)を露光光源として用いた技術が実用化されている。また、1ギガビット以上の集積度を持つDRAMの製造には、ArF(フッ化アルゴン)エキシマレーザ(波長:193nm)の実用化が検討されている。さらに、100nm以下のデザイン・ルールに対応する微細パターンを実現する技術として、より波長の短いF(フッ素)レーザ(波長:157nm)を露光光源として用いることも考えられている。
【0007】
一方、より高解像度の露光技術として、電子線リソグラフィ技術の開発も進められている。電子線リソグラフィ技術は本質的に優れた解像度を有しているために、DRAMの他に一部ASIC(Application SpecificIntegrated Circuit)の生産にも用いられている。このような電子線リソグラフィ技術においても、フォトリソグラフィ技術の場合と同様に、微細なレジストパターンの形成が重要となる。
【0008】
【発明が解決しようとする課題】
このように、レジストパターンの微細化が進む一方で、エッチング耐性などの観点から、レジスト膜にはある程度の膜厚が必要とされる。したがって、レジストパターンの高さと幅の比(レジストパターンの膜厚/レジストパターンの線幅)であるアスペクト比は次第に大きくなる傾向にある。
【0009】
しかしながら、アスペクト比が大きくなると、レジストパターンは横方向の力に対して弱くなることから、パターンに傾きが生じやすくなるという問題があった。この問題について以下に詳述する。
【0010】
露光後のレジスト膜の現像には、一般に、液体現像液を用いたウェット現像法が用いられている。例えば、レジスト膜を現像液に浸漬し、露光部と未露光部におけるレジスト膜の溶解度差を利用することによって、レジストパターンを形成する。続いて、現像液および現像液に溶解したレジストをリンス液によって洗い流す処理を行う。その後、乾燥処理を行ってリンス液を除去する。
【0011】
しかしながら、リンス液を乾燥させる際に、レジストパターン間に溜まったリンス液と空気との圧力差により働く毛細管力によって、レジストパターンに傾きが生じるという問題があった。この毛細管力は、リンス液とレジストパターン間での気液界面に生じる表面張力に依存することが知られている。
【0012】
このようなレジストパターンの傾きは、アスペクト比の大きいパターンで生じ易い。
【0013】
また、パターンの傾きが著しい場合には、隣り合うパターンが互いにもたれ掛かるようにして倒れるパターン倒れが発生するという問題もあった。
【0014】
レジストパターンに傾きや倒れが生じると、被加工基板に所望のパターンを形成することができなくなり、製品の歩留まり低下や信頼性低下などを引き起こすことになる。
【0015】
本発明はこのような問題点に鑑みてなされたものである。即ち、本発明の目的は、傾きや倒れのないレジストパターンを形成することにより、被加工基板に所望のパターンを形成する方法を提供することにある。
【0016】
また、本発明の目的は、上記のパターン形成方法を用いて半導体装置を製造する方法を提供することにある。
【0017】
本発明の他の目的および利点は、以下の記載から明らかとなるであろう。
【0018】
【課題を解決するための手段】
本発明のパターン形成方法は、被加工基板の上に反射防止膜を形成する工程と、この反射防止膜の上に酸発生剤を含む化学増幅型のレジスト膜を形成する工程と、このレジスト膜に所定のマスクを介して露光光を照射することによって、露光部で酸発生剤から酸を発生させる工程と、露光後のレジスト膜に加熱処理を行う工程と、レジスト膜に現像処理を施すことによってレジストパターンを形成する工程と、このレジストパターンをマスクとした反射防止膜のエッチングによって反射防止膜パターンを形成する工程と、この反射防止膜パターンをマスクとした被加工基板のエッチングによって被加工基板に所望のパターンを形成する工程とを有し、レジストパターンの断面が反射防止膜に接するテーパ形状部を有することを特徴とする。
【0019】
被加工基板はタングステン膜を有し、このタングステン膜上に反射防止膜が形成されていて、反射防止膜パターンをマスクとしてタングステン膜をエッチングすることによってタングステン膜パターンを形成することができる。
【0020】
また、被加工基板はタングステン膜およびこのタングステン膜の上に形成された無機膜を有し、この無機膜の上に反射防止膜が形成されていて、反射防止膜パターンをマスクとした無機膜のエッチングによって無機膜パターンを形成する工程と、この無機膜パターンをマスクとしたタングステン膜のエッチングによってタングステン膜パターンを形成する工程とを有することができる。
【0021】
また、本発明のパターン形成方法は、被加工基板の上に反射防止膜を形成する工程と、この反射防止膜の上に酸発生剤を含む化学増幅型のレジスト膜を形成する工程と、このレジスト膜に所定のマスクを介して露光光を照射することによって、露光部で酸発生剤から酸を発生させる工程と、露光後のレジスト膜に加熱処理を行う工程と、レジスト膜に現像処理を施すことによってレジストパターンを形成する工程と、このレジストパターンをマスクとした反射防止膜のエッチングに続いて被加工基板のエッチングを行うことによって被加工基板に所望のパターンを形成する工程とを有し、レジストパターンの断面が反射防止膜に接するテーパ形状部を有することを特徴とする。
【0022】
被加工基板はタングステン膜およびこのタングステン膜の上に形成された無機膜を有し、この無機膜の上に反射防止膜が形成されていて、反射防止膜のエッチングに続いて無機膜のエッチングを行うことによって無機膜パターンを形成する工程と、この無機膜パターンをマスクとしたタングステン膜のエッチングによってタングステン膜パターンを形成する工程とを有することができる。
【0023】
本発明のパターン形成方法において、テーパ形状部の上には略矩形形状を有する矩形部があって、テーパ形状部の高さh、幅Wと、矩形部の高さh、幅Wとの間に、下記式の関係が成立することが好ましい。
【0024】
【数2】

Figure 2004279570
【0025】
反射防止膜に塩基性物質または酸性物質を添加することによってレジスト膜中の酸の濃度を調節し、テーパ形状部の高さhおよび/またはテーパ形状部の幅Wの値を制御することができる。
【0026】
本発明のパターン形成方法において、露光光は波長157nmのFレーザ光とすることができる。
【0027】
さらに、本発明の半導体装置の製造方法は、被加工基板が半導体基材であって、本発明のパターン形成方法を用いることを特徴とする。
【0028】
【発明の実施の形態】
図1〜図4を用いて、本実施の形態によるパターン形成方法について説明する。尚、これらの図において、同じ符号を用いた箇所は同じ部分であることを示している。
【0029】
まず、被加工基板として、タングステン膜が形成された半導体基材を準備する。例えば、図1(a)に示すように、半導体基材1上に、化学気相成長法(Chemical Vaper Deposition,以下、CVDという。)などによってタングステン(W)膜2を形成する。タングステン膜2は、例えば、70nmのデザイン・ルールに対応するトランジスタのゲート電極材料として用いられる。
【0030】
半導体基材1としては、例えば、素子分離領域やソースまたはドレインとなる拡散層などが形成されたシリコン基板上に、二酸化シリコン(SiO)膜などのゲート絶縁膜が形成されたものを用いることができる。
【0031】
半導体基材1上に形成する膜は、タングステン膜に限られるものではない。例えば、モリブデン(Mo)、タンタル(Ta)またはチタン(Ti)などの他の導電膜を形成してもよい。さらに、ゲート電極材料に限らず、半導体装置の製造工程で用いられてパターニングを必要とする膜であれば、他の膜を形成してもよい。
【0032】
次に、図1(b)に示すように、タングステン膜2の上に反射防止膜3を形成する。
【0033】
反射防止膜の主成分としては、有機材料が好ましく用いられる。有機材料は、熱硬化性樹脂、光硬化性樹脂および電子線硬化性樹脂のいずれであってもよい。また、光硬化性樹脂組成物は、ラジカル重合を利用して硬化するものであってもよいし、光により発生した酸により硬化するものであってもよい。例えば、KrFエキシマレーザまたはArFエキシマレーザを光源とするフォトリソグラフィ技術で一般的である、熱硬化性のアクリル系ポリマーを用いることができる。
【0034】
また、反射防止膜は、後述するレジスト膜露光の際に使用する露光光が波長157nmのFレーザ光である場合、屈折率nが1.60≦n≦1.90であり、消衰係数kが0.12≦k≦0.45であり、膜厚が10nm以上で200nm以下であることが好ましい。
【0035】
本実施の形態においては、反射防止膜組成物に、塩基性物質または酸性物質を添加する。これらの内いずれを添加するかは、後述するレジスト膜中の酸濃度によって決定される。尚、本実施の形態で使用される塩基性物質または酸性物質は、反射防止膜組成物と相溶性を有し、反射防止膜の成膜性などに悪影響を及ぼさないものであれば特に制限なく使用することができる。
【0036】
次に、図1(c)に示すように、反射防止膜3の上にレジスト膜4を形成する。レジスト膜4の形成は、例えばスピンコート法などを用いて行うことができる。また、レジスト膜4の膜厚は、半導体装置の製造工程に応じた所定の膜厚とすることができる。
【0037】
本発明においては、化学増幅型のレジスト膜が好ましく用いられる。具体的には、アルカリ不溶性ポリマーおよび酸発生剤を含有するポジ型の化学増幅型レジストを用いることができる。アルカリ不溶性ポリマーとしては、例えば、ポリビニルフェノールのフェノール性水酸基を保護基によってブロックした構造のものを用いることができる。
【0038】
次に、図1(d)に示すように、所定のマスク5を介してレジスト膜4に露光光6を照射する。露光装置としては、例えば、Fレーザを光源とする露光装置を用いることができる。また、マスク5は、所望の線幅のレジストパターンに対応したものを用いることができる。
【0039】
この露光は、レジスト膜4に所定のレジストパターン潜像を形成することを目的として行うものである。すなわち、レジスト膜4に露光光を照射することによって、図1(d)に示すように、レジスト膜4内に露光部41と未露光部42とからなるレジストパターン潜像が形成される。
【0040】
次に、露光後の半導体基材に対して加熱処理を行う。加熱処理は、密閉式の加熱炉またはホットプレートなどを用いて行うことができる。
【0041】
加熱処理工程を終えた後は、レジスト膜に現像処理を施す。現像は、例えば、テトラメチルアンモニウムハイドロオキサイド水溶液を用いたアルカリ現像などによって行うことができる。
【0042】
一般に、化学増幅型のレジスト膜は、露光光の照射による酸発生剤の分解によって酸を生じる。生じた酸は加熱処理工程で触媒として働き、アルカリ不溶性ポリマーの加熱分解が起こることによって保護基がはずれる。これにより、レジスト膜は露光部でアルカリ可溶性となるので、露光部をアルカリ現像液によって溶解除去することによりレジストパターンを形成することができる。ここで、図2(a)に示すように、従来のレジストパターン7の断面は全体に矩形形状を有していた。
【0043】
これに対して、図2(b)に示すように、本発明におけるレジストパターン8の断面はテーパ形状部8aを有することを特徴としている。テーパ形状部8aは反射防止膜3に接しており、テーパ形状部8aの上には略矩形形状を有する矩形部8bがある。すなわち、レジストパターン8は、テーパ形状部8aと矩形部8bとからなる形状を有している。
【0044】
このように、従来は全体的に矩形形状であったレジストパターンを、本発明では反射防止膜と接する部分でテーパ形状とすることを特徴としている。このような形状とすることにより、レジスト膜と反射防止膜との接触面積を大きくすることができるので、レジストパターンの機械的強度を向上させてパターンの傾きや倒れが発生するのを防止することが可能となる。
【0045】
レジストパターンの形状は、レジスト膜中の酸濃度を調節することによって制御することができる。例えば、所定の線幅のレジストパターンを形成するのに適当な酸濃度aに対して、レジスト膜中の酸濃度cがc<aである場合には、加熱処理後にも露光部に保護基が残存することとなる。その結果、アルカリ現像液に十分に溶解せず、レジストパターンは所定の線幅よりも大きくなる。反対にc>aである場合には、レジスト膜がアルカリ現像液に過剰に溶解する結果、レジストパターンは所定の線幅よりも小さいものとなる。
【0046】
したがって、レジスト膜と反射防止膜との界面付近での酸濃度を調節することによって、レジストパターン下部の形状を制御することができる。レジスト膜中の酸濃度は、反射防止膜に塩基性物質または酸性物質を添加することによって調節することが好ましい。
【0047】
例えば、反射防止膜に塩基性物質を添加した場合、露光によって発生したレジスト膜中の酸が反射防止膜中の塩基と中和反応を起こし、反射防止膜との界面付近での酸濃度が低下するようになる。その結果、加熱処理後においても反射防止膜との界面付近では保護基が残存し、他の部分に比較すると現像液に溶解し難くなる。現像後のレジストパターンは、図3(a)に示すように、パターン底部で線幅が大きく裾を引いたような形状となって、テーパ形状部8aを有するレジストパターン8が形成される。
【0048】
反射防止膜に塩基性物質を添加するのは、レジストパターンが全体に矩形状となる場合の他、反射防止膜と接する方向に次第に幅が小さくなる逆テーパ形状となる場合も含まれる。例えば、反射防止膜との界面付近において、レジスト膜中の酸の量が過剰になると、レジストパターンは反射防止膜と接する部分に逆テーパ形状部を有するようになる。このような形状は、反射防止膜との接触面積が小さくなり、レジストパターンの機械的強度の低下に繋がることから好ましくない。
【0049】
一方、レジスト膜中に存在する酸の拡散量が大きい場合には、レジスト膜から反射防止膜へと酸が移動することによって、界面付近で酸濃度が低下するようになる。酸濃度の低下が大きい場合には、アルカリに不溶な部分が大きくなる結果、レジスト膜の底部付近での線幅が極端に大きくなって所望のレジストパターンを形成することができない。このような場合には、反射防止膜に酸性物質を添加し、反射防止膜からレジスト膜へと酸を拡散させることによってレジスト膜中の酸濃度を適量なものとする。
【0050】
本発明におけるレジストパターンは、パターンの寸法制御性を損なわない範囲のテーパ形状とすることが重要である。具体的には、図4に示すように、テーパ形状部8aと矩形部8bとからなるレジストパターン8に対して、テーパ形状部8aの高さhと矩形部8bの高さhとの間に、式1の関係が成立することが好ましい。
【0051】
【数3】
Figure 2004279570
【0052】
また、テーパ形状部8aの幅Wと矩形部8bの幅Wとの間に、式2の関係が成立することが好ましい。
【0053】
【数4】
Figure 2004279570
【0054】
現像処理を終えた後は、半導体基材に付着した現像液および現像液に溶解したレジストをリンス液によって洗い流す。具体的には、半導体基材上にリンス液をシャワー状またはスプレー状に吐出することによって洗い流すことができる。現像液としてアルカリ水溶液を用いた場合には、リンス液として例えば純水を使用することができる。
【0055】
リンス液によって現像液および現像液に溶解したレジストを洗い流した後は、乾燥によってリンス液を除去する。例えば、半導体基材を高速で回転させることによって乾燥を行うことができる。
【0056】
本実施の形態によれば、レジストパターンにテーパ形状部を形成することによって、レジストパターンと反射防止膜との接触面積が大きくなるので、パターンを物理的に安定化することができるようになる。したがって、高いアスペクト比(例えば、4以上)を有するパターンであっても、現像後にパターンの傾きや倒れが発生することはない。
【0057】
次に、レジストパターン8をマスクとして反射防止膜3をドライエッチングして、図3(b)に示すような反射防止膜パターン9を形成する。この際、プラズマによって生じた活性種の直進性を増した条件でエッチングすることによって、レジスト膜のテーパ形状を反映させることなく、反射防止膜を矩形状に加工することができる。
【0058】
次に、反射防止膜パターン9をマスクとしてタングステン膜2をドライエッチングして、図3(c)に示すようなタングステン膜パターン10を形成する。その後、不要となった反射防止膜パターンを除去して、図3(d)に示す構造とする。
【0059】
以上の工程によって、タングステン膜に所望の微細パターンを形成することができる。その後、公知の方法を適用することによって、半導体装置を製造することができる。
【0060】
1つの例として、被加工基板上に、膜厚50nmのタングステン膜を形成した。次に、タングステン膜の上に、熱硬化性のアクリル系ポリマーに塩基性物質を適量加えた反射防止膜組成物を塗布し、205℃で60秒間加熱することにより、膜厚80nmの反射防止膜を形成した。続いて、Fレーザを光源とする露光機に対応するレジスト(以下、Fレジストという。)の組成物を塗布し、120℃で60秒間加熱することにより、膜厚300nmのレジスト膜を形成した。
【0061】
次に、Fレーザを光源とする露光機を用い、マスクを介してレジスト膜を露光した。ここで、マスクとしては、線幅70nmのゲート電極パターン加工用のものを用いた。露光後に120℃で90秒間加熱を行った後、濃度2.38%のテトラメチルアンモニウムハイドロオキサイド溶液を用いた現像処理によって、テーパ形状部を有するレジストパターンを形成した。
【0062】
次に、レジストパターンをマスクとして、反射防止膜のドライエッチングを行った。エッチングガスとしては、窒素と酸素の混合ガスを用いた。この際、活性種の直進性を高めた条件でエッチングを行った。
【0063】
次に、反射防止膜パターンをマスクとして、タングステン膜のドライエッチングを行った。エッチングガスとしては、六フッ化硫黄と窒素の混合ガスを用いた。
【0064】
最後に、酸素プラズマで反射防止膜パターンを除去することによって、良好な微細パターンのゲート電極構造を得た。
【0065】
また、本発明は、タングステン膜の上に窒化シリコン膜を形成した後、この窒化シリコン膜の上に反射防止膜を形成してもよい。この場合、窒化シリコン膜は、タングステン膜をエッチングする際のマスクとして使用する。窒化シリコン膜の形成は、例えばCVD法などによって行うことができる。尚、タングステン膜の上に形成する膜は、窒化シリコン膜以外の他の無機膜(例えば、酸化シリコン膜など。)であってもよい。
【0066】
1つの例として、被加工基板上に、膜厚50nmのタングステン膜を形成した。次に、タングステン膜の上に、CVD法を用いて膜厚70nmの窒化シリコン膜を形成した。
【0067】
次に、窒化シリコン膜の上に、熱硬化性のアクリル系ポリマーに塩基性物質を適量加えた樹脂組成物を塗布し、205℃で60秒間加熱することにより、膜厚50nmの反射防止膜を形成した。続いて、反射防止膜の上にFレジスト組成物を塗布し、120℃で60秒間加熱することにより、膜厚250nmのレジスト膜を形成した。
【0068】
次に、Fレーザを光源とする露光機を用い、マスクを介してレジスト膜を露光した。ここで、マスクとしては、線幅70nmのゲート電極パターン加工用のものを用いた。露光後に120℃で90秒間加熱を行った後。濃度2.38%のテトラメチルアンモニウムハイドロオキサイド溶液による現像処理を行うことによって、テーパ形状部を有するレジストパターンを形成した。
【0069】
次に、レジストパターンをマスクとして、反射防止膜のドライエッチングを行った。エッチングガスとしては、窒素と酸素の混合ガスを用いた。この際、活性種の直進性を高めた条件でエッチングを行った。
【0070】
次に、反射防止膜パターンをマスクとして、窒化シリコン膜のドライエッチングを行った。エッチングガスとしては、テトラフルオロメタン、酸素およびジフルオロメタンの混合ガスを用いた。
【0071】
次に、窒化シリコン膜をマスクとして、タングステン膜のドライエッチングを行った。エッチングガスとしては、六フッ化硫黄と窒素の混合ガスを用いた。以上の工程により、良好な微細パターンのゲート電極構造を得た。
【0072】
尚、窒化シリコン膜エッチングの際のエッチングガスとしては、テトラフルオロメタンと酸素の混合ガスを用いることもできる。この場合、反射防止膜のエッチングおよび窒化シリコン膜のエッチングに使用するガスが同じとなるので、これらのエッチング工程を連続して行うことが可能になる。
【0073】
例えば、レジストパターンをマスクとして反射防止膜のエッチングを行い、窒化シリコン膜が露出したところで反射防止膜をマスクとして窒化シリコン膜のエッチングを行う。この際、反射防止膜のエッチングが進行して窒化シリコン膜が露出すると略同時に、レジストパターンがエッチングによって消失するようなエッチング条件とすることが好ましい。
【0074】
また、レジストパターンをマスクとして反射防止膜および窒化シリコン膜のエッチングを行ってもよい。この場合にも、プラズマエッチングにおける活性種の直進性を増した条件でエッチングを行うことによって、レジストパターンのテーパ形状を反映させることなく、反射防止膜パターンおよび窒化シリコン膜パターンを矩形状に形成することができる。
【0075】
本実施の形態では、ポジ型のレジストを用いた例について述べたが、本発明はこれに限られるものではなく、ネガ型のレジストにも適用することができる。
【0076】
また、本実施の形態においては、半導体基材上にパターンを形成する例について述べたが、本発明はこれに限られるものではない。レジストパターンをマスクとして下地材のパターニングを必要とする目的であれば、他の用途に適用することも可能である。例えば、下地材がガラス基板上に形成された薄膜であってもよいし、プラスチック基板上に形成された薄膜であってもよい。
【0077】
本実施の形態のパターン形成方法によれば、レジストパターンの下部をテーパ形状とすることによって、高アスペクト比のパターンであっても傾きや倒れが発生するのを防止することができる。したがって、このレジストパターンをマスクとしてエッチングすることによって、下地膜に所望の微細パターンを形成することができる。
【0078】
また、本実施の形態のパターン形成方法によれば、KrFエキシマレーザまたはArFエキシマレーザを光源とするフォトリソグラフィ技術で一般的に用いられる反射防止膜を用い、Fレーザを光源とするフォトリソグラフィ技術によって、下地膜に所望の微細パターンを形成することができる。
【0079】
また、本実施の形態に示す方法に従ってパターンを形成することによって、良好な素子特性を有する半導体装置を製造することが可能となる。
【0080】
【発明の効果】
本発明によれば、反射防止膜と接するテーパ形状部を有するレジストパターンを形成することによって、高アスペクト比のパターンであっても傾きや倒れが発生するのを防止することができる。したがって、このレジストパターンをマスクとしてエッチングすることによって、被加工基板に所望のパターンを形成することができる。
【図面の簡単な説明】
【図1】(a)〜(d)は、本実施の形態にかかるパターン形成方法の工程を示す断面図である。
【図2】(a)は従来のレジストパターンの断面図、(b)は本発明にかかるレジストパターンの断面図である。
【図3】(a)〜(d)は、本実施の形態にかかるパターン形成方法の工程を示す断面図である。
【図4】本発明にかかるレジストパターンの断面図である。
【符号の説明】
1 半導体基材、 2 タングステン膜、 3 反射防止膜、 4 レジスト膜、 5 マスク、 6 露光光、 7,8 レジストパターン、 8a テーパ形状部、 8b 矩形部、 9 反射防止膜パターン、 10 タングステン膜パターン。[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a pattern forming method and a semiconductor device manufacturing method, and more particularly, to a pattern forming method using a chemically amplified resist and a semiconductor device manufacturing method.
[0002]
[Prior art]
In recent years, as the degree of integration of semiconductor devices has increased, the dimensions of individual elements have been miniaturized, and the widths of wirings, gates, etc. constituting each element have also been miniaturized.
[0003]
The photolithography technology that supports this miniaturization includes a process of applying a resist composition to the surface of a substrate to be processed to form a resist film, and irradiating light to expose a predetermined resist pattern to form a resist pattern latent image. Forming, a heat treatment if necessary, a step of developing this to form a desired resist pattern, and a step of performing processing such as etching on a substrate to be processed using the resist pattern as a mask. included.
[0004]
When a semiconductor device having a fine design rule is manufactured using such a photolithography technique, it is necessary to form a fine resist pattern.
[0005]
As one of means for miniaturizing a resist pattern, shortening of the wavelength of exposure light used for forming the above-described resist pattern latent image has been promoted.
[0006]
Conventionally, in the manufacture of a DRAM (Dynamic Random Access Memory) having a degree of integration of, for example, up to 64 Mbits, an i-line (wavelength: 365 nm) of a high-pressure mercury lamp has been used as a light source. In recent years, a technology using a KrF (krypton fluoride) excimer laser (wavelength: 248 nm) as an exposure light source has been put to practical use in a mass production process of a 256 megabit DRAM. For the manufacture of a DRAM having a degree of integration of 1 gigabit or more, practical use of an ArF (argon fluoride) excimer laser (wavelength: 193 nm) is being studied. Further, as a technique for realizing a fine pattern corresponding to a design rule of 100 nm or less, use of an F 2 (fluorine) laser (wavelength: 157 nm) having a shorter wavelength as an exposure light source has been considered.
[0007]
On the other hand, electron beam lithography technology is being developed as a higher resolution exposure technology. Since the electron beam lithography technology has an essentially excellent resolution, it is also used in the production of some ASICs (Application Specific Integrated Circuits) in addition to DRAMs. In such an electron beam lithography technique, as in the case of the photolithography technique, formation of a fine resist pattern is important.
[0008]
[Problems to be solved by the invention]
As described above, while the miniaturization of the resist pattern progresses, a certain thickness of the resist film is required from the viewpoint of etching resistance and the like. Therefore, the aspect ratio, which is the ratio of the height and width of the resist pattern (the thickness of the resist pattern / the line width of the resist pattern), tends to gradually increase.
[0009]
However, when the aspect ratio is increased, the resist pattern becomes weaker against a lateral force, so that the pattern tends to be inclined. This problem will be described in detail below.
[0010]
In general, a wet development method using a liquid developer is used for developing the resist film after exposure. For example, a resist pattern is formed by immersing the resist film in a developer and utilizing the difference in solubility between the exposed portion and the unexposed portion of the resist film. Subsequently, a process of washing away the developer and the resist dissolved in the developer with a rinse solution is performed. Thereafter, a rinsing liquid is removed by performing a drying process.
[0011]
However, when the rinsing liquid is dried, there has been a problem that the resist pattern is inclined due to a capillary force acting due to a pressure difference between the rinsing liquid and the air accumulated between the resist patterns. It is known that the capillary force depends on the surface tension generated at the gas-liquid interface between the rinsing liquid and the resist pattern.
[0012]
Such inclination of the resist pattern is likely to occur in a pattern having a large aspect ratio.
[0013]
In addition, when the inclination of the pattern is remarkable, there is a problem that the adjacent patterns lean on each other to cause the pattern to collapse.
[0014]
If the resist pattern is tilted or tilted, it becomes impossible to form a desired pattern on the substrate to be processed, which causes a reduction in product yield and reliability.
[0015]
The present invention has been made in view of such a problem. That is, an object of the present invention is to provide a method for forming a desired pattern on a substrate to be processed by forming a resist pattern without inclination or falling.
[0016]
Another object of the present invention is to provide a method for manufacturing a semiconductor device using the above-described pattern forming method.
[0017]
Other objects and advantages of the present invention will become apparent from the following description.
[0018]
[Means for Solving the Problems]
The pattern forming method of the present invention includes a step of forming an antireflection film on a substrate to be processed, a step of forming a chemically amplified resist film containing an acid generator on the antireflection film, and a step of forming the resist film Irradiating an exposure light through a predetermined mask to generate an acid from an acid generator in an exposed portion, performing a heating process on the exposed resist film, and performing a developing process on the resist film. Forming a resist pattern by using the resist pattern as a mask, forming an antireflection film pattern by etching the antireflection film using the resist pattern as a mask, and etching the work substrate using the antireflection film pattern as a mask Forming a desired pattern, and the resist pattern has a tapered section in contact with the antireflection film.
[0019]
The substrate to be processed has a tungsten film, and an antireflection film is formed on the tungsten film. A tungsten film pattern can be formed by etching the tungsten film using the antireflection film pattern as a mask.
[0020]
The substrate to be processed has a tungsten film and an inorganic film formed on the tungsten film. An antireflection film is formed on the inorganic film, and the inorganic film is formed using the antireflection film pattern as a mask. The method may include a step of forming an inorganic film pattern by etching and a step of forming a tungsten film pattern by etching the tungsten film using the inorganic film pattern as a mask.
[0021]
Further, the pattern forming method of the present invention includes a step of forming an antireflection film on the substrate to be processed, a step of forming a chemically amplified resist film containing an acid generator on the antireflection film, Irradiating the resist film with exposure light through a predetermined mask to generate an acid from an acid generator in an exposed portion; heating the exposed resist film; and developing the resist film. Forming a desired pattern on the processed substrate by etching the processed substrate following the etching of the antireflection film using the resist pattern as a mask. The cross section of the resist pattern has a tapered portion in contact with the antireflection film.
[0022]
The substrate to be processed has a tungsten film and an inorganic film formed on the tungsten film, an antireflection film is formed on the inorganic film, and the etching of the inorganic film is performed following the etching of the antireflection film. This can include a step of forming an inorganic film pattern by performing the step, and a step of forming a tungsten film pattern by etching the tungsten film using the inorganic film pattern as a mask.
[0023]
In the pattern forming method of the present invention, there is a rectangular part having a substantially rectangular shape on the tapered part, and the height h 1 and the width W 1 of the tapered part, and the height h 2 and the width W of the rectangular part. It is preferable that the following relationship be established between the two .
[0024]
(Equation 2)
Figure 2004279570
[0025]
To adjust the concentration of the acid in the resist film by adding a basic substance or an acidic substance, controls the value of the width W 1 of the height h 1 and / or the tapered portion of the tapered portion to the anti-reflection film Can be.
[0026]
In the pattern forming method of the present invention, the exposure light may be a F 2 laser beam having a wavelength of 157 nm.
[0027]
Furthermore, a method of manufacturing a semiconductor device according to the present invention is characterized in that the substrate to be processed is a semiconductor substrate and the pattern forming method of the present invention is used.
[0028]
BEST MODE FOR CARRYING OUT THE INVENTION
The pattern forming method according to the present embodiment will be described with reference to FIGS. Note that, in these drawings, portions using the same reference numerals indicate the same portions.
[0029]
First, a semiconductor substrate on which a tungsten film is formed is prepared as a substrate to be processed. For example, as shown in FIG. 1A, a tungsten (W) film 2 is formed on a semiconductor substrate 1 by a chemical vapor deposition (hereinafter, referred to as CVD) or the like. The tungsten film 2 is used, for example, as a gate electrode material of a transistor corresponding to a design rule of 70 nm.
[0030]
As the semiconductor substrate 1, for example, a semiconductor substrate in which a gate insulating film such as a silicon dioxide (SiO 2 ) film is formed on a silicon substrate on which an element isolation region and a diffusion layer serving as a source or a drain are formed is used. Can be.
[0031]
The film formed on the semiconductor substrate 1 is not limited to a tungsten film. For example, another conductive film such as molybdenum (Mo), tantalum (Ta), or titanium (Ti) may be formed. Further, the film is not limited to the gate electrode material, and another film may be formed as long as the film is used in a semiconductor device manufacturing process and requires patterning.
[0032]
Next, as shown in FIG. 1B, an antireflection film 3 is formed on the tungsten film 2.
[0033]
As a main component of the antireflection film, an organic material is preferably used. The organic material may be any of a thermosetting resin, a photocurable resin, and an electron beam curable resin. Further, the photo-curable resin composition may be one that cures by utilizing radical polymerization or one that is cured by an acid generated by light. For example, a thermosetting acrylic polymer generally used in a photolithography technique using a KrF excimer laser or an ArF excimer laser as a light source can be used.
[0034]
The reflection preventing film, if the exposure light used in the resist film exposed to be described later is the F 2 laser beam having a wavelength of 157 nm, the refractive index n is 1.60 ≦ n ≦ 1.90, extinction coefficient It is preferable that k is 0.12 ≦ k ≦ 0.45 and the film thickness is 10 nm or more and 200 nm or less.
[0035]
In the present embodiment, a basic substance or an acidic substance is added to the antireflection film composition. Which of these is added is determined by the acid concentration in the resist film described later. Incidentally, the basic substance or the acidic substance used in the present embodiment is not particularly limited as long as it has compatibility with the antireflection film composition and does not adversely affect the film formability of the antireflection film. Can be used.
[0036]
Next, as shown in FIG. 1C, a resist film 4 is formed on the antireflection film 3. The formation of the resist film 4 can be performed using, for example, a spin coating method. Further, the thickness of the resist film 4 can be set to a predetermined thickness according to the manufacturing process of the semiconductor device.
[0037]
In the present invention, a chemically amplified resist film is preferably used. Specifically, a positive chemically amplified resist containing an alkali-insoluble polymer and an acid generator can be used. As the alkali-insoluble polymer, for example, a polymer having a structure in which a phenolic hydroxyl group of polyvinyl phenol is blocked by a protecting group can be used.
[0038]
Next, as shown in FIG. 1D, the resist film 4 is irradiated with exposure light 6 via a predetermined mask 5. As the exposure apparatus, for example, it can be used an exposure apparatus whose light source an F 2 laser. Further, as the mask 5, a mask corresponding to a resist pattern having a desired line width can be used.
[0039]
This exposure is performed for the purpose of forming a predetermined resist pattern latent image on the resist film 4. That is, by irradiating the resist film 4 with exposure light, a resist pattern latent image including an exposed portion 41 and an unexposed portion 42 is formed in the resist film 4 as shown in FIG.
[0040]
Next, a heat treatment is performed on the exposed semiconductor substrate. The heat treatment can be performed using a closed heating furnace, a hot plate, or the like.
[0041]
After the heat treatment process, the resist film is subjected to a development process. The development can be performed, for example, by alkali development using an aqueous solution of tetramethylammonium hydroxide.
[0042]
Generally, a chemically amplified resist film generates an acid by the decomposition of an acid generator by irradiation with exposure light. The generated acid acts as a catalyst in the heat treatment step, and the protective group is removed by the thermal decomposition of the alkali-insoluble polymer. As a result, the resist film becomes alkali-soluble in the exposed portion, and thus the resist pattern can be formed by dissolving and removing the exposed portion with an alkali developing solution. Here, as shown in FIG. 2A, the cross section of the conventional resist pattern 7 has a rectangular shape as a whole.
[0043]
On the other hand, as shown in FIG. 2B, the cross section of the resist pattern 8 in the present invention is characterized by having a tapered portion 8a. The tapered portion 8a is in contact with the antireflection film 3, and a rectangular portion 8b having a substantially rectangular shape is provided on the tapered portion 8a. That is, the resist pattern 8 has a shape including the tapered portion 8a and the rectangular portion 8b.
[0044]
Thus, the present invention is characterized in that the resist pattern, which has conventionally been generally rectangular, has a tapered shape at the portion in contact with the antireflection film. With such a shape, the contact area between the resist film and the anti-reflection film can be increased, so that the mechanical strength of the resist pattern is improved to prevent the pattern from tilting or falling. Becomes possible.
[0045]
The shape of the resist pattern can be controlled by adjusting the acid concentration in the resist film. For example, protection against the appropriate acid concentration a 1 to form a resist pattern having a predetermined line width, if the acid concentration c in the resist film is c <a 1 is the exposed area even after heat treatment The group will remain. As a result, the resist pattern is not sufficiently dissolved in the alkali developing solution, and the resist pattern becomes larger than a predetermined line width. If it is c> a 1 on the opposite, the results of the resist film is excessively soluble in an alkaline developing solution, the resist pattern becomes smaller than a predetermined line width.
[0046]
Therefore, by adjusting the acid concentration near the interface between the resist film and the antireflection film, the shape of the lower part of the resist pattern can be controlled. The acid concentration in the resist film is preferably adjusted by adding a basic substance or an acidic substance to the antireflection film.
[0047]
For example, when a basic substance is added to the antireflection film, the acid in the resist film generated by exposure causes a neutralization reaction with the base in the antireflection film, and the acid concentration near the interface with the antireflection film decreases. I will do it. As a result, even after the heat treatment, the protective group remains near the interface with the antireflection film, and it is difficult to dissolve in the developer as compared with other portions. As shown in FIG. 3A, the resist pattern after the development has a shape in which the line width is large at the bottom of the pattern and the bottom is drawn, and the resist pattern 8 having the tapered portion 8a is formed.
[0048]
The addition of the basic substance to the antireflection film includes not only the case where the resist pattern has a rectangular shape as a whole, but also the case where the resist pattern has an inverse tapered shape whose width gradually decreases in the direction in contact with the antireflection film. For example, when the amount of acid in the resist film becomes excessive near the interface with the antireflection film, the resist pattern has an inversely tapered portion at a portion in contact with the antireflection film. Such a shape is not preferable because the contact area with the antireflection film becomes small, which leads to a decrease in the mechanical strength of the resist pattern.
[0049]
On the other hand, when the diffusion amount of the acid present in the resist film is large, the acid moves from the resist film to the antireflection film, so that the acid concentration decreases near the interface. When the decrease in the acid concentration is large, the portion insoluble in alkali becomes large, so that the line width near the bottom of the resist film becomes extremely large, so that a desired resist pattern cannot be formed. In such a case, an acidic substance is added to the anti-reflection film, and the acid is diffused from the anti-reflection film to the resist film, so that an appropriate acid concentration in the resist film is obtained.
[0050]
It is important that the resist pattern in the present invention has a tapered shape within a range that does not impair the dimension controllability of the pattern. Specifically, as shown in FIG. 4, the resist pattern 8 formed of a tapered portion 8a and the rectangular portion 8b, a tapered portion 8a height h 1 and a rectangular portion 8b of the height h 2 It is preferable that the relationship of Expression 1 be established between them.
[0051]
[Equation 3]
Figure 2004279570
[0052]
Between the width W 2 of width W 1 and a rectangular portion 8b of the tapered portion 8a, the relationship of Equation 2 that is preferably satisfied.
[0053]
(Equation 4)
Figure 2004279570
[0054]
After the completion of the developing treatment, the developing solution attached to the semiconductor substrate and the resist dissolved in the developing solution are washed away with a rinsing solution. Specifically, the rinsing liquid can be washed away by discharging the rinsing liquid onto the semiconductor substrate in a shower state or a spray state. When an alkaline aqueous solution is used as the developing solution, pure water can be used as the rinsing solution, for example.
[0055]
After washing away the developing solution and the resist dissolved in the developing solution with the rinsing solution, the rinsing solution is removed by drying. For example, drying can be performed by rotating the semiconductor substrate at a high speed.
[0056]
According to this embodiment, since the contact area between the resist pattern and the antireflection film is increased by forming the tapered portion in the resist pattern, the pattern can be physically stabilized. Therefore, even if the pattern has a high aspect ratio (for example, 4 or more), the pattern does not tilt or fall after development.
[0057]
Next, the antireflection film 3 is dry-etched using the resist pattern 8 as a mask to form an antireflection film pattern 9 as shown in FIG. At this time, by etching under the condition that the straightness of the active species generated by the plasma is increased, the antireflection film can be processed into a rectangular shape without reflecting the tapered shape of the resist film.
[0058]
Next, the tungsten film 2 is dry-etched using the antireflection film pattern 9 as a mask to form a tungsten film pattern 10 as shown in FIG. After that, the unnecessary anti-reflection film pattern is removed to obtain a structure shown in FIG.
[0059]
Through the above steps, a desired fine pattern can be formed on the tungsten film. Then, a semiconductor device can be manufactured by applying a well-known method.
[0060]
As one example, a 50-nm-thick tungsten film was formed on a substrate to be processed. Next, on the tungsten film, an antireflection film composition obtained by adding an appropriate amount of a basic substance to a thermosetting acrylic polymer is applied, and heated at 205 ° C. for 60 seconds to form an antireflection film having a thickness of 80 nm. Was formed. Subsequently, a resist composition having a thickness of 300 nm is formed by applying a resist (hereinafter, referred to as an F 2 resist) composition corresponding to an exposure machine using an F 2 laser as a light source and heating at 120 ° C. for 60 seconds. did.
[0061]
Then, using an exposure apparatus whose light source an F 2 laser, and exposing the resist film through a mask. Here, a mask for processing a gate electrode pattern having a line width of 70 nm was used as a mask. After heating at 120 ° C. for 90 seconds after the exposure, a resist pattern having a tapered portion was formed by a developing process using a 2.38% concentration tetramethylammonium hydroxide solution.
[0062]
Next, dry etching of the antireflection film was performed using the resist pattern as a mask. A mixed gas of nitrogen and oxygen was used as an etching gas. At this time, the etching was performed under the condition that the straightness of the active species was improved.
[0063]
Next, dry etching of the tungsten film was performed using the antireflection film pattern as a mask. As an etching gas, a mixed gas of sulfur hexafluoride and nitrogen was used.
[0064]
Finally, the gate electrode structure having a good fine pattern was obtained by removing the antireflection film pattern with oxygen plasma.
[0065]
Further, in the present invention, after forming a silicon nitride film on a tungsten film, an antireflection film may be formed on the silicon nitride film. In this case, the silicon nitride film is used as a mask when etching the tungsten film. The formation of the silicon nitride film can be performed by, for example, a CVD method or the like. Note that the film formed on the tungsten film may be an inorganic film other than the silicon nitride film (for example, a silicon oxide film).
[0066]
As one example, a 50-nm-thick tungsten film was formed on a substrate to be processed. Next, a 70-nm-thick silicon nitride film was formed over the tungsten film by a CVD method.
[0067]
Next, on the silicon nitride film, a resin composition obtained by adding an appropriate amount of a basic substance to a thermosetting acrylic polymer is applied, and heated at 205 ° C. for 60 seconds to form an antireflection film having a thickness of 50 nm. Formed. Subsequently, an F 2 resist composition was applied on the antireflection film, and heated at 120 ° C. for 60 seconds to form a resist film having a thickness of 250 nm.
[0068]
Then, using an exposure apparatus whose light source an F 2 laser, and exposing the resist film through a mask. Here, a mask for processing a gate electrode pattern having a line width of 70 nm was used as a mask. After heating at 120 ° C. for 90 seconds after exposure. A resist pattern having a tapered portion was formed by performing development with a 2.38% concentration tetramethylammonium hydroxide solution.
[0069]
Next, dry etching of the antireflection film was performed using the resist pattern as a mask. A mixed gas of nitrogen and oxygen was used as an etching gas. At this time, the etching was performed under the condition that the straightness of the active species was improved.
[0070]
Next, dry etching of the silicon nitride film was performed using the antireflection film pattern as a mask. As an etching gas, a mixed gas of tetrafluoromethane, oxygen and difluoromethane was used.
[0071]
Next, dry etching of the tungsten film was performed using the silicon nitride film as a mask. As an etching gas, a mixed gas of sulfur hexafluoride and nitrogen was used. Through the above steps, a gate electrode structure having a good fine pattern was obtained.
[0072]
Note that a mixed gas of tetrafluoromethane and oxygen can also be used as an etching gas for etching the silicon nitride film. In this case, since the same gas is used for etching the antireflection film and the silicon nitride film, these etching steps can be performed continuously.
[0073]
For example, the antireflection film is etched using the resist pattern as a mask, and when the silicon nitride film is exposed, the silicon nitride film is etched using the antireflection film as a mask. At this time, it is preferable that the etching conditions be such that the etching of the antireflection film progresses and the silicon nitride film is exposed almost simultaneously with the etching of the resist pattern.
[0074]
Further, the antireflection film and the silicon nitride film may be etched using the resist pattern as a mask. Also in this case, the antireflection film pattern and the silicon nitride film pattern are formed in a rectangular shape without reflecting the tapered shape of the resist pattern by performing the etching under the condition that the straightness of the active species in the plasma etching is increased. be able to.
[0075]
In this embodiment mode, an example using a positive resist has been described. However, the present invention is not limited to this, and can be applied to a negative resist.
[0076]
Further, in this embodiment, an example in which a pattern is formed on a semiconductor substrate has been described, but the present invention is not limited to this. The present invention can be applied to other uses as long as the purpose requires patterning of a base material using a resist pattern as a mask. For example, the base material may be a thin film formed on a glass substrate, or may be a thin film formed on a plastic substrate.
[0077]
According to the pattern forming method of the present embodiment, by forming the lower part of the resist pattern into a tapered shape, it is possible to prevent the occurrence of inclination or falling even with a pattern having a high aspect ratio. Therefore, a desired fine pattern can be formed on the base film by etching using this resist pattern as a mask.
[0078]
Further, according to the pattern forming method of this embodiment, a KrF excimer laser or generally antireflection film used in the photolithography as a light source an ArF excimer laser, photolithographic technique as a light source an F 2 laser Thereby, a desired fine pattern can be formed on the base film.
[0079]
Further, by forming a pattern according to the method described in this embodiment mode, a semiconductor device having favorable element characteristics can be manufactured.
[0080]
【The invention's effect】
According to the present invention, by forming a resist pattern having a tapered portion in contact with an anti-reflection film, it is possible to prevent the occurrence of tilt and fall even with a pattern having a high aspect ratio. Therefore, a desired pattern can be formed on the substrate to be processed by etching using this resist pattern as a mask.
[Brief description of the drawings]
FIGS. 1A to 1D are cross-sectional views illustrating steps of a pattern forming method according to an embodiment.
2A is a cross-sectional view of a conventional resist pattern, and FIG. 2B is a cross-sectional view of a resist pattern according to the present invention.
FIGS. 3A to 3D are cross-sectional views illustrating steps of a pattern forming method according to the present embodiment.
FIG. 4 is a cross-sectional view of a resist pattern according to the present invention.
[Explanation of symbols]
Reference Signs List 1 semiconductor substrate, 2 tungsten film, 3 antireflection film, 4 resist film, 5 mask, 6 exposure light, 7,8 resist pattern, 8a tapered portion, 8b rectangular portion, 9 antireflection film pattern, 10 tungsten film pattern .

Claims (9)

被加工基板の上に反射防止膜を形成する工程と、
前記反射防止膜の上に酸発生剤を含む化学増幅型のレジスト膜を形成する工程と、
前記レジスト膜に所定のマスクを介して露光光を照射することによって、露光部で前記酸発生剤から酸を発生させる工程と、
露光後の前記レジスト膜に加熱処理を行う工程と、
前記レジスト膜に現像処理を施すことによってレジストパターンを形成する工程と、
前記レジストパターンをマスクとした前記反射防止膜のエッチングによって反射防止膜パターンを形成する工程と、
前記反射防止膜パターンをマスクとした前記被加工基板のエッチングによって前記被加工基板に所望のパターンを形成する工程とを有し、
前記レジストパターンの断面が前記反射防止膜に接するテーパ形状部を有することを特徴とするパターン形成方法。
Forming an anti-reflection film on the substrate to be processed;
Forming a chemically amplified resist film containing an acid generator on the antireflection film,
By irradiating the resist film with exposure light through a predetermined mask, a step of generating an acid from the acid generator in an exposed portion,
Performing a heat treatment on the resist film after exposure,
Forming a resist pattern by performing a development process on the resist film;
Forming an anti-reflection film pattern by etching the anti-reflection film using the resist pattern as a mask,
Forming a desired pattern on the processing target substrate by etching the processing target substrate using the antireflection film pattern as a mask,
A pattern forming method, wherein a cross section of the resist pattern has a tapered portion in contact with the antireflection film.
前記被加工基板はタングステン膜を有し、該タングステン膜上に前記反射防止膜が形成されていて、
前記反射防止膜パターンをマスクとして前記タングステン膜をエッチングすることによってタングステン膜パターンを形成する請求項1に記載のパターン形成方法。
The substrate to be processed has a tungsten film, and the antireflection film is formed on the tungsten film,
2. The pattern forming method according to claim 1, wherein the tungsten film pattern is formed by etching the tungsten film using the antireflection film pattern as a mask.
前記被加工基板はタングステン膜および該タングステン膜の上に形成された無機膜を有し、該無機膜の上に前記反射防止膜が形成されていて、
前記反射防止膜パターンをマスクとした前記無機膜のエッチングによって無機膜パターンを形成する工程と、
前記無機膜パターンをマスクとした前記タングステン膜のエッチングによってタングステン膜パターンを形成する工程とを有する請求項1に記載のパターン形成方法。
The substrate to be processed has a tungsten film and an inorganic film formed on the tungsten film, and the antireflection film is formed on the inorganic film,
Forming an inorganic film pattern by etching the inorganic film using the antireflection film pattern as a mask,
Forming a tungsten film pattern by etching the tungsten film using the inorganic film pattern as a mask.
被加工基板の上に反射防止膜を形成する工程と、
前記反射防止膜の上に酸発生剤を含む化学増幅型のレジスト膜を形成する工程と、
前記レジスト膜に所定のマスクを介して露光光を照射することによって、露光部で前記酸発生剤から酸を発生させる工程と、
露光後の前記レジスト膜に加熱処理を行う工程と、
前記レジスト膜に現像処理を施すことによってレジストパターンを形成する工程と、
前記レジストパターンをマスクとした前記反射防止膜のエッチングに続いて前記被加工基板のエッチングを行うことによって前記被加工基板に所望のパターンを形成する工程とを有し、
前記レジストパターンの断面が前記反射防止膜に接するテーパ形状部を有することを特徴とするパターン形成方法。
Forming an anti-reflection film on the substrate to be processed;
Forming a chemically amplified resist film containing an acid generator on the antireflection film,
By irradiating the resist film with exposure light through a predetermined mask, a step of generating an acid from the acid generator in an exposed portion,
Performing a heat treatment on the resist film after exposure,
Forming a resist pattern by performing a development process on the resist film;
Forming a desired pattern on the substrate to be processed by etching the substrate to be processed following the etching of the antireflection film using the resist pattern as a mask,
A pattern forming method, wherein a cross section of the resist pattern has a tapered portion in contact with the antireflection film.
前記被加工基板はタングステン膜および該タングステン膜の上に形成された無機膜を有し、該無機膜の上に前記反射防止膜が形成されていて、
前記反射防止膜のエッチングに続いて前記無機膜のエッチングを行うことによって無機膜パターンを形成する工程と、
前記無機膜パターンをマスクとした前記タングステン膜のエッチングによってタングステン膜パターンを形成する工程とを有する請求項4に記載のパターン形成方法。
The substrate to be processed has a tungsten film and an inorganic film formed on the tungsten film, and the antireflection film is formed on the inorganic film,
Forming an inorganic film pattern by etching the inorganic film subsequent to the etching of the antireflection film,
5. The method according to claim 4, further comprising: forming a tungsten film pattern by etching the tungsten film using the inorganic film pattern as a mask.
前記テーパ形状部の上には略矩形形状を有する矩形部があって、前記テーパ形状部の高さh、幅Wと、前記矩形部の高さh、幅Wとの間に、下記式
Figure 2004279570
の関係が成立する請求項1〜5のいずれか1に記載のパターン形成方法。
There is a rectangular portion having a substantially rectangular shape on the tapered portion, and between the height h 1 and the width W 1 of the tapered portion and the height h 2 and the width W 2 of the rectangular portion. , The following formula
Figure 2004279570
The pattern forming method according to claim 1, wherein the following relationship is satisfied.
前記反射防止膜に塩基性物質または酸性物質を添加することによって前記レジスト膜中の前記酸の濃度を調節し、前記テーパ形状部の高さhおよび/または前記テーパ形状部の幅Wの値を制御する請求項6に記載のパターン形成方法。The resist the concentration of the acid to adjust in the film, the tapered portion height h 1 and / or the width W 1 of the tapered portion by adding a basic substance or acidic substance to the anti-reflecting layer 7. The pattern forming method according to claim 6, wherein the value is controlled. 前記露光光は波長157nmのFレーザ光である請求項1〜7のいずれか1に記載のパターン形成方法。The pattern forming method according to any one of claims 1 to 7 wherein the exposure light is the F 2 laser beam having a wavelength of 157 nm. 前記被加工基板は半導体基材である請求項1〜8のいずれか1に記載のパターン形成方法を用いた半導体装置の製造方法。A method of manufacturing a semiconductor device using the pattern forming method according to claim 1, wherein the substrate to be processed is a semiconductor substrate.
JP2003068379A 2003-03-13 2003-03-13 Method for forming pattern and method for manufacturing semiconductor device Pending JP2004279570A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003068379A JP2004279570A (en) 2003-03-13 2003-03-13 Method for forming pattern and method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003068379A JP2004279570A (en) 2003-03-13 2003-03-13 Method for forming pattern and method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2004279570A true JP2004279570A (en) 2004-10-07

Family

ID=33285731

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003068379A Pending JP2004279570A (en) 2003-03-13 2003-03-13 Method for forming pattern and method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2004279570A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006216928A (en) * 2005-02-04 2006-08-17 Winbond Electron Corp Immersion lithography process and structure therefor
JP2011146535A (en) * 2010-01-14 2011-07-28 Renesas Electronics Corp Pattern forming method for multilayer resist, method of manufacturing semiconductor device, and semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006216928A (en) * 2005-02-04 2006-08-17 Winbond Electron Corp Immersion lithography process and structure therefor
JP2011146535A (en) * 2010-01-14 2011-07-28 Renesas Electronics Corp Pattern forming method for multilayer resist, method of manufacturing semiconductor device, and semiconductor device

Similar Documents

Publication Publication Date Title
CN106226998B (en) Photoetching method
JP3287459B2 (en) Method for manufacturing semiconductor device
JP3245114B2 (en) Method of manufacturing semiconductor device having sidewall spacer
JP5944484B2 (en) Method for narrowing lines of radiation sensitive material in lithographic applications
JP3835545B2 (en) Photoresist pattern forming method and semiconductor device manufacturing method
US7846623B2 (en) Resist pattern and reflow technology
US20100093172A1 (en) Method of forming fine patterns of a semiconductor device
JP2001023893A (en) Method of forming photoresist pattern
JP4105106B2 (en) Fine pattern forming method
US7662542B2 (en) Pattern forming method and semiconductor device manufacturing method
TW201901303A (en) Method of lithography patterning
US6943124B1 (en) Two step exposure to strengthen structure of polyimide or negative tone photosensitive material
TWI401542B (en) Immersion lithography defect reduction with top coater removal
JP2010156819A (en) Semiconductor device manufacturing method
JP2009139695A (en) Method for manufacturing semiconductor device
US20020187434A1 (en) Process for device fabrication in which the size of lithographically produced features is subsequently reduced
JP2004279570A (en) Method for forming pattern and method for manufacturing semiconductor device
JP2004273940A (en) Method and device for pattern formation
JP5007084B2 (en) Semiconductor device manufacturing method including resist flow process and coating process
JP4417090B2 (en) Pattern forming method, mask and exposure apparatus
JP2001326173A (en) Pattern-forming method
KR19990072893A (en) Process for forming photoresist patterns
US20210391180A1 (en) Method to form narrow slot contacts
JP2005115118A (en) Pattern-forming method
JP2005114973A (en) Method for forming fine resist pattern

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050512

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20050729

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20050811