JP2004119636A - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
JP2004119636A
JP2004119636A JP2002280036A JP2002280036A JP2004119636A JP 2004119636 A JP2004119636 A JP 2004119636A JP 2002280036 A JP2002280036 A JP 2002280036A JP 2002280036 A JP2002280036 A JP 2002280036A JP 2004119636 A JP2004119636 A JP 2004119636A
Authority
JP
Japan
Prior art keywords
film
thin film
crystal
polycrystalline
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002280036A
Other languages
Japanese (ja)
Inventor
▲高▼藤 裕
Yutaka Takato
Takashi Itoga
糸賀 隆志
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP2002280036A priority Critical patent/JP2004119636A/en
Priority to US10/377,875 priority patent/US7119365B2/en
Priority to TW092105894A priority patent/TWI235486B/en
Priority to KR10-2003-0018183A priority patent/KR100532557B1/en
Priority to FR0303706A priority patent/FR2837980B1/en
Priority to CNB031085326A priority patent/CN1276512C/en
Publication of JP2004119636A publication Critical patent/JP2004119636A/en
Priority to US11/502,598 priority patent/US7619250B2/en
Priority to US12/574,029 priority patent/US7884367B2/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0102Calcium [Ca]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor device using a large and inexpensive substrate showing the stable characteristics, which also includes a single crystal Si thin film and not generating any variations and fluctuations in the junction strength of the single crystal Si thin film and in the stress working on the junction interface, and also to provide a method of manufacturing the semiconductor device. <P>SOLUTION: A polycrystal Si thin film 4 and a single crystal Si thin film 5 are formed on a SiO<SB>2</SB>film 2 deposited on the insulated substrate 1. Difference in the specified linear expansion between the insulated substrate 1 and the single crystal Si thin film 5 is about 250ppm or less within the temperature range from the room temperature or higher to 600°C or less. <P>COPYRIGHT: (C)2004,JPO

Description

【0001】
【発明の属する技術分野】
本発明は、アクティブマトリクス駆動液晶表示装置等に用いられ、周辺駆動回路やコントロール回路を集積化した装置の回路性能改善を図る半導体装置およびその製造方法に関するものである。
【0002】
【従来の技術】
従来、ガラス基板上にa−Si(非晶質Si)やp−Si(多結晶Si)の薄膜トランジスタ(Thin Film Transistor、以下、TFTと記す)を形成し、液晶表示パネルや有機ELパネル等の駆動を行う所謂アクティブマトリクス駆動を行ってきた。また、移動度が高く高速で動作するp−Siを用いて、周辺ドライバの集積化が行われてきた。あるいは、更に高い性能が要求されるイメージプロセッサやタイミングコントローラ等のシステムの集積化のため更に高性能なSiのデバイスを形成することが研究されてきた。
【0003】
これは、多結晶Siでは結晶性の不完全性に起因するギャップ内の局在準位や結晶粒界付近の欠陥やギャップ内の局在準位の存在のため移動度低下やS係数(サブスレショルド係数)増大するため、高性能なSiのデバイスを形成するには、トランジスタの性能が不充分であるという問題があるためである。
【0004】
そこで、更に高性能なSiのデバイスを形成するため、レーザー結晶化の他、例えば更に進歩したSLS(Sequential Lateral Solidification)等の結晶性改善のための技術や(例えば、特許文献1参照)、CLC(CW Laser Lateral Crystallization)(例えば、非特許文献1参照)がある。これらは、ガラス基板の上にa−Si膜を堆積し、これをいかに制御性良く結晶化するか、あるいは単結晶に近づけるかということを目指しているものである。
【0005】
しかしながら、これらのレーザーを用いる技術は、ガラス等の耐熱性の低い絶縁基板の温度を低温に保ちつつSi膜のみを高温に加熱し結晶成長を行う。このため、Si膜に10Pa程度の強い引っ張り応力が働いており、このため膜のクラックやTFT特性における再現性の悪さやバラツキが大きい等の問題が生じていた。
【0006】
一方、単結晶Siを絶縁基板上に貼り合わせ、これを薄膜化する技術がある(例えば、特許文献2参照)。この技術によると、単結晶Si基板上に酸化膜を形成し、その上に単結晶Si薄膜を形成することができる。しかし、Si以外の絶縁基板、例えばガラス基板あるいは石英基板と接合しようとすると、石英基板などの絶縁基板との熱膨張係数差により、Siが剥がれたり破壊されたりするという問題があった。
【0007】
これに対し、石英基板との熱膨張係数差による加熱接合強度向上工程での上記破壊を防止するため、結晶化ガラスの組成を変える方法がある(例えば、特許文献3参照)。
【0008】
【特許文献1】
米国特許第6300175号明細書(2001年10月9日)
【0009】
【特許文献2】
特開平5−211128号公報(1993年8月20日公開)
【0010】
【特許文献3】
特開平11−163363号公報(1999年6月18日公開)
【0011】
【非特許文献1】
A. Hara et. al., ”Ultra−high Performance Poly−Si TFTs on a Glass by a Stable Scanning CW Laser Lateral Crystallization”, 2001 International Workshop on Active matrix Liquid Crystal Displays −−TFT Technologies and Related materials −− (AM−LCD2001), Digest of Technical Papers, p.227−230,July 11−13,2001, Japan
Society of Applied Physics(日本応用物理学会)
【0012】
【発明が解決しようとする課題】
しかしながら、結晶化ガラスは一般にアルカリ原子を含み、特性の安定なトランジスタを得ることと相反する性質がある。また、以上の技術では単結晶Si基板の形状が6、8、12インチの円板であるため、接合する絶縁基板が6、8、12インチの円板に限られ、これにより大型の液晶表示パネルや有機ELパネルを製造することは不可能で、また小型であっても製造コストが高くなり実用化が困難であった。
【0013】
さらに、アルカリ原子を含まない基板を用いた場合、単結晶Si基板と絶縁基板とを接合する際、熱膨張係数差により、接合強度が低下する。また、接合した界面に応力が働く場合、界面に働く応力のムラやバラツキにより、形成するTFT特性の悪化を招来する。
【0014】
本発明は、上記の問題点に鑑みてなされたものであり、その目的は、単結晶Si薄膜を有する特性の安定した大型かつ安価な基板であり、単結晶Si薄膜の接合強度および接合界面に働く応力にムラやバラツキのない半導体装置およびその製造方法を提供することにある。
【0015】
【課題を解決するための手段】
本発明の半導体装置は、上記の課題を解決するために、絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とがそれぞれ異なる領域に形成されている半導体装置であって、上記絶縁基板と上記単結晶Si薄膜との規格化された線膨張の差が、概ね室温以上600℃以下の温度範囲において、約250ppm以下であることを特徴としている。
【0016】
通常、高性能なデバイスを形成する上で障碍となる、多結晶Siに特有の結晶性の不完全性に起因するギャップ内の局在準位や結晶粒界付近の欠陥やギャップ内の局在準位の存在による移動度の低下やS係数(サブスレショルド係数)の増加等の問題は活性層として用いる半導体薄膜を単結晶Siとすることにより解消できる。
【0017】
そこで、上記の構成によれば、大型のガラス基板等の絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とがそれぞれ異なる領域に形成されている。従って、より高性能が要求されるデバイス、例えばタイミングコントローラやマイクロプロセッサ等を単結晶Si薄膜の形成領域にて形成し、残りのデバイスを多結晶Si薄膜の形成領域にて形成することができる。
【0018】
即ち、単結晶Si薄膜のサイズが限られていても、単結晶Siが必要となる高速性、消費電力、バラツキが問われる高速のロジック、タイミングジェネレータ、高速のDAC(電流バッファ)、等を形成するのに十分なサイズであればよい。従って、単結晶Siにてのみ実現可能な高性能・高機能の回路システムを基板上に一体集積化できるので、例えば、高性能なシステムを集積化した液晶パネルあるいは有機ELパネル等の表示装置用の半導体装置を、全てのデバイスを単結晶Siにて形成する場合に比べて、非常に低コストにて製造できる。
【0019】
また、単結晶Siの基板形状はLSI製造装置のウェハサイズである6、8、12インチの円板に限定されるが、基板上には多結晶Si薄膜も形成されているので、例えば、大型の液晶表示パネルや有機ELパネルを製造することも可能になる。
【0020】
さらに、特許文献3に記載されるような石英基板との熱膨張係数差による加熱接合強度向上工程での破壊を防止するため組成を調節した結晶化ガラスを用いる必要が無くなる。よって、結晶化ガラスのために生じていたアルカリ金属による汚染の問題がなくなり、かつ熱膨張係数差による加熱接合強度向上工程における破壊を防止することができる。
【0021】
また、絶縁基板と単結晶Si薄膜との規格化された線膨張の差が、概ね室温以上600℃以下の温度範囲において、約250ppm以下であることにより、絶縁基板と単結晶Si薄膜との線膨張係数の差が小さくなる。従って、絶縁基板上に単結晶Si薄膜を形成するための工程において、熱膨張係数差による水素注入位置からの劈開剥離工程における破壊や接合界面剥離、あるいは結晶中の欠陥発生を確実に防止することができ、また、加熱接合強度の向上を図ることができる。なお、ここで熱膨張とは、温度変化に起因する長さの変化である。
【0022】
本発明の半導体装置は、絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とがそれぞれ異なる領域に形成されている半導体装置であって、上記単結晶Si薄膜におけるラマンピークのシフト量は、519.5cm−1以上、かつ、521.5cm−1以下であることを特徴としている。
【0023】
上記の構成によれば、多結晶Si薄膜と単結晶Si薄膜とがそれぞれ異なる領域に形成されている。従って、より高性能が要求されるデバイス、例えばタイミングコントローラやマイクロプロセッサ等を単結晶Si薄膜の形成領域にて形成し、残りのデバイスを多結晶Si薄膜の形成領域にて形成することができる。
【0024】
通常、レーザーを用いて結晶化や結晶成長を行う場合には、Si薄膜に大きな応力が残ることとなる。
【0025】
しかしながら、上記の構成によれば、単結晶Si薄膜におけるラマンピークのシフト量が、519.5cm−1以上、かつ、521.5cm−1以下であることにより、単結晶Si薄膜におけるSi界面に働く応力を実質的にほぼゼロとすることができる。従って、TFTを形成した場合、Si界面に働く応力のムラやバラツキによるSi結晶の歪みに起因する移動度の低下やバラツキ、あるいは界面の欠陥やこれに伴う界面固定電荷、界面の局在準位による閾値シフトやバラツキ、特性安定性低下等を確実に防止できる。
【0026】
上記の半導体装置は、絶縁基板が、少なくとも単結晶Siの存在する領域の表面にSiO層が形成されたアルカリ土類−アルミノ硼珪酸ガラスからなる高歪点ガラスからなることが好ましい。
【0027】
上記の構成によれば、組成を調節した結晶化ガラスを用いる必要が無くなるので、絶縁基板がアクティブマトリクス駆動による液晶表示パネル等に一般的に使用される高歪点ガラスからなることによって、低コストにて半導体装置を製造できる。
【0028】
上記の半導体装置は、絶縁基板が、バリウム−アルミノ硼珪酸ガラス、アルカリ土類−アルミノ硼珪酸ガラス、硼珪酸ガラス、アルカリ土類−亜鉛−鉛−アルミノ硼珪酸ガラス、アルカリ土類−亜鉛−アルミノ硼珪酸ガラスのうち何れか1つからなることが好ましい。
【0029】
上記の構成によれば、さらに、アクティブマトリクス駆動による液晶表示パネル等に一般的に使用される高歪点ガラスである上記記載のガラスから絶縁基板がなるので、低コストにてアクティブマトリクス基板に好適な半導体装置を製造できる。
【0030】
上記の半導体装置は、絶縁基板上に複数のMOSFET、バイポーラトランジスタ、またはSITからなる集積回路を含むアクティブマトリクス基板であることが好ましい。
【0031】
上記の構成によれば、さらに、半導体装置が、絶縁基板上に複数のMOS(Metal Oxide Semiconductor)FET(TFT)からなる集積回路を形成したアクティブマトリクス基板であるので、上記特徴を有するアクティブマトリクス基板を得ることができる。
【0032】
上記の半導体装置は、絶縁基板上に形成されている単結晶Si薄膜の領域と多結晶Si薄膜の領域とが、0.3μm以上離れていることが好ましい。また、上記の半導体装置は、絶縁基板上に形成されている単結晶Si薄膜の領域と多結晶Si薄膜の領域とが、0.5μm以上離れていることがさらに好ましい。
【0033】
上記の構成によれば、多結晶Siから単結晶Siに、例えば、Ni、Pt、Sn、Pd等が拡散してくることを防止し、半導体装置の特性の安定を図ることができる。
【0034】
上記の半導体装置は、異なる領域にそれぞれ形成された同一導電型のトランジスタにおいて、移動度、サブスレショルド係数、閾値のうち少なくとも1つが、上記領域毎に異なることが好ましい。
【0035】
上記の構成によれば、さらに、異なる領域にそれぞれ形成された一導電型のトランジスタにおいて、移動度、サブスレショルド係数、閾値のうち少なくとも1つが異なるので、必要とする特性に合わせてトランジスタを適した領域に形成することができる。
【0036】
上記の半導体装置は、異なる領域にそれぞれ形成された集積回路において、ゲート長、ゲート酸化膜の膜厚、電源電圧、ロジックレベルのうち少なくとも1つが、上記領域毎に異なることが好ましい。
【0037】
上記の構成によれば、さらに、異なる領域にそれぞれ形成された集積回路において、ゲート長、ゲート酸化膜の膜厚、電源電圧、ロジックレベルのうち少なくとも1つ異なるので、必要とする構成および特性に合わせて集積回路を適した領域に形成することができる。
【0038】
上記の半導体装置は、異なる領域にそれぞれ形成された集積回路において、加工ルールが上記領域毎に異なることが好ましい。
【0039】
上記の構成によれば、さらに、異なる領域にそれぞれ形成された集積回路は加工ルールが異なるので、加工ルールに合わせて集積回路を適した領域に形成することができる。
【0040】
上記の半導体装置は、単結晶Si薄膜の膜厚dが不純物Niで定まる最大空乏長Wmに対しバラツキのマージンを含めた小さい値、すなわち不純物密度が実用的下限である1015cm−3であってもdの上限である概ね600nm以下であることが好ましい。
【0041】
ここで、Wm=〔4εkTln(Ni/ni)qNi〕1/2であり、niは真性キャリア密度、kはボルツマン定数、Tは絶対温度、εはSiの誘電率、qは電子電荷、Niは不純物密度である。
【0042】
上記の構成によれば、単結晶Si薄膜の膜厚が概ね600nm以下であるので、半導体装置のS値が小さくなり、またオフ電流が低下する。
【0043】
上記の半導体装置は、単結晶Si薄膜の膜厚が100nm以下であることが好ましい。
【0044】
上記の構成によれば、さらに、一層半導体装置のS値(サブスレショルド係数)が小さくなり、またオフ電流が低下する。
【0045】
本発明の半導体装置の製造方法は、上記の課題を解決するために、絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とが形成された半導体装置の製造方法において、絶縁基板表面にSiO膜および非晶質Si膜を順次堆積する工程と、上記非晶質Si膜を加熱し、多結晶Si層を成長させ、多結晶Si薄膜を形成する工程と、上記多結晶Si薄膜の所定の領域をエッチング除去する工程と、予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、上記エッチング除去した領域の一部または概ね全領域を覆う所定の形状に切断する工程と、上記絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、上記切断した単結晶Si基板を、水素イオンを注入した側の面を上記エッチング除去した領域に室温で密着させることで、上記両基板を接合する工程と、熱処理することにより、上記水素イオン注入部を境に劈開剥離し、上記絶縁基板上に単結晶Si薄膜を形成する工程とを含むことを特徴としている。
【0046】
上記の方法によれば、所定の深さに所定の濃度の水素イオンを注入した単結晶Si基板を加熱することにより、接合強度を高めることができるとともに、単結晶Si基板を水素イオン注入部を境に剥離することにより単結晶Si薄膜を得ることができる。よって、高性能なデバイスを形成する上で障碍となる、多結晶Siに特有の結晶性の不完全性に起因するギャップ内の局在準位や結晶粒界付近の欠陥やギャップ内の局在準位の存在のためによる移動度の低下やS係数の増加等の問題は、単結晶Siにて解消できる。従って、絶縁基板上に単結晶Si薄膜と多結晶Si薄膜とを形成でき、以降の工程を共通の加工プロセスにて、より高性能が要求されるデバイスは単結晶Siにて形成し、残りのデバイスを多結晶Siにて形成することができる。よって、高性能なシステムを集積化した液晶パネルあるいは有機ELパネル等の表示装置等の半導体装置等を低コストにて製造できる。
【0047】
また、SiO膜を予め形成しこれを介してガラス基板等の絶縁基板に単結晶Si基板を接合するので、接合したSi界面に働く応力によるSi結晶の歪みに起因する移動度の低下、あるいは界面の欠陥やこれに伴う界面固定電荷、界面の局在準位による閾値シフト、特性安定性低下等を防止できる。これにより、石英基板との熱膨張係数差による加熱接合強度向上・剥離工程にての破壊を防止するため組成を調節した結晶化ガラスを用いる必要が無くなり、高歪点ガラスを用いることができる。よって、結晶化ガラスによるアルカリ金属による汚染の問題がなくなり、熱膨張係数差による加熱接合強度向上・剥離工程にての破壊を防止する事ができる。
【0048】
更に、例えば、大面積の高歪点ガラス基板上に多結晶Si膜を形成し、適切なサイズに加工した単結晶Si基板を接合すべき領域を覆うように多結晶Si薄膜を予めエッチング除去し、この領域に単結晶Si基板を接合し、剥離により単結晶Si薄膜とSiO膜を残し、それ以外の単結晶Siを剥離除去することによりガラス基板全体に亘り応力の偏りを無くすことができる。これにより、Siが剥がれたりクラックや破壊を生じること無く、基板の一部の領域が単結晶Si薄膜、残りの領域部分が多結晶Si薄膜からなる基板を得ることができる。
【0049】
また、単結晶Si基板の形状はLSI製造装置のウェハサイズである6、8、12インチの円板に限定されるが、絶縁基板上には多結晶Si薄膜も形成されているので、例えば、大型の液晶表示パネルや有機ELパネル等の半導体装置を製造できる。
【0050】
また、単結晶Si基板は、SiO膜を介して室温で絶縁基板1に接合するので、接合したSi界面に働く応力を実質的にほぼゼロとすることができる。従って、界面に働く応力のムラやバラツキによるSi結晶の歪みに起因する移動度の低下やバラツキ、あるいは界面の欠陥やこれに伴う界面固定電荷、界面の局在準位による閾値シフトやバラツキ、特性安定性低下等をより確実に防止できる。
【0051】
本発明の半導体装置の製造方法は、上記の課題を解決するために、絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とが形成された半導体装置の製造方法において、絶縁基板表面にSiO膜および非晶質Si膜を順次堆積する工程と、上記非晶質Si膜を加熱し、多結晶Si層を成長させ、多結晶Si薄膜を形成する工程と、所定の領域の上記多結晶Si薄膜をエッチング除去するとともに、同じ領域の上記SiO膜の厚さ方向における一部をエッチング除去する工程と、予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、上記エッチング除去した領域の一部または概ね全領域を覆う所定の形状に切断する工程と、上記絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、上記切断した単結晶Si基板を、水素イオンを注入した側の面を上記エッチング除去した領域に室温で密着させることで、上記両基板を接合する工程と、熱処理することにより、上記水素イオン注入部を境に劈開剥離し、上記絶縁基板上に単結晶Si薄膜を形成する工程とを含むことを特徴としている。
【0052】
上記の方法によれば、上記製造方法の利点に加えて、さらに、所定の領域の多結晶Si層をエッチング除去するとともに、同じ領域のSiO膜の厚さ方向における一部をエッチング除去するので、単結晶Si基板の貼付面側におけるSiO膜の厚さの影響がキャンセルされ、絶縁基板上の単結晶Si薄膜および多結晶Si薄膜の領域の高さが概ね同等である基板を得ることができる。その結果、島エッチングを含め以降のほとんどの工程を同時に処理することが可能となる。また、これにより、段差の小さいトランジスタあるいは回路が形成される。よって、例えば液晶パネルの場合、セル厚制御にて優位となる。
【0053】
本発明の半導体装置の製造方法は、上記の課題を解決するために、絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とが形成された半導体装置の製造方法において、絶縁基板表面にSiO膜を堆積する工程と、予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、所定の形状に切断する工程と、上記絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、上記切断した単結晶Si基板を、水素イオンを注入した側の面を上記絶縁基板のSiO膜側表面における所定の位置に室温で密着させ接合する工程と、熱処理することにより、上記水素イオン注入部を境に劈開剥離し、上記絶縁基板上に単結晶Si薄膜を形成する工程と、上記絶縁基板上に絶縁膜および非晶質Si膜を順次堆積する工程と、上記非晶質Si膜を加熱し、多結晶Si層を成長させ、多結晶Si薄膜を形成する工程とを含むことを特徴としている。
【0054】
上記の方法によれば、上記各製造方法と同様の利点を得ることができる。
【0055】
本発明の半導体装置の製造方法は、上記の課題を解決するために、絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とが形成された半導体装置の製造方法において、絶縁基板表面にSiO膜を堆積する工程と、所定の領域の上記SiO膜の厚さ方向における一部をエッチング除去する工程と、予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、上記エッチング除去した領域の一部または概ね全領域を覆う所定の形状に切断する工程と、上記絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、上記切断した単結晶Si基板における水素イオンを注入した側の面を、上記エッチング除去した領域に室温で密着させ接合する工程と、熱処理することにより、上記水素イオン注入部を境に劈開剥離し、単結晶Si薄膜を形成する工程と、上記絶縁基板上に絶縁膜および非晶質Si膜を順次堆積する工程と、上記非晶質Si膜を加熱し、多結晶Si層を成長させ、多結晶Si薄膜を形成する工程とを含むことを特徴としている。
【0056】
上記の方法によれば、上記各製造方法と同様の利点を得ることができる。
【0057】
本発明の半導体装置の製造方法は、上記の課題を解決するために、絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とが形成された半導体装置の製造方法において、絶縁基板表面に第1SiO膜、非晶質Si膜、および、第2SiO膜を順次堆積する工程と、上記第2SiO膜の所定の領域をエッチング除去することで上記非晶質Si膜の一部を露出させる工程と、上記露出した非晶質Si膜を薄く(数nm)酸化して酸化膜を形成し、該酸化膜上に酢酸Ni水溶液をスピンコートする工程と、上記非晶質Si膜を加熱し、メタルアシストにより結晶成長方向が促進された多結晶Si層を成長させ、多結晶Si薄膜を形成する工程と、上記第2SiO膜と上記酸化膜とを除去する工程と、上記多結晶Si層の所定の領域をエッチング除去する工程と、予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、上記エッチング除去した領域の一部または概ね全領域を覆う所定の形状に切断する工程と、上記絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、上記切断した単結晶Si基板を、水素イオンを注入した側の面を上記エッチング除去した領域に室温で密着させることで、上記両基板を接合する工程と、熱処理することにより、上記水素イオン注入部を境に劈開剥離し、上記絶縁基板上に単結晶Si薄膜を形成する工程とを含むことを特徴としている。
【0058】
上記の方法によれば、上記各製造方法と同様の利点を得ることができる。
【0059】
上記の半導体装置の製造方法は、300℃以上650℃以下の1段階または多段階の温度ステップにより、上記熱処理をすることが好ましい。
【0060】
上記の方法によれば、1段階の温度ステップ、即ち1工程にて熱処理をすることができる。
【0061】
上記の半導体装置の製造方法は、多結晶Si層を成長させるときに、上記非晶質Si膜にNi、Pt、Sn、Pdの内少なくとも1つを添加することが好ましい。
【0062】
上記の方法によれば、多結晶Si層を成長させるときに、非晶質Si膜にNi、Pt、Sn、Pdの内少なくとも1つを添加し、その後加熱することにより、多結晶Si層の結晶成長を促進することができる。よって、多結晶Si層の移動度を高くすることができ、駆動回路形成などにおいて有利になる。
【0063】
上記の半導体装置の製造方法は、レーザー照射によって、単結晶Si基板の水素イオン注入部の温度をSiから水素が離脱する温度以上に昇温することにより、単結晶Si基板を水素イオン注入部を境に劈開剥離する工程を行うことが好ましい。
【0064】
上記の方法によれば、レーザー照射によって、単結晶Si基板の水素イオン注入部の温度を昇温するので、狭い範囲の領域のみを昇温することができ、単結晶Siの損傷を抑えることがきる。
【0065】
上記の半導体装置は、概ね700℃以上のピーク温度を含むランプアニールを行うことにより、単結晶Si基板を水素イオン注入部を境に剥離することが好ましい。
【0066】
上記の方法によれば、概ね700℃以上のピーク温度を含む瞬間熱アニール(Rapid Thermal Anneal)であるランプアニールを行い、単結晶Si基板を水素イオン注入部を境に剥離するので、更に接合強度が向上するとともに、剥離界面及び単結晶Si薄膜内部の水素イオン注入による損傷の回復によりトランジスタの特性を向上できる。なお、ランプアニールのピーク温度は高いほどトランジスタの特性は向上するが、基板の反りや伸縮が大きくなる。よって、基板サイズや形成するデバイスの種類により適切な温度と保持時間を選べばよい。
【0067】
上記の半導体装置の製造方法は、絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とが形成された後、等方性プラズマエッチングまたはウエットエッチングにより単結晶Si薄膜表面の損傷層をエッチング除去する工程と、多結晶Si薄膜と単結晶Si薄膜をエッチングにより島状にパターン化する工程と、多結晶Si薄膜および単結晶Si薄膜上全面にエッチバック用SiO膜を堆積後、異方性エッチングにより、エッチバック用SiO膜の一部を残して、または膜厚全部をエッチングバックする工程と、SiO膜を堆積することにより、ゲート絶縁膜を形成する工程とをさらに含むことが好ましい。
【0068】
上記の方法によれば、一般的なポリシリコンTFT形成工程が行われるので、従来の工程を用いて前記特徴を有するTFTを製造することができる。
【0069】
上記の半導体装置の製造方法は、絶縁基板上に上記多結晶Si薄膜と上記単結晶Si薄膜とが形成された後、等方性プラズマエッチングまたはウエットエッチングにより上記単結晶Si薄膜表面の損傷層をエッチング除去する工程と、上記多結晶Si薄膜と上記単結晶Si薄膜をエッチングにより島状にパターン化する工程と、上記多結晶Si薄膜および上記単結晶Si薄膜上全面にエッチバック用SiO膜を堆積後、更に樹脂平坦化膜を全面に塗布する工程と、異方性エッチングにより、上記樹脂平坦化膜の全部と上記エッチバック用SiO膜の一部とをエッチングバックする工程と、SiO膜を堆積することにより、ゲート絶縁膜を形成する工程とをさらに含むことが好ましい。
【0070】
上記の方法によれば、多結晶Si薄膜と単結晶Si薄膜とのパターン間の谷状部に酸化膜(SiO膜)が残ることとなり、基板全体の平坦化を図ることができる。
【0071】
上記の半導体装置の製造方法は、絶縁基板上に形成した上記単結晶Si薄膜と上記多結晶Si薄膜をエッチングにより島状にパターン化してMOSトランジスタを形成し、N型MOSトランジスタおよびP型MOSトランジスタのソースおよびドレイン領域の少なくとも一部に、概ね1015/cm以上5×1015/cm以下のPイオンを注入する工程とをさらに含むことが好ましい。
【0072】
上記の方法によれば、N型MOSトランジスタおよびP型MOSトランジスタのソース及びドレイン領域の少なくとも一部に概ね1015/cm以上5×1015/cm以下のPイオンを注入する。従って、その後、RTA、レーザー、炉等により加熱処理を行い、多結晶Si薄膜領域のみならず単結晶Si薄膜領域も同時に金属原子をゲッタリングすることにより、更に特性バラツキが小さく特性の安定なTFTを得ることができる。
【0073】
上記の半導体装置の製造方法は、単結晶Si薄膜の膜厚が多結晶Si薄膜の膜厚と概ね等しいことが好ましい。
【0074】
上記の方法によれば、島状パターン化のためのエッチングを含め以降の工程をほとんどの工程を同時に処理することが可能となり、かつ段差の小さいトランジスタあるいは回路が形成できる。よって、例えば液晶パネルの場合、セル厚制御にて優位となる。
【0075】
上記の半導体装置の製造方法は、単結晶Si基板の表面に形成予め形成されたSiO膜の膜厚が、200nm以上、さらに望ましくは、300nm以上であることが好ましい。
【0076】
通常、SiO膜の膜厚は厚い程、閾値のバラツキは減少するが、SiO膜形成工程の効率(酸化に要する時間)や段差とのトレードオフにより、適切な値は概ね200nm〜400nmとなる。バラツキを重視する場合は概ね400nm以上、段差や効率を重視する場合は概ね200nm〜400nm、より望ましくは、250nm〜350nmが適切な値となる。SiO膜の膜厚が厚いと、特に低電圧における動作の安定性が向上する。これは接合した単結晶Si基板とガラス基板等の絶縁基板界面の汚染、あるいは格子の歪みや不完全性に起因する固定電荷の影響が軽減されるためである。
【0077】
そこで、上記の方法によれば、閾値のバラツキと、SiO膜形成工程の効率や段差とのバランスに適切な半導体基板を得ることができる。
【0078】
上記の半導体装置の製造方法は、単結晶Si薄膜の最大寸法が10cm以下であることが好ましい。
【0079】
上記の方法によれば、単結晶Si薄膜の最大寸法が10cm以下であれば、石英基板より単結晶Siとの熱膨張係数差の大きい、一般にアクティブマトリクス駆動による液晶表示パネル等に一般的に使用される高歪点ガラスを用いても、クラック等の破壊やSiの剥がれを防止できる。なお、単結晶Si薄膜の最大寸法とは、薄い厚みを有する単結晶Si薄膜の表面形状における各寸法中の最大の寸法を意味している。例えば、単結晶Si薄膜が円形であるときはその直径を、単結晶Si薄膜が薄い長方形である場合には上面四角形状の対角線長さを意味している。
【0080】
上記の半導体装置の製造方法は、単結晶Si薄膜の最大寸法が5cm以下であることが好ましい。
【0081】
上記の方法によれば、さらに、単結晶Si薄膜の最大寸法が5cm以下であれば、石英基板より単結晶Siとの熱膨張係数差の大きい、一般にアクティブマトリクス駆動による液晶表示パネル等に一般的に使用される高歪点ガラスを用いても、一層クラック等の破壊やSiの剥がれを防止できる。
【0082】
上記の半導体装置の製造方法は、単結晶Si薄膜が、絶縁基板との規格化された線膨張の差が、概ね室温以上600℃以下の温度範囲において、約250ppm以下であることが好ましい。
【0083】
上記の方法によれば、絶縁基板と単結晶Si薄膜との線膨張係数の差が小さくなる。従って、絶縁基板上に単結晶Si薄膜を形成するための工程において、熱膨張係数差による水素注入位置からの劈開剥離工程における破壊や接合界面剥離、あるいは結晶中の欠陥発生を確実に防止することができ、また、加熱接合強度の向上を図ることができる。
【0084】
上記の半導体装置の製造方法は、水素イオン注入部に注入する水素イオンのドーズ量は、1016/cm以上、さらには、概ね3×1016/cmであることが好ましい。
【0085】
上記の方法によれば、単結晶Si薄膜の領域に形成されているTFTの移動度等の特性を向上させることができる。
【0086】
【発明の実施の形態】
〔実施の形態1〕
本発明の実施の一形態について図1および図6に基づいて説明すれば、以下の通りである。
【0087】
図1は、本実施の形態に係るアクティブマトリクス基板20(半導体装置)の製造工程の一例を示す。図1(h)に示すように、本アクティブマトリクス基板20は、絶縁基板1、SiO(酸化シリコン)膜2・11、多結晶Si薄膜4、単結晶Si薄膜5、ゲート酸化膜6、ゲート電極21、層間絶縁膜22、および、金属配線24を備えている。また、アクティブマトリクス基板20基板は、スイッチング素子である薄膜トランジスタ(TFT:thin film transistor)を備えている。アクティブマトリクス基板20は、例えば液晶表示装置等に用いられる。
【0088】
絶縁基板1は、高歪点ガラスからなる。ここでは、絶縁基板1として、アルカリ土類−アルミノ硼珪酸ガラスからなるcode1737(コーニング社製)を用いている。
【0089】
なお、絶縁基板1の材料としては、特に限定されるものではなく、高歪点ガラスであるバリウム−アルミノ硼珪酸ガラス、硼珪酸ガラス、アルカリ土類−亜鉛−鉛−アルミノ硼珪酸ガラス、アルカリ土類−亜鉛−アルミノ硼珪酸ガラス等であってもよい。
【0090】
絶縁基板1の表面上には、略全面に、SiOからなるSiO膜2が形成されている。SiO膜2の膜厚は、約100nmである。
【0091】
SiO膜2上には、多結晶Si薄膜4およびSiO膜11が形成されている。多結晶Si薄膜4は、島状パターンとなるように形成されており、その膜厚は、約50nmである。SiO膜11は、SiO膜2上であって、多結晶Si薄膜4とは異なる領域に島状パターンとなるように形成されており、その膜厚は、約200nmである。SiO膜11上には、さらに、同形状の単結晶Si薄膜5が、島状パターンになるように形成されている。単結晶Si薄膜5の膜厚は、約50nmである。
【0092】
隣り合う多結晶Si薄膜4の領域と単結晶Si薄膜5の領域とは、少なくとも0.3μm、好ましくは0.5μm以上離れている。
【0093】
これにより、後述する多結晶Si薄膜4の製造工程にて用いられるNi、Pt、Sn、Pd等の金属原子が、単結晶Si領域に拡散するのを防止し、特性の安定化を図ることができる。
【0094】
SiO膜2、多結晶Si薄膜4、および、単結晶Si薄膜5の全面上に亘って、SiOからなるゲート酸化膜6が形成されている。ゲート酸化膜6の膜厚は約60nmである。
【0095】
多結晶Si薄膜4および単結晶Si薄膜5における各島状パターンの領域上面のゲート酸化膜6には、ゲート電極21が形成されている。ゲート電極21は、多結晶SiとWシリサイドとからなる。なお、ゲート電極21の材料は、特に限定されるものではなく、例えば、多結晶Si、他のシリサイド、あるいはポリサイドや高融点金属等であってもかまわない。
【0096】
このゲート電極21が形成されたゲート酸化膜6の全面上に亘り、SiOからなる層間絶縁膜22が形成されている。ただし、層間絶縁膜22は開口としてのコンタクトホール23(図1(g)参照)を有しており、このコンタクトホール23にはAlSi等の金属からなる金属配線24が形成されている。金属配線24は、多結晶Si薄膜4および単結晶Si薄膜5における各島状パターンの領域の上面から形成されている。
【0097】
さらに、アクティブマトリクス基板20は、液晶表示用に、図示しないSiNx(窒化シリコン)、樹脂平坦化膜、ビアホール、透明電極等が形成されている。また、多結晶Si薄膜領域にてドライバおよび表示部用のTFTが形成され、単結晶Si薄膜領域にてドライバによる駆動の各タイミングを制御するタイミングコントローラやマイクロプロセッサ等が形成されている。勿論、ドライバも単結晶Siで形成しても良い。この場合は更に性能が向上し、デバイス面積がより小さく、均一性が優れ、より低電圧で動作するがコスト面は増加するので、用途により選択される。
【0098】
以下、アクティブマトリクス基板20の製造方法について、図1(a)〜図1(h)に基づいて説明する。
【0099】
まず、上述したcode1737(コーニング社製)からなる絶縁基板1の表面上全面に、TEOS(Tetra Ethoxy Silane、即ちSi(OC)とO(酸素)とからなる混合ガスを用いて、プラズマ化学気相成長法(P−CVD:PlasmaChemical Vapor Deposition、以下、P−CVD法と称する)により、膜厚約100nmのSiO膜2を堆積する。
【0100】
続いて、SiO膜2上に、SiHガスを用いたP−CVD法により、膜厚約50nmの非晶質Si膜3を堆積する(図1(a))。
【0101】
そして、非晶質Si膜3にエキシマレーザーを照射加熱して非晶質Siを結晶化し、多結晶Si層を成長させることにより、多結晶Si薄膜4を形成する。
【0102】
なお、多結晶Si薄膜4を形成するための非晶質Si膜3への加熱は、エキシマレーザーによる照射加熱に限らず、例えば、他のレーザーによる照射加熱であっても、炉を用いる加熱であってもよい。また、結晶の成長を促進させるために、非晶質Si膜3にNi、Pt、Sn、Pdの内、少なくとも1つを添加してもよい。
【0103】
次に、多結晶Si薄膜4のうち所定の領域を、エッチングにより除去する(図1(b))。
【0104】
一方、単結晶Si基板10を用意する。単結晶Si基板10は、予め表面を酸化する、あるいは、酸化膜(SiO膜)を積層することにより、膜厚約200nmのSiO膜11が形成されている。また、単結晶Si基板10は、1016/cm以上、ここでは5×1016/cmのドーズ量の水素イオンを所定のエネルギー(ここでは約24keV)にて注入された水素イオン注入部12を有し、硼素が約3×1015cm−3ドープされている。この硼素濃度の不純物により、NチャネルTFTの閾値が適切な値に設定される。
【0105】
そして、多結晶Si薄膜4をエッチングにより除去した所定の領域の形状より少なくとも0.3μm、好ましくは0.5μm以上小さい形状に、ダイシング、または、KOH等による異方性エッチングなどによって、単結晶Si基板10を切断する。
【0106】
続いて、多結晶Si薄膜4が形成された基板と単結晶Si基板10との両基板を、パーティクル除去と表面の活性化のためSC−1で洗浄し活性化した後、切断した単結晶Si基板10の水素イオン注入部12に近い側の表面を、エッチング除去(図1(b))した領域に室温で密着させ接合する(図1(c))。ここで、SC−1洗浄とは、一般にRCA洗浄と呼ばれる洗浄法の一つであって、アンモニアと過酸化水素と純水からなる洗浄液を用いて行う。
【0107】
その後、300℃〜600℃、ここでは約550℃の温度で熱処理し、単結晶Si基板10の水素イオン注入部12の温度を、Siから水素が離脱する温度以上に昇温する。これにより、水素イオン注入部12を境に、単結晶Si基板10を劈開剥離する。
【0108】
なお、この熱処理としては特に限定されるものではなく、例えば、レーザー照射または約700℃以上のピーク温度を含むランプアニールを用いることにより、単結晶Si基板10の水素イオン注入部12の温度をSiから水素が離脱する温度以上に昇温してもかまわない。
【0109】
そして、剥離されて絶縁基板1上に残った単結晶Si基板表面の損傷層を、等方性プラズマエッチングまたはウエットエッチング、ここではバッファフッ酸による等方性プラズマエッチングにて約20nmライトエッチすることにより除去する。これにより、絶縁基板1上にそれぞれ膜厚約50nmの多結晶Si薄膜4と単結晶Si薄膜5とを得る。(図1(d))。
【0110】
なお、上記接合工程(図1(c)参照)において、単結晶Si基板10を室温にて接合後、300〜350℃で約30分熱処理した後、さらに約550℃にて熱処理し劈開剥離すると劈開剥離に伴う剥がれが減少した。
【0111】
また、この時点で既に十分なSiと基板との接合強度が得られるが、更に接合強度を向上するには、例えば、その後、約800℃にて1分間ランプアニールを行えばよい。これはソース・ドレインの注入不純物の活性化と兼ねても良い。
【0112】
次に、デバイスの活性領域となる部分を残し、不要なSi薄膜4・5をエッチングにより除去することにより、島状のパターンを得る(図1(e))。
【0113】
続いて、TEOSとO(酸素)の混合ガスを用いて、P−CVD法により、膜厚約350nmのSiO膜(エッチバック用SiO膜)を堆積し、これを異方性エッチングであるRIE(リアクティブイオンエッチング)にて約400nmエッチングバックする。その後、SiHとNOとの混合ガスを用いて、P−CVD法により、膜厚約60nmのゲート酸化膜6(SiO膜)を形成する(図1(f))。
【0114】
このとき、形成した多結晶Si薄膜4と単結晶Si薄膜5とのパターン間のスペースが小さいときは段差が埋められ、大きいときはサイドウォールが形成される。
【0115】
以降、通常のよく知られたp−Si(多結晶シリコン)形TFTマトリクス基板の形成プロセスと同様のプロセスにより形成すればよい。即ち、多結晶Si、シリサイド、あるいはポリサイド等からなるゲート電極21を形成した後、PおよびBをイオン注入し、層間絶縁膜(SiO膜)22を堆積し、コンタクトホール23を開口する(図1(g))。その後、コンタクトホール23に、金属(AlSi)配線24を形成する(図1(h))。
【0116】
なお、絶縁基板1上に形成した単結晶Si薄膜5と多結晶Si薄膜4を島状にエッチングパターン化することによりMOSトランジスタを形成し、N型MOSトランジスタおよびP型MOSトランジスタのソース及びドレイン領域の少なくとも一部に約1015/cm以上のPイオンを注入する。これにより、その後、瞬間熱アニ−ル(Rapid Thermal Anneal、以下RTAと称する)、レーザー、炉等により加熱処理を行い、多結晶Si薄膜4領域のみならず単結晶Si薄膜5領域も同時に金属原子をゲッタリングすることにより特性バラツキが小さく特性の安定なTFTを得ることができる。
【0117】
続いて、液晶表示用に更に、SiNx(窒化シリコン)、樹脂平坦化膜、ビアホール、透明電極を順次形成する。そして、多結晶Si薄膜4領域にてドライバおよび表示部用のTFTを形成し、タイミングコントローラやマイクロプロセッサ等を単結晶Si薄膜5領域にて形成する。
【0118】
ところで、上述した単結晶Si基板10を絶縁基板1に密着させて接合した後、熱処理により単結晶Si基板10を絶縁基板1から劈開剥離する際(図1(c)(d)参照)、接合・劈開剥離が良好か否かは、絶縁基板1の材料に起因する。
【0119】
ここで、図6に基づいて、単結晶Si基板10の材料(Si:Silicon)、上記絶縁基板1の材料(code1737(コーニング社製))、および、バリウム−硼珪酸ガラスからなるcode7059(コーニング社製)の規格化された線膨張(ΔL/L)について説明する。なお、規格化された線膨張(以下、線膨張と称する)とは、温度変化に起因する長さの変化(ppm)である。即ち、Lは、もとの長さであり、ΔLは、伸びた(変化した)長さである。
【0120】
このように、code1737は、約600℃まで線膨張係数(℃−1)が略一定であり、code1737とSiとの線膨張の差は殆ど出ず、室温(約25℃(図6中では約100℃以上を図示))から約600℃の範囲内においては、線膨張の差は約250ppm以内となる。
【0121】
一方、code7059は、約600℃において線膨張係数が急に増加しており、code7059とSiとの線膨張の差は約600℃において、約800ppmまで大きくなる。
【0122】
このため、絶縁基板1にcode7059を用いた場合、code1737を用いると同様に接合はできたとしても、劈開剥離の成功率は遥かに低くなる。即ち、劈開剥離する際、単結晶Si基板10の破壊や、接合界面の剥離、あるいは、結晶中の欠陥発生を引き起こすこととなる。
【0123】
このように、絶縁基板1の材料としては、概ね室温以上、かつ、約600℃以下の温度範囲において、接合する材料、ここでは、単結晶Si基板10の材料(Si)との線膨張の差が約250ppm以下となるものを用いる。ここで、線膨張は、規格化されている。
【0124】
また、単結晶Si薄膜5の接合界面に働く応力について考える。ここで、単結晶Si薄膜5のラマンシフトを顕微ラマン測定装置(例えばここでは、日本分光株式会社製のNR−1800U)で測定した。この場合、ラマンピークのシフト量は、520.52cm−1(カイザー)、σ=0.12cm−1となった。従って、単結晶Si薄膜5には応力が働いていないことがわかる。
【0125】
通常、レーザーを用いて結晶成長させた場合、ラマンピークのシフト量は、3〜5cm−1程度(10Paに相当)の大きな応力が残る。
【0126】
一方、単結晶Si基板10は、SiO膜を介して室温でガラス基板等の絶縁基板1に接合するので、接合したSi界面に働く応力を実質的にほぼゼロとすることができる。即ち、ラマンピークのシフト量を、520.5±1(519.5〜521.5)cm−1の範囲とすることにより、接合したSi界面に働く応力が実質的にほぼゼロとなる。
【0127】
これにより、Si膜をレーザーを用いて結晶成長させた場合のTFTと比較して、界面に働く応力のムラやバラツキによるSi結晶の歪みに起因する移動度の低下やバラツキ、あるいは界面の欠陥やこれに伴う界面固定電荷、界面の局在準位による閾値シフトやバラツキ、特性安定性低下等をより確実に防止できる。
【0128】
なお、本実施の形態において、水素イオンの注入エネルギーを大きくして水素原子のピーク位置を深くし単結晶Si薄膜5の膜厚を厚くすると50nm〜100nmでは大きな変化はないが、300nm〜600nmに増加させるとチャネル部が完全に空乏化しなくなるため、次第にTFTのS値(サブスレショルド係数)が大きくなり、またオフ電流の低下が著しくなった。
【0129】
従って、単結晶Si薄膜5の膜厚は、チャネル部における不純物のドーピング密度にも依存するが、バラツキに対する余裕を考慮し、概ね600nm以下、好ましくは約500nm以下、より好ましくは100nm以下にする必要がある。
【0130】
また、従来の多結晶Si領域に形成したTFTにおける移動度(キャリア移動度)が約100cm/V・sec(Nチャネル)であったのに対し、この液晶表示用アクティブマトリクス基板20においては、単結晶Si領域に形成したTFTは約550cm/V・sec(Nチャネル)の移動度を得た。
【0131】
さらに、この液晶表示用アクティブマトリクス基板20にて、ドライバはもとより多結晶Si薄膜4の領域に形成されているデバイスが7〜8Vの信号と電源電圧を要するのに対し、単結晶Si薄膜5の領域に形成されているデバイスであるタイミングコントローラやマイクロプロセッサ等は3.3Vにて安定に動作した。
【0132】
なお、この液晶表示用アクティブマトリクス基板20においては、トランジスタが多結晶Si薄膜4の領域と単結晶Si薄膜5の領域とに形成されることにより、それぞれの領域に形成された同一導電型のトランジスタにおいて、移動度、サブスレショルド係数、閾値のうち少なくとも1つが、領域毎に異なっている。よって、必要とする特性に合わせてトランジスタを適した領域に形成することができる。
【0133】
また、この液晶表示用アクティブマトリクス基板20においては、集積回路が多結晶Si薄膜4の領域と単結晶Si薄膜5の領域とに形成されることにより、必要とする構成および特性に合わせて集積回路を適した領域に形成することができ、それぞれの領域に形成された集積回路において、当然動作速度や動作電源電圧等が異なる性能の集積回路を作ることができる。即ちゲート長、ゲート酸化膜の膜厚、電源電圧、ロジックレベルのうち少なくとも1つが領域毎に異なる設計とすることができる。
【0134】
この液晶表示用アクティブマトリクス基板20においては、集積回路が多結晶Si薄膜4の領域と単結晶Si薄膜5の領域とに形成されることにより、それぞれの領域に形成された集積回路は、領域毎に異なる加工ルールを適用することができる。これは、例えば特に短チャネル長の場合、単結晶部分では、結晶粒界がないため、TFT特性のバラツキが殆ど増加しないのに対し、多結晶部分では、結晶粒界の影響でバラツキが急速に増加するため、加工ルールを各々の部分で変える必要があるからである。よって、加工ルールに合わせて集積回路を適した領域に形成することができる。
【0135】
なお、本発明では得られる単結晶Si領域のサイズはLSI製造装置のウェハサイズによるため限られるが、単結晶Si領域が必要となる高速性、消費電力、バラツキが問われる高速のロジック、タイミングジェネレータ、高速のDAC(電流バッファ)、等を形成するには十分なサイズである。
【0136】
また、単結晶Si薄膜5の膜厚と多結晶Si薄膜4の膜厚とは、概ね等しい。
【0137】
これにより、島状パターンエッチングを含め、以降の工程をほとんどの工程を同時に処理することが可能となり、かつ段差の小さいトランジスタあるいは回路が形成できる。よって、例えば液晶パネルの場合、セル厚制御にて優位となる。
【0138】
ところで、アクティブマトリクス基板20において、多結晶Si領域(多結晶Si薄膜4上)および単結晶Si領域(単結晶Si薄膜5)に形成するTFTのゲート長をそれぞれ5ミクロン、0.8ミクロン、ゲート酸化膜厚をそれぞれ80nm、50nmとし、電源電圧をそれぞれ8V、3Vにて動作させたところ、安定に動作した。
【0139】
一方、多結晶Si領域にて、ゲート長が0.8ミクロンのTFTを形成し、3Vにて動作させたところ、TFTの特性がばらつきソース〜ドレイン間の耐圧が不足して使用不可能なものが多数あった。
【0140】
また、多結晶Si領域にて、ゲート長が1.5ミクロンのTFTを形成し、3Vにて動作させたところ、閾値電圧およびそのバラツキが大きく実用上問題があった。
【0141】
〔実施の形態2〕
本発明の他の実施の一形態について図2に基づいて説明すれば、以下の通りである。なお、本実施の形態において、実施の形態1における構成要素と同等の機能を有する構成要素については、同一の符号を付記してその説明を省略する。
【0142】
図2は、本実施の形態に係るアクティブマトリクス基板30(半導体装置)の製造工程の一例を示す。図2(h)に示すように、本アクティブマトリクス基板30は、絶縁基板1、SiO(酸化シリコン)膜32・11・35、多結晶Si薄膜37、単結晶Si薄膜34、ゲート酸化膜38、ゲート電極21、層間絶縁膜22、および、金属配線24を備えている。また、アクティブマトリクス基板30は、スイッチング素子である薄膜トランジスタ(TFT:thin film transistor)を備えている。
【0143】
実施の形態1と同様の絶縁基板1の表面上には、略全面に、SiOからなるSiO膜(第1SiO膜)32が形成されている。SiO膜32の膜厚は、約350nmである。
【0144】
SiO膜32上には、SiO膜(絶縁膜)35およびSiO膜11が形成されている。SiO膜35の膜厚は、約100nmである。SiO膜11は、SiO膜32上であって、SiO膜35とは異なる領域に島状パターンとなるように形成されており、その膜厚は、約200nmである。
【0145】
SiO膜35上には、さらに多結晶Si薄膜37が島状パターンとなるように形成されている。多結晶Si薄膜37の膜厚は、約50nmである。
【0146】
SiO膜32は、多結晶Si薄膜37の領域とは異なる領域において、約150nmの深さを有する凹部33(図2(a)参照)を有する。凹部33には、上記SiO膜11、さらに、その上にはSiO膜11と同形状の単結晶Si薄膜34が、島状パターンとなるように形成されている。
【0147】
多結晶Si薄膜37の領域と単結晶Si薄膜34の領域とは、少なくとも0.3μm、好ましくは0.5μm以上離れている。これにより、単結晶Si領域にNi、Pt、Sn、Pd等の金属原子が拡散するのを防止し、特性の安定化を図ることができる。
【0148】
SiO膜32、多結晶Si薄膜37、および、単結晶Si薄膜34の全面上に亘って、ゲート酸化膜36が形成されている。ゲート酸化膜36の膜厚は約60nmである。
【0149】
多結晶Si薄膜37および単結晶Si薄膜34における各島状パターンの領域上面のゲート酸化膜36には、ゲート電極21が形成されている。
【0150】
また、アクティブマトリクス基板20と同様に、層間絶縁膜22、コンタクトホール23(図2(g)参照)、金属配線24が形成されている。また、更に同様に、アクティブマトリクス基板20は、液晶表示用に、SiNx(窒化シリコン)、樹脂平坦化膜、ビアホール、透明電極が形成されており、多結晶Si領域にてドライバおよび表示部用のTFTが形成され、単結晶Si領域にてタイミングコントローラやマイクロプロセッサ等が形成されている。
【0151】
以下、アクティブマトリクス基板30の製造方法について、図2(a)〜図2(h)に基づいて説明する。
【0152】
まず、code1737(コーニング社製)からなる絶縁基板1の表面上全面に、TEOS(Tetra Ethoxy Silane、即ちSi(OC)とO(酸素)とからなる混合ガスを用いて、P−CVD法により、膜厚約350nmのSiO膜32を堆積する。そして、SiO膜32の所定の領域を約150nmエッチングすることにより、凹部33を形成する(図2(a))。
【0153】
一方、単結晶Si基板10を用意する。単結晶Si基板10は、予め表面を酸化する、あるいは、酸化膜(SiO膜)を積層することにより、膜厚約200nmのSiO膜11が形成されている。また、単結晶Si基板10は、5×1016/cmのドーズ量の水素イオンを所定のエネルギーにて注入された水素イオン注入部12を有し、硼素が約3×1015cm−3ドープされている。
【0154】
そして、凹部33より少なくとも0.3μm、好ましくは0.5μm以上小さい形状に、ダイシング、または、KOH等による異方性エッチングなどによって、単結晶Si基板10を切断する。
【0155】
続いて、凹部33が形成された絶縁基板1と単結晶Si基板10との両基板を、パーティクル除去と表面の活性化のためSC−1で洗浄し活性化した後、切断した単結晶Si基板10の水素イオン注入部12に近い側の表面を、凹部33に室温で密着させ接合する(図2(b))。
【0156】
その後、300℃〜600℃、ここでは約550℃の温度で熱処理し、単結晶Si基板10の水素イオン注入部12の温度を、Siから水素が離脱する温度以上に昇温する。これにより、水素イオン注入部12を境に、単結晶Si基板10を劈開剥離する。
【0157】
そして、剥離されて絶縁基板1上に残った単結晶Si基板表面の損傷層を、等方性プラズマエッチングまたはウエットエッチング、ここではバッファフッ酸による等方性プラズマエッチングにて約10nmライトエッチすることにより除去する。これにより、絶縁基板1上にそれぞれ膜厚約50nmの単結晶Si薄膜34を得る。(図2(c))。
【0158】
続いて、絶縁基板1表面の略全面に、その後、ゲート酸化膜6としてSiHとNOとの混合ガスを用いて、P−CVD法により、膜厚約100nmのSiO膜35を堆積し、さらに、その上の略全面に、SiHガスを用いたP−CVD法により、膜厚約50nmの非晶質Si膜36を堆積する(図2(d))。
【0159】
そして、非晶質Si膜36にエキシマレーザーを照射加熱して非晶質Siを結晶化し、多結晶Si層を成長させることにより、多結晶Si薄膜37を形成する。この加熱により、単結晶Si薄膜34の接合強度の向上を図ることができる。
【0160】
次に、多結晶Si薄膜37の不要部分とSiO膜35の少なくとも単結晶Si薄膜34上の部分とを、エッチングにより除去する。その後、デバイスの活性領域となる部分を残し、不要なSi膜をエッチングにより除去し、島状のパターンを形成する(図2(e))。
【0161】
そして、TEOSとOとの混合ガスを用いて、P−CVD法により、膜厚約350nmのSiO膜を堆積し、これを異方性エッチングであるRIEにて約400nmエッチングバックする。その後、SiHとNOとの混合ガスを用いて、P−CVD法により、膜厚約60nmのゲート酸化膜38を形成する(図2(f))。
【0162】
このとき、形成した多結晶Si薄膜34と単結晶Si薄膜37とのパターン間のスペースが小さいときは段差が埋められ、大きいときはサイドウォールが形成される。
【0163】
以降、実施の形態1と同様、ゲート電極21・層間絶縁膜(SiO膜)22を形成し、コンタクトホール23を開口した(図2(g))後、コンタクトホール23に、金属配線24を形成する(図2(h))。
【0164】
ここで、従来の多結晶シリコン領域に形成したNチャネルTFTは移動度が約100cm/V・secであったのに対し、このアクティブマトリクス基板30においては、単結晶Si領域に形成したNチャネルTFTは約550cm/V・secの移動度を得た。
【0165】
アクティブマトリクス基板30において、ドライバはもとより多結晶Si薄膜37の領域に形成されているデバイスが7〜8Vの信号と電源電圧を要するのに対し、単結晶Si薄膜34の領域に形成されているデバイスであるタイミングコントローラやマイクロプロセッサ等は3.3Vにて安定に動作した。
【0166】
〔実施の形態3〕
本発明のさらに他の実施の一形態について図3に基づいて説明すれば、以下の通りである。なお、本実施の形態において、実施の形態2における構成要素と同等の機能を有する構成要素については、同一の符号を付記してその説明を省略する。
【0167】
図3は、本実施の形態に係るアクティブマトリクス基板(半導体装置)の製造工程の一例を示す。図3(f)に示すように、本アクティブマトリクス基板は、絶縁基板1、SiO(酸化シリコン)膜62・11・35、多結晶Si薄膜67、単結晶Si薄膜64、および、ゲート酸化膜68を備えている。また、このアクティブマトリクス基板は、上述した実施の形態1・2と同様、図示しない薄膜トランジスタ(TFT:thin film transistor)、ゲート電極、層間絶縁膜、および、金属配線を備えている。
【0168】
実施の形態2と同様の絶縁基板1の表面上には、略全面に、SiOからなるSiO膜(第1SiO膜)62が形成されている。SiO膜62の膜厚は、約50nmである。
【0169】
SiO膜62上には、SiO膜(絶縁膜)35およびSiO膜11が形成されている。SiO膜35の膜厚は、約100nmである。SiO膜11は、SiO膜62上であって、SiO膜35とは異なる領域に島状パターンとなるように形成されており、その膜厚は、約200nmである。
【0170】
SiO膜11上には、SiO膜11と同形状の単結晶Si薄膜64が、島状パターンとなるように形成されている。単結晶Si薄膜64の膜厚は、約100nmである。また、SiO膜35上には、多結晶Si薄膜67が島状パターンとなるように形成されている。多結晶Si薄膜67の膜厚は、約50nmである。
【0171】
SiO膜62、多結晶Si薄膜67、および、単結晶Si薄膜64の全面上に亘って、ゲート酸化膜68が形成されている。ゲート酸化膜68の膜厚は約60nmである。
【0172】
さらに、多結晶Si薄膜67および単結晶Si薄膜64における各島状パターンの領域上面のゲート酸化膜68には、図示しないゲート電極が形成されている。ゲート電極は、実施の形態2のアクティブマトリクス基板30と同様のものであり、例えば多結晶Si、シリサイド、あるいはポリサイド等からなる。
【0173】
また、アクティブマトリクス基板30と同様、図示しない層間絶縁膜、コンタクトホール、金属配線が形成されている。また、更に同様に、液晶表示用に、SiNx(窒化シリコン)、樹脂平坦化膜、ビアホール、透明電極が形成されており、多結晶Si領域にてドライバおよび表示部用のTFTが形成され、単結晶Si領域にてタイミングコントローラやマイクロプロセッサ等が形成されている。
【0174】
以下、上述した本実施の形態におけるアクティブマトリクス基板の製造方法について、図3(a)〜図3(f)に基づいて説明する。
【0175】
まず、code1737(コーニング社製)からなる絶縁基板1の表面上全面に、TEOS(Tetra Ethoxy Silane、即ちSi(OC)とO(酸素)とからなる混合ガスを用いて、P−CVD法により、膜厚約50nmのSiO膜62を堆積する(図3(a))。
【0176】
一方、予め適切な形状に切断された単結晶Si基板10を用意する。単結晶Si基板10は、予め表面を酸化する、あるいは、酸化膜(SiO膜)を積層することにより、膜厚約200nmのSiO膜11が形成されている。また、単結晶Si基板10は、5×1016/cmのドーズ量の水素イオンを所定のエネルギーにて注入された水素イオン注入部12を有し、硼素が約3×1015cm−3ドープされている。
【0177】
そして、絶縁基板1と単結晶Si基板10との両基板を、パーティクル除去と表面の活性化のためSC−1で洗浄し活性化した後、切断した単結晶Si基板10の水素イオン注入部12に近い側の表面を、絶縁基板1に室温で密着させ接合する(図3(b))。
【0178】
その後、300℃〜600℃、ここでは約550℃の温度で熱処理し、単結晶Si基板10の水素イオン注入部12の温度を、Siから水素が離脱する温度以上に昇温する。これにより、水素イオン注入部12を境に、単結晶Si基板10を劈開剥離する。
【0179】
そして、剥離されて絶縁基板1上に残った単結晶Si基板表面の損傷層を、等方性プラズマエッチングまたはウエットエッチング、ここではバッファフッ酸による等方性プラズマエッチングにて約20nmライトエッチすることにより除去する。これにより、絶縁基板1上に膜厚約80nmの単結晶Si薄膜64を得る(図3(c))。
【0180】
次に、絶縁基板1表面の略全面に、SiHとNOとの混合ガスを用いて、P−CVD法により、膜厚約100nmのSiO膜35を堆積し、さらに、その上の略全面に、SiHを用いて、P−CVD法により、膜厚約50nmの非晶質Si膜66を形成する(図3(d))。
【0181】
そして、非晶質Si膜66にエキシマレーザーを照射加熱して非晶質Siを結晶化し、多結晶Si層を成長させることにより、多結晶Si薄膜67を形成する。この加熱により、単結晶Si薄膜64の接合強度の向上を図ることができる。
【0182】
次に、多結晶Si薄膜67のうち、デバイスの活性領域となる部分を残し、少なくとも単結晶Si薄膜64上の部分を不要部分を含む不要部分をエッチングにより除去することにより、島状のパターンを形成する(図3(e))。
【0183】
続いて、TEOSとOとの混合ガスを用いて、P−CVD法により、膜厚約350nmのSiO膜を堆積し、さらに約350nmのフォトレジストを樹脂平坦化膜として全面に塗布後、OとCFとを含む混合ガスを用いて、RIEにて樹脂平坦化膜の全部とSiO膜35の一部とをエッチングバックする。
【0184】
その後、SiHとNOとの混合ガスを用いて、P−CVD法により、膜厚約60nmのゲート酸化膜68を形成する(図3(f))。
【0185】
以降、実施の形態1・2と同様、通常のよく知られたp−Si(多結晶シリコン)形TFTマトリクス基板の形成プロセスと同様のプロセスにより形成すればよい。即ち、多結晶Si、シリサイド、あるいはポリサイド等からなるゲート電極を形成する。そして、PおよびBをイオン注入し、層間絶縁膜(SiO膜)を堆積し、コンタクトホールを開口した後、コンタクトホールに、金属配線を形成する。
【0186】
ここで、従来の多結晶シリコン領域に形成したNチャネルTFTは移動度が約100cm/V・secであったのに対し、本実施の形態に係るアクティブマトリクス基板においては、単結晶Si領域に形成したNチャネルTFTは約550cm/V・secの移動度を得た。
【0187】
このアクティブマトリクス基板にて、ドライバはもとより多結晶Si薄膜67の領域に形成されているデバイスが7〜8Vの信号と電源電圧を要するのに対し、単結晶Si薄膜64の領域に形成されているデバイスであるタイミングコントローラやマイクロプロセッサ等は3.3Vにて安定に動作した。
【0188】
〔実施の形態4〕
本発明の他の実施の一形態について図4に基づいて説明すれば、以下の通りである。なお、本実施の形態において、実施の形態1における構成要素と同等の機能を有する構成要素については、同一の符号を付記してその説明を省略する。
【0189】
本実施の形態に係るアクティブマトリクス基板は、上述した実施の形態1に係るアクティブマトリクス基板20において多結晶Si薄膜4のかわりに多結晶Si薄膜43を形成したものであり、他の構造はアクティブマトリクス基板20と同様である。以下、アクティブマトリクス基板20との相違点のみ説明する。
【0190】
多結晶Si薄膜43は、メタルアシストにより結晶成長が促進された多結晶Si、いわゆる連続結晶粒界Si(Continuous Grain Silicon)にからなる。
【0191】
以下、上記多結晶Si薄膜43を用いたアクティブマトリクス基板の製造方法について、図4(a)〜図4(e)に基づいて説明する。
【0192】
まず、上述したcode1737(コーニング社製)からなる絶縁基板1の表面上全面に、TEOS(Tetra Ethoxy Silane、即ちSi(OCとO(酸素)とからなる混合ガスを用いて、P−CVD法により、膜厚約100nmのSiO膜2を堆積する。
【0193】
続いて、SiO膜2上に、SiHガスを用いたP−CVD法により、膜厚約50nmの非晶質Si膜3を堆積する。その後、絶縁基板1表面の略全面にSiHとNO混合ガスを用いたP−CVD法により、約200nmのSiO膜41(第2SiO膜)を堆積する。(図4(a))。
【0194】
そして、上層のSiO膜41における所定の領域に、エッチングにより開口部を形成した後、該開口部における非晶質Si膜3の表面の親水性をコントロールするために、非晶質Si膜3の表面を薄く酸化して酸化膜42を形成し、その上に酢酸Ni水溶液をスピンコートする(図4(b))。
【0195】
次に、600℃の温度にて約12時間固相成長を行い、メタルアシストにより結晶成長が促進された多結晶Si、いわゆる連続結晶粒界Si(Continuous Grain Silicon)を成長させることにより、膜厚が約50nmの多結晶Si薄膜43を形成する。さらに、多結晶Si薄膜43上のSiO膜41および酸化膜42を除去する。その後、多結晶Si薄膜43の所定の領域をエッチングして除去する(図4(c))。
【0196】
一方、単結晶Si基板10を用意する。単結晶Si基板10は、予め表面を酸化する、あるいは、酸化膜(SiO膜)を積層することにより、膜厚約200nmのSiO膜11が形成されている。また、単結晶Si基板10は、1016/cm以上、ここでは5×1016/cmのドーズ量の水素イオンを所定のエネルギー(ここでは約24keV)にて注入された水素イオン注入部12を有し、硼素が約3×1015cm−3ドープされている。
【0197】
そして、多結晶Si薄膜43をエッチングにより除去した所定の領域の形状より少なくとも0.3μm、好ましくは0.5μm以上小さい形状に、ダイシング、または、KOH等による異方性エッチングなどによって、単結晶Si基板10を切断する。これにより、後の多結晶Si薄膜43の製造工程にて用いられたNi、Pt、Sn、Pd等の金属原子が、単結晶Si領域に拡散するのを防止し、特性の安定化を図ることができる。
【0198】
続いて、多結晶Si薄膜43が形成された基板と単結晶Si基板10との両基板を、パーティクル除去と表面の活性化のためSC−1で洗浄し活性化した後、切断した単結晶Si基板10の水素イオン注入部12に近い側の表面を、エッチング除去(図4(c)参照)した領域に室温で密着させ接合する(図4(d))。
【0199】
その後、レーザー照射または約700℃以上のピーク温度を含むランプアニールを用いることにより、単結晶Si基板10の水素イオン注入部12の温度をSiから水素が離脱する温度以上に昇温する。これにより、水素イオン注入部12を境に、単結晶Si基板10を絶縁基板1から劈開剥離する。
【0200】
続いて、剥離されて絶縁基板1上に残った単結晶Si基板表面の損傷層を、等方性プラズマエッチングまたはウエットエッチング、ここではバッファフッ酸による等方性プラズマエッチングにて約10nmライトエッチすることにより除去する。これにより、絶縁基板1上に膜厚約50nmの単結晶Si薄膜5を得る(図4(e))。
【0201】
次に、デバイスの活性領域近傍のSiO膜に開口部を形成し、SiO膜をマスクに結晶成長を促進するために添加したNiのゲッタリングのため、高濃度のPイオンを注入し(15keV、5×1015/cm)、RTAにて約800℃の温度にて1分間の熱処理を行う。単結晶Si薄膜5中にNi原子が拡散しないように、単結晶Si薄膜5と多結晶Si薄膜43との間には物理的にスペースをとってはいるが、ごく微量のNi原子が、プロセス中に混入する可能性があり、単結晶Siの活性領域も上記ゲッタリングを行うのが望ましい。なお、スペースを優先する場合は、設計上の選択肢としてゲッタリングを省略してもよい。
【0202】
次に、デバイスの活性領域となる部分を残し、多結晶Si薄膜43の不要部分と単結晶Si薄膜5の不要部分とをエッチングして除去し島状のパターンを得る(図1(e)に対応)。
【0203】
以降の工程(図1(f)〜図1(h)に対応)は、実施の形態1と同様であるので省略する。
【0204】
ここで、従来の連続結晶粒界Si領域に形成したNチャネルTFTは移動度が約200cm/V・secであったのに対し、本実施の形態に係るアクティブマトリクス基板においては、単結晶Si領域に形成したNチャネルTFTは約550cm/V・secの移動度を得た。
【0205】
このアクティブマトリクス基板において、ドライバはもとより多結晶Si薄膜43の領域に形成されているデバイスが7〜8Vの信号と電源電圧を要するのに対し、単結晶Si薄膜5の領域に形成されているデバイスであるタイミングコントローラやマイクロプロセッサ等は3.3Vにて安定に動作した。
【0206】
なお、図4(b)に示す工程では酢酸Ni水溶液を用いてスピンコートしているが、これに限定されるものではなく、例えば、エタノール等を用いてもかまわない。
【0207】
〔実施の形態5〕
本発明の他の実施の一形態について図5に基づいて説明すれば、以下の通りである。なお、本実施の形態において、実施の形態1における構成要素と同等の機能を有する構成要素については、同一の符号を付記してその説明を省略する。
【0208】
本実施の形態に係るアクティブマトリクス基板50は、上述した実施の形態1に係るアクティブマトリクス基板20におけるSiO膜2・非晶質Si膜3のかわりに絶縁膜52・非晶質Si膜53を形成するものであり、他の構造はアクティブマトリクス基板20と同様である。以下、アクティブマトリクス基板20との相違点のみ説明する。
【0209】
図5(h)に示すように、アクティブマトリクス基板50は、絶縁基板1上に、深さ約150nmの凹部51を有し、膜厚が約350nmのSiO膜や窒化Si膜等からなる絶縁膜52が形成されている。
【0210】
SiO膜2上には、多結晶Si薄膜54およびSiO膜11が形成されている。多結晶Si薄膜54は、多結晶Si薄膜4と同様、島状パターンとなるように形成されており、その膜厚は、約50nmである。SiO膜11は、SiO膜52上であって、多結晶Si薄膜54とは異なる領域に島状パターンとなるように形成されており、その膜厚は、約200nmである。SiO膜11上には、さらに、同形状の単結晶Si薄膜5が、島状パターンになるように形成されている。単結晶Si薄膜5の膜厚は、約50nmである。
【0211】
なお、本実施の形態において、SiO膜11の膜厚は、400nmである。
【0212】
以下、アクティブマトリクス基板50の製造方法について、図5(a)〜図5(h)に基づいて説明する。
【0213】
まず、上述したcode1737(コーニング社製)からなる絶縁基板1の表面上全面に、SiHとNOとの混合ガスを用いて、P−CVD法により、膜厚約350nmの絶縁膜52を堆積する。続いて、その表面上全面に、SiHガスを用いて、P−CVD法により、膜厚約50nmの非晶質Si膜53を堆積する(図5(a))。
【0214】
そして、非晶質Si膜53にエキシマレーザーを照射加熱して結晶化し、多結晶Si層を成長させ、多結晶Si薄膜54を形成する。
【0215】
所定の領域の多結晶Si薄膜54と絶縁膜52の一部とを、約150nmエッチングにより除去することにより、深さ約200nmの凹部51を形成する(図5(b))。
【0216】
一方、単結晶Si基板10を用意する。単結晶Si基板10は、予め表面を酸化する、あるいは、酸化膜(SiO膜)を積層することにより、膜厚約400nmのSiO膜11が形成されている。また、単結晶Si基板10は、1016/cm以上、ここでは5×1016/cmのドーズ量の水素イオンを所定のエネルギー(ここでは約24keV)にて注入された水素イオン注入部12を有している。
【0217】
そして、多結晶Si薄膜54をエッチングにより除去した所定の領域の形状より0.5μm以上小さい形状に、ダイシングや異方性エッチング等によって、単結晶Si基板10を切断する。
【0218】
続いて、多結晶Si薄膜54が形成された基板と単結晶Si基板10との両基板を、パーティクル除去と表面の活性化のためSC−1で洗浄し活性化した後、切断した単結晶Si基板10の水素イオン注入部12に近い側の表面を、凹部51に室温で密着させ接合する(図5(c))。
【0219】
その後、300℃〜600℃、ここでは約550℃の温度で熱処理し、単結晶Si基板10の水素イオン注入部12の温度を、Siから水素が離脱する温度以上に昇温する。これにより、水素イオン注入部12を境に、単結晶Si基板10を劈開剥離する。
【0220】
そして、剥離されて絶縁基板1上に残った単結晶Si基板表面の損傷層を、等方性プラズマエッチングまたはウエットエッチング、ここではバッファフッ酸による等方性プラズマエッチングにて約10nmライトエッチすることにより除去する。これにより、絶縁基板1上にそれぞれ膜厚約50nmの多結晶Si薄膜54と単結晶Si薄膜5とを得る。(図5(d))。
【0221】
その後、約800℃にて1分間ランプアニールを行う。
【0222】
次に、デバイスの活性領域となる部分を残し、不要なSi薄膜54・5をエッチングにより除去することにより、島状のパターンを得る(図5(e))。
【0223】
続いて、TEOSとO(酸素)の混合ガスを用いて、P−CVD法により、膜厚約350nmのSiO膜を堆積し、これを異方性エッチングであるRIE(リアクティブイオンエッチング)にて約400nmエッチングバックする。その後、SiHとNOとの混合ガスを用いて、P−CVD法により、膜厚約60nmのゲート酸化膜6(SiO膜)を形成する(図5(f))。
【0224】
以降の工程(図5(g)・(h)(図1(g)・(h)に対応))は、実施の形態1と同様であるので省略する。
【0225】
従来の多結晶シリコン領域に形成したNチャネルTFTは移動度が約100cm/V・secであったのに対し、この液晶表示用アクティブマトリクス基板60においては、単結晶Si領域に形成したNチャネルTFTは約550cm/V・secの移動度を得た。
【0226】
このアクティブマトリクス基板50にて、ドライバはもとより多結晶Si薄膜54の領域に形成されているデバイスが7〜8Vの信号と電源電圧を要するのに対し、単結晶Si薄膜5の領域に形成されているデバイスであるタイミングコントローラやマイクロプロセッサ等は3.3Vにて安定に動作した。
【0227】
また、アクティブマトリクス基板50では、約400nmのSiO膜11が形成された単結晶Si基板10を用いたが、得られたTFTの閾値のバラツキは約200nmのSiO膜11が形成された単結晶Si基板10を用いた実施の形態1の場合における0.3V(±σ)に比較し、約1/2の0.15V(±σ)となり、特に低電圧における動作の安定性が向上した。
【0228】
これは、接合した単結晶Si基板と絶縁基板界面の汚染、あるいは格子の歪みや不完全性に起因する固定電荷の影響が軽減されるためである。上記約400nmのSiO膜11の膜厚は厚い程、閾値のバラツキは減少するが、SiO膜11の形成工程の効率(酸化に要する時間)や段差とのトレードオフにより、適切な値は概ね200nm〜400nmとなる。バラツキを重視する場合は概ね400nm、段差や効率を重視する場合は概ね200nmが適切な値となる。
【0229】
勿論、段差が問題にならない場合は、概ね400nm以上のほうが望ましいことは言うまでもない。
【0230】
なお、さらに、凹部51を形成した後、TEOSとOガスとを用いたPECVD法により、数10nmのSiO膜を絶縁基板1全体を覆うように堆積した後、単結晶Si基板10と絶縁基板1とを接合してもかまわない。これにより、接合性が改善され、より確実に歩留まり良く接合することができる。
【0231】
ここで、上述した単結晶Si基板10としては、5×1016/cmのドーズ量の水素イオンを所定のエネルギーにて注入されたものを用いたが、以下、3×1016/cmのドーズ量の水素イオンを所定のエネルギーにて注入された単結晶Si基板を用いた場合について説明する。
【0232】
単結晶Si基板10(水素イオンのドーズ量:5×1016/cm)の場合、単結晶Si薄膜5を得るために、約550℃の温度において熱処理されていたが、単結晶Si基板(水素イオンのドーズ量:3×1016/cm)の場合、多結晶Si層形成時における概ね60〜80%のエネルギーにてエキシマレーザーのパルスを照射し、多結晶Si層成長時と同様に、全面を照射することにより熱処理する。
【0233】
この場合、従来の多結晶シリコン領域に形成したNチャネルTFTは移動度が約100cm/V・secであったのに対し、単結晶Si領域に形成したNチャネルTFTは約600cm/V・secの移動度を得た。
【0234】
なお、単結晶Si基板10を用いたアクティブマトリクス基板50(図5(h)参照)においては、従来の単結晶シリコン領域に形成したTFTは移動度が約550cm/V・secであった。
【0235】
この相違は、単結晶Si基板(水素イオンのドーズ量:3×1016/cm)を用いて得られた単結晶Si薄膜が、水素イオン注入量を減じられているため、水素イオン注入に伴う単結晶Siの損傷を減らす事ができ、TFT特性が改善したためである。
【0236】
また、単結晶Si基板(水素イオンのドーズ量:3×1016/cm)を用いた場合、ドライバはもとより多結晶Si薄膜の領域に形成されているデバイスが7〜8Vの信号と電源電圧を要するのに対し、単結晶Si薄膜の領域に形成されているデバイスであるタイミングコントローラやマイクロプロセッサ等は3.3Vにて安定に動作した。
【0237】
なお、本発明は上述した各実施の形態に限定されるものではなく、請求項に示した範囲で種々の変更が可能であり、異なる実施の形態にそれぞれ開示された技術的手段を適宜組み合わせて得られる実施の形態についても本発明の技術的範囲に含まれる。
【0238】
また、本発明の実施の形態は本内容に限られるものではなく、例えば、多結晶Si形成法、あるいは層間絶縁膜の材料、膜厚等についても他の同分野の技術者が知り得る手段によっても実現できることは言うまでもない。また、材料についても、一般に同じ目的で用いられるものであれば異なる材料であっても同様の効果が得られる事は言うまでもない。
【0239】
さらに、上記実施の形態1、2、4および5において、絶縁基板1に形成する単結晶Si薄膜に接合される表面のSiO膜は、多結晶Si薄膜、または絶縁基板1の一部をエッチングし凹部を形成後堆積しても良い。
【0240】
【発明の効果】
本発明の半導体装置は、絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とがそれぞれ異なる領域に形成されている半導体装置であって、絶縁基板と単結晶Si薄膜との規格化された線膨張の差が、概ね室温以上600℃以下の温度範囲において、約250ppm以下である構成である。
【0241】
これにより、より高性能が要求されるデバイス、例えばタイミングコントローラやマイクロプロセッサ等を単結晶Si薄膜の形成領域にて形成し、残りのデバイスを多結晶Si薄膜の形成領域にて形成することができる。
【0242】
また、単結晶Siの基板形状はLSI製造装置のウェハサイズである6、8、12インチの円板に限定されるが、基板上には多結晶Si薄膜も形成されているので、例えば、大型の液晶表示パネルや有機ELパネルを製造することも可能になる。
【0243】
さらに、石英基板との熱膨張係数差による加熱接合強度向上工程での破壊を防止するため組成を調節した結晶化ガラスを用いる必要が無くなる。よって、結晶化ガラスのために生じていたアルカリ金属による汚染の問題がなくなり、かつ熱膨張係数差による加熱接合強度向上工程における破壊を防止することができる。
【0244】
また、絶縁基板と単結晶Si薄膜との線膨張係数の差が小さくなる。従って、絶縁基板上に単結晶Si薄膜を形成するための工程において、熱膨張係数差による水素注入位置からの劈開剥離工程における破壊や接合界面剥離、あるいは結晶中の欠陥発生を確実に防止することができ、また、加熱接合強度の向上を図ることができるといった効果を奏する。
【0245】
本発明の半導体装置は、絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とがそれぞれ異なる領域に形成されている半導体装置であって、単結晶Si薄膜におけるラマンピークのシフト量は、519.5cm−1以上、かつ、521.5cm−1以下である構成である。
【0246】
これにより、より高性能が要求されるデバイス、例えばタイミングコントローラやマイクロプロセッサ等を単結晶Si薄膜の形成領域にて形成し、残りのデバイスを多結晶Si薄膜の形成領域にて形成することができる。
【0247】
また、単結晶Si薄膜におけるSi界面に働く応力を実質的にほぼゼロとすることができる。従って、TFTを形成した場合、Si界面に働く応力のムラやバラツキによるSi結晶の歪みに起因する移動度の低下やバラツキ、あるいは界面の欠陥やこれに伴う界面固定電荷、界面の局在準位による閾値シフトやバラツキ、特性安定性低下等を確実に防止できるといった効果を奏する。
【0248】
本発明の半導体装置は、絶縁基板が、少なくとも単結晶Siの存在する領域の表面にSiO層が形成されたアルカリ土類−アルミノ硼珪酸ガラスからなる高歪点ガラスからなる構成である。
【0249】
これにより、組成を調節した結晶化ガラスを用いる必要が無くなるので、絶縁基板がアクティブマトリクス駆動による液晶表示パネル等に一般的に使用される高歪点ガラスからなることによって、低コストにて半導体装置を製造できるといった効果を奏する。
【0250】
本発明の半導体装置は、絶縁基板が、バリウム−アルミノ硼珪酸ガラス、アルカリ土類−アルミノ硼珪酸ガラス、硼珪酸ガラス、アルカリ土類−亜鉛−鉛−アルミノ硼珪酸ガラス、アルカリ土類−亜鉛−アルミノ硼珪酸ガラスのうち何れか1つからなる構成である。
【0251】
これにより、アクティブマトリクス駆動による液晶表示パネル等に一般的に使用される高歪点ガラスである上記記載のガラスから絶縁基板がなるので、低コストにてアクティブマトリクス基板に好適な半導体装置を製造できるといった効果を奏する。
【0252】
本発明の半導体装置は、絶縁基板上に複数のMOSFET、バイポーラトランジスタ、またはSITからなる集積回路を含むアクティブマトリクス基板である構成である。
【0253】
これにより、上記特徴を有するアクティブマトリクス基板を得ることができるといった効果を奏する。
【0254】
本発明の半導体装置は、絶縁基板上に形成されている単結晶Si薄膜の領域と多結晶Si薄膜の領域とが、0.3μm以上、さらには、0.5μm以上離れている構成である。
【0255】
これにより、多結晶Siから単結晶Siに、例えば、Ni、Pt、Sn、Pd等が拡散してくることを防止し、半導体装置の特性の安定を図ることができるといった効果を奏する。
【0256】
本発明の半導体装置は、異なる領域にそれぞれ形成された同一導電型のトランジスタにおいて、移動度、サブスレショルド係数、閾値のうち少なくとも1つが、上記領域毎に異なる構成である。
【0257】
これにより、異なる領域にそれぞれ形成された一導電型のトランジスタにおいて、移動度、サブスレショルド係数、閾値のうち少なくとも1つが異なるので、必要とする特性に合わせてトランジスタを適した領域に形成することができるといった効果を奏する。
【0258】
本発明の半導体装置は、異なる領域にそれぞれ形成された集積回路において、ゲート長、ゲート酸化膜の膜厚、電源電圧、ロジックレベルのうち少なくとも1つが、上記領域毎に異なる構成である。
【0259】
これにより、異なる領域にそれぞれ形成された集積回路において、ゲート長、ゲート酸化膜の膜厚、電源電圧、ロジックレベルのうち少なくとも1つ異なるので、必要とする構成および特性に合わせて集積回路を適した領域に形成することができるといった効果を奏する。
【0260】
本発明の半導体装置は、異なる領域にそれぞれ形成された集積回路において、加工ルールが上記領域毎に異なる構成である。
【0261】
これにより、異なる領域にそれぞれ形成された集積回路は加工ルールが異なるので、加工ルールに合わせて集積回路を適した領域に形成することができるといった効果を奏する。
【0262】
本発明の半導体装置は、単結晶Si薄膜の膜厚dが不純物Niで定まる最大空乏長Wmに対しバラツキのマージンを含めた小さい値、すなわち不純物密度が実用的下限である1015cm−3であっても膜厚dの上限である概ね600nm以下である構成である。
【0263】
これにより、半導体装置のS値(サブスレショルド係数)が小さくなり、オフ電流が低下するといった効果を奏する。
【0264】
本発明の半導体装置は、単結晶Si薄膜の膜厚が100nm以下である構成である。
【0265】
これにより、さらに、一層半導体装置のS値が小さくなり、またオフ電流が低下するといった効果を奏する。
【0266】
本発明の半導体装置の製造方法は、絶縁基板表面にSiO膜および非晶質Si膜を順次堆積する工程と、非晶質Si膜を加熱し、多結晶Si層を成長させ、多結晶Si薄膜を形成する工程と、多結晶Si薄膜の所定の領域をエッチング除去する工程と、予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、上記エッチング除去した領域の一部または概ね全領域を覆う所定の形状に切断する工程と、絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、切断した単結晶Si基板を、水素イオンを注入した側の面をエッチング除去した領域に室温で密着させることで、両基板を接合する工程と、熱処理することにより、水素イオン注入部を境に劈開剥離し、絶縁基板上に単結晶Si薄膜を形成する工程とを含む構成である。
【0267】
これにより、接合強度を高めることができるとともに、単結晶Si基板を水素イオン注入部を境に剥離することにより単結晶Si薄膜を得ることができる。よって、高性能なデバイスを形成する上で障碍となる、多結晶Siに特有の結晶性の不完全性に起因するギャップ内の局在準位や結晶粒界付近の欠陥やギャップ内の局在準位の存在のためによる移動度の低下やS係数の増加等の問題は、単結晶Siにて解消できる。従って、絶縁基板上に単結晶Si薄膜と多結晶Si薄膜とを形成でき、以降の工程を共通の加工プロセスにて、より高性能が要求されるデバイスは単結晶Siにて形成し、残りのデバイスを多結晶Siにて形成することができる。よって、高性能なシステムを集積化した液晶パネルあるいは有機ELパネル等の表示装置等の半導体装置等を低コストにて製造できる。
【0268】
また、SiO膜を予め形成しこれを介してガラス基板等の絶縁基板に単結晶Si基板を接合するので、接合したSi界面に働く応力によるSi結晶の歪みに起因する移動度の低下、あるいは界面の欠陥やこれに伴う界面固定電荷、界面の局在準位による閾値シフト、特性安定性低下等を防止できる。これにより、石英基板との熱膨張係数差による加熱接合強度向上・剥離工程にての破壊を防止するため組成を調節した結晶化ガラスを用いる必要が無くなり、高歪点ガラスを用いることができる。よって、結晶化ガラスによるアルカリ金属による汚染の問題がなくなり、熱膨張係数差による加熱接合強度向上・剥離工程にての破壊を防止することができる。
【0269】
更に、例えば、大面積の高歪点ガラス基板上に多結晶Si膜を形成し、適切なサイズに加工した単結晶Si基板を接合すべき領域を覆うように多結晶Si薄膜を予めエッチング除去し、この領域に単結晶Si基板を接合し、剥離により単結晶Si薄膜とSiO膜を残し、それ以外の単結晶Siを剥離除去することによりガラス基板全体に亘り応力の偏りを無くすことができる。これにより、Siが剥がれたりクラックや破壊を生じること無く、基板の一部の領域が単結晶Si薄膜、残りの領域部分が多結晶Si薄膜からなる基板を得ることができる。
【0270】
また、単結晶Si基板の形状はLSI製造装置のウェハサイズである6、8、12インチの円板に限定されるが、絶縁基板上には多結晶Si薄膜も形成されているので、例えば、大型の液晶表示パネルや有機ELパネル等の半導体装置を製造できる。
【0271】
また、単結晶Si基板は、SiO膜を介して室温で絶縁基板1に接合するので、接合したSi界面に働く応力を実質的にほぼゼロとすることができる。従って、界面に働く応力のムラやバラツキによるSi結晶の歪みに起因する移動度の低下やバラツキ、あるいは界面の欠陥やこれに伴う界面固定電荷、界面の局在準位による閾値シフトやバラツキ、特性安定性低下等をより確実に防止できるといった効果を奏する。
【0272】
本発明の半導体装置の製造方法は、絶縁基板表面にSiO膜および非晶質Si膜を順次堆積する工程と、非晶質Si膜を加熱し、多結晶Si層を成長させ、多結晶Si薄膜を形成する工程と、所定の領域の上記多結晶Si薄膜をエッチング除去するとともに、同じ領域の上記SiO膜の厚さ方向における一部をエッチング除去する工程と、予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、エッチング除去した領域の一部または概ね全領域を覆う所定の形状に切断する工程と、絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、切断した単結晶Si基板を、水素イオンを注入した側の面を上記エッチング除去した領域に室温で密着させることで、両基板を接合する工程と、熱処理することにより、水素イオン注入部を境に劈開剥離し、絶縁基板上に単結晶Si薄膜を形成する工程とを含む構成である。
【0273】
これにより、上記製造方法の利点に加えて、さらに、所定の領域の多結晶Si層をエッチング除去するとともに、同じ領域のSiO膜の厚さ方向における一部をエッチング除去するので、単結晶Si基板の貼付面側におけるSiO膜の厚さの影響がキャンセルされ、絶縁基板上の単結晶Si薄膜および多結晶Si薄膜の領域の高さが概ね同等である基板を得ることができる。その結果、島エッチングを含め以降のほとんどの工程を同時に処理することが可能となる。また、これにより、段差の小さいトランジスタあるいは回路が形成される。よって、例えば液晶パネルの場合、セル厚制御にて優位となるといった効果を奏する。
【0274】
本発明の半導体装置の製造方法は、絶縁基板表面にSiO膜を堆積する工程と、予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、所定の形状に切断する工程と、絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、切断した単結晶Si基板を、水素イオンを注入した側の面を絶縁基板のSiO膜側表面における所定の位置に室温で密着させ接合する工程と、熱処理することにより、水素イオン注入部を境に劈開剥離し、絶縁基板上に単結晶Si薄膜を形成する工程と、絶縁基板上に絶縁膜(SiO膜)および非晶質Si膜を順次堆積する工程と、非晶質Si膜を加熱し、多結晶Si層を成長させ、多結晶Si薄膜を形成する工程とを含む構成である。
【0275】
上記の方法によれば、上記各製造方法と同様の利点を得ることができるといった効果を奏する。
【0276】
本発明の半導体装置の製造方法は、絶縁基板表面にSiO膜を堆積する工程と、所定の領域のSiO膜の厚さ方向における一部をエッチング除去する工程と、予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、エッチング除去した領域の一部または概ね全領域を覆う所定の形状に切断する工程と、絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、切断した単結晶Si基板における水素イオンを注入した側の面を、エッチング除去した領域に室温で密着させ接合する工程と、熱処理することにより、水素イオン注入部を境に劈開剥離し、単結晶Si薄膜を形成する工程と、絶縁基板上に絶縁膜(SiO膜)および非晶質Si膜を順次堆積する工程と、非晶質Si膜を加熱し、多結晶Si層を成長させ、多結晶Si薄膜を形成する工程とを含む構成である。
【0277】
これにより、上記各製造方法と同様の利点を得ることができるといった効果を奏する。
【0278】
本発明の半導体装置の製造方法は、絶縁基板表面に第1SiO膜、非晶質Si膜、および、第2SiO膜を順次堆積する工程と、第2SiO膜の所定の領域をエッチング除去することで上記非晶質Si膜の一部を露出させる工程と、露出した非晶質Si膜を酸化して酸化膜を形成し、該酸化膜上に酢酸Ni水溶液をスピンコートする工程と、非晶質Si膜を加熱し、メタルアシストにより結晶成長が促進された多結晶Si層を成長させ、多結晶Si薄膜を形成する工程と、第2SiO膜と酸化膜とを除去する工程と、多結晶Si層の所定の領域をエッチング除去する工程と、予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、エッチング除去した領域の一部または概ね全領域を覆う所定の形状に切断する工程と、絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、切断した単結晶Si基板を、水素イオンを注入した側の面を上記エッチング除去した領域に室温で密着させることで、両基板を接合する工程と、熱処理することにより、水素イオン注入部を境に劈開剥離し、絶縁基板上に単結晶Si薄膜を形成する工程とを含む構成である。
【0279】
これにより、上記各製造方法と同様の利点を得ることができるといった効果を奏する。
【0280】
本発明の半導体装置の製造方法は、300℃以上650℃以下の1段階または多段階の温度ステップにより、熱処理をする構成である。
【0281】
これにより、1段階の温度ステップ、即ち1工程にて熱処理をすることができるといった効果を奏する。
【0282】
本発明の半導体装置の製造方法は、多結晶Si層を成長させるときに、非晶質Si膜にNi、Pt、Sn、Pdの内少なくとも1つを添加する構成である。
【0283】
これにより、多結晶Si層を成長させるときに、非晶質Si膜にNi、Pt、Sn、Pdの内少なくとも1つを添加し、その後加熱することにより、多結晶Si層の結晶成長を促進することができる。よって、多結晶Si層の移動度を高くすることができ、駆動回路形成などにおいて有利になるといった効果を奏する。
【0284】
本発明の半導体装置の製造方法は、レーザー照射によって、単結晶Si基板の水素イオン注入部の温度をSiから水素が離脱する温度以上に昇温することにより、単結晶Si基板を水素イオン注入部を境に劈開剥離する工程を行う構成である。
【0285】
これにより、レーザー照射によって、単結晶Si基板の水素イオン注入部の温度を昇温するので、狭い範囲の領域のみを昇温することができ、単結晶Siの損傷を抑えることがきるといった効果を奏する。
【0286】
本発明の半導体装置は、概ね700℃以上のピーク温度を含むランプアニールを行うことにより、単結晶Si基板を水素イオン注入部を境に剥離する構成である。
【0287】
これにより、更に接合強度が向上するとともに、剥離界面及び単結晶Si薄膜内部の水素イオン注入による損傷の回復によりトランジスタの特性を向上できるといった効果を奏する。
【0288】
本発明の半導体装置の製造方法は、絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とが形成された後、等方性プラズマエッチングまたはウエットエッチングにより単結晶Si薄膜表面の損傷層をエッチング除去する工程と、多結晶Si薄膜と単結晶Si薄膜をエッチングにより島状にパターン化する工程と、多結晶Si薄膜および単結晶Si薄膜上全面にエッチバック用SiO膜を堆積後、異方性エッチングにより、エッチバック用SiO膜の一部を残して、または膜厚全部をエッチングバックする工程と、SiO膜を堆積することにより、ゲート絶縁膜を形成する工程とをさらに含む構成である。
【0289】
これにより、一般的なポリシリコンTFT形成工程が行われるので、従来の工程を用いて前記特徴を有するTFTを製造することができるといった効果を奏する。
【0290】
本発明の半導体装置の製造方法は、絶縁基板上に上記多結晶Si薄膜と上記単結晶Si薄膜とが形成された後、等方性プラズマエッチングまたはウエットエッチングにより上記単結晶Si薄膜表面の損傷層をエッチング除去する工程と、上記多結晶Si薄膜と上記単結晶Si薄膜をエッチングにより島状にパターン化する工程と、上記多結晶Si薄膜および上記単結晶Si薄膜上全面にエッチバック用SiO膜を堆積後、更に樹脂平坦化膜を全面に塗布する工程と、異方性エッチングにより、上記樹脂平坦化膜の全部と上記エッチバック用SiO膜の一部とをエッチングバックする工程と、SiO膜を堆積することにより、ゲート絶縁膜を形成する工程とをさらに含む構成である。
【0291】
これにより、多結晶Si薄膜と単結晶Si薄膜とのパターン間の谷状部に酸化膜(SiO膜)が残ることとなり、基板全体の平坦化を図ることができるといった効果を奏する。
【0292】
本発明の半導体装置の製造方法は、絶縁基板上に形成した上記単結晶Si薄膜と上記多結晶Si薄膜をエッチングにより島状にパターン化してMOSトランジスタを形成し、N型MOSトランジスタおよびP型MOSトランジスタのソースおよびドレイン領域の少なくとも一部に、概ね1015/cm以上5×1015/cm以下のPイオンを注入する工程とをさらに含む構成である。
【0293】
これにより、Pイオンの注入後、RTA、レーザー、炉等により加熱処理を行い、多結晶Si薄膜領域のみならず単結晶Si薄膜領域も同時に金属原子をゲッタリングすることにより、更に特性バラツキが小さく特性の安定なTFTを得ることができるといった効果を奏する。
【0294】
本発明の半導体装置の製造方法は、単結晶Si薄膜の膜厚が多結晶Si薄膜の膜厚と概ね等しい構成である。
【0295】
これにより、島状パターン化のためのエッチングを含め以降の工程をほとんどの工程を同時に処理することが可能となり、かつ段差の小さいトランジスタあるいは回路が形成できる。よって、例えば液晶パネルの場合、セル厚制御にて優位となるといった効果を奏する。
【0296】
本発明の半導体装置の製造方法は、単結晶Si基板の表面に形成予め形成されたSiO膜の膜厚が、200nm以上、さらには、300nm以上である構成である。
【0297】
これにより、閾値のバラツキと、SiO膜形成工程の効率や段差とのバランスに適切な半導体基板を得ることができるといった効果を奏する。
【0298】
本発明の半導体装置の製造方法は、単結晶Si薄膜の最大寸法が10cm以下である構成である。
【0299】
これにより、単結晶Si薄膜の最大寸法が10cm以下であれば、石英基板より単結晶Siとの熱膨張係数差の大きい、一般にアクティブマトリクス駆動による液晶表示パネル等に一般的に使用される高歪点ガラスを用いても、クラック等の破壊やSiの剥がれを防止できるといった効果を奏する。
【0300】
本発明の半導体装置の製造方法は、単結晶Si薄膜の最大寸法が5cm以下である構成である。
【0301】
これにより、石英基板より単結晶Siとの熱膨張係数差の大きい、一般にアクティブマトリクス駆動による液晶表示パネル等に一般的に使用される高歪点ガラスを用いても、一層クラック等の破壊やSiの剥がれを防止できるといった効果を奏する。
【0302】
本発明の半導体装置の製造方法は、単結晶Si薄膜が、絶縁基板との規格化された線膨張の差が、概ね室温以上600℃以下の温度範囲において、約250ppm以下である構成である。
【0303】
これにより、絶縁基板上に単結晶Si薄膜を形成するための工程において、熱膨張係数差による水素注入位置からの劈開剥離工程における破壊や接合界面剥離、あるいは結晶中の欠陥発生を確実に防止することができ、また、加熱接合強度の向上を図ることができるといった効果を奏する。
【0304】
本発明の半導体装置の製造方法は、水素イオン注入部に注入する水素イオンのドーズ量が、1016/cm以上、さらには、概ね3×1016/cmである構成である。
【0305】
これにより、単結晶Si薄膜の領域に形成されているTFTの移動度等の特性を向上させることができるといった効果を奏する。
【図面の簡単な説明】
【図1】(a)〜(h)は、本発明の実施の一形態に係る半導体装置の製造工程の一例を示す断面図である。
【図2】(a)〜(h)は、本発明の実施の他の一形態に係る半導体装置の製造工程の一例を示す断面図である。
【図3】(a)〜(f)は、本発明の実施のさらに他の一形態に係る半導体装置の製造工程の一例を示す断面図である。
【図4】(a)〜(e)は、本発明の実施のさらに他の一形態に係る半導体装置の製造工程の一例を示す断面図である。
【図5】(a)〜(h)は、本発明の実施のさらに他の一形態に係る半導体装置の製造工程の一例を示す断面図である。
【図6】単結晶Si基板の材料であるSi、絶縁基板の材料であるcode1737、および、バリウム−硼珪酸ガラスからなるcode7059の線膨張を示すグラフである。
【符号の説明】
1  絶縁基板
2、32、52、62  SiO膜(絶縁膜、第1SiO膜)
3、36、53  非晶質Si薄膜
4、37、43、54  多結晶Si薄膜
5、34  単結晶Si薄膜
6、38  ゲート絶縁膜(Si酸化膜)
10  単結晶Si基板
11  SiO
12  水素イオン注入部
20、30、50  半導体装置
33、55 凹部
35  SiO膜(絶縁膜膜)
41  SiO膜(第2SiO膜)
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a semiconductor device used for an active matrix drive liquid crystal display device and the like, which improves the circuit performance of a device in which a peripheral drive circuit and a control circuit are integrated, and a method of manufacturing the same.
[0002]
[Prior art]
2. Description of the Related Art Conventionally, thin film transistors (hereinafter, referred to as TFTs) of a-Si (amorphous Si) or p-Si (polycrystalline Si) are formed on a glass substrate to form a liquid crystal display panel or an organic EL panel. A so-called active matrix drive for driving has been performed. Further, peripheral drivers have been integrated using p-Si which has high mobility and operates at high speed. Alternatively, studies have been made to form higher performance Si devices for integration of systems such as image processors and timing controllers that require higher performance.
[0003]
This is because, in polycrystalline Si, the mobility is lowered or the S coefficient (sub-level) is increased due to the presence of localized levels in the gap due to imperfect crystallinity, defects near the grain boundaries, and localized levels in the gap. This is because there is a problem that the performance of the transistor is insufficient to form a high-performance Si device because the threshold coefficient increases.
[0004]
Therefore, in order to form a Si device with higher performance, in addition to laser crystallization, for example, techniques for improving crystallinity such as SLS (Sequential Lateral Solidification) and the like (for example, see Patent Document 1), and CLC (CW Laser Lateral Crystallization) (for example, see Non-Patent Document 1). These are aimed at depositing an a-Si film on a glass substrate and crystallizing the film with good controllability or approaching a single crystal.
[0005]
However, the technique using these lasers grows the crystal by heating only the Si film to a high temperature while keeping the temperature of an insulating substrate having low heat resistance such as glass at a low temperature. For this reason, 10 9 A strong tensile stress of about Pa is applied, which causes problems such as cracks in the film, poor reproducibility in TFT characteristics, and large variations.
[0006]
On the other hand, there is a technique in which single-crystal Si is attached to an insulating substrate and is thinned (for example, see Patent Document 2). According to this technique, an oxide film can be formed on a single crystal Si substrate, and a single crystal Si thin film can be formed thereon. However, when an attempt is made to bond to an insulating substrate other than Si, for example, a glass substrate or a quartz substrate, there is a problem that Si is peeled or broken due to a difference in thermal expansion coefficient with an insulating substrate such as a quartz substrate.
[0007]
On the other hand, there is a method of changing the composition of crystallized glass in order to prevent the destruction in the step of improving the heat bonding strength due to the difference in thermal expansion coefficient from the quartz substrate (for example, see Patent Document 3).
[0008]
[Patent Document 1]
US Pat. No. 6,300,175 (October 9, 2001)
[0009]
[Patent Document 2]
JP-A-5-211128 (published on August 20, 1993)
[0010]
[Patent Document 3]
JP-A-11-163363 (published on June 18, 1999)
[0011]
[Non-patent document 1]
A. Hara et. al. , "Ultra-high Performance Poly-Si TFTs on a Glass by a Stable Scanning CW Laser Lateral Crystallization", 2001 International Workshop on Active matrix Liquid Crystal Displays --TFT Technologies and Related materials - (AM-LCD2001), Digest of Technical Papers, p. 227-230, July 11-13, 2001, Japan
Society of Applied Physics (Japan Society of Applied Physics)
[0012]
[Problems to be solved by the invention]
However, crystallized glass generally contains an alkali atom, and has properties that conflict with obtaining a transistor with stable characteristics. Further, in the above technology, the shape of the single-crystal Si substrate is a 6, 8, or 12-inch disk, so that the insulating substrate to be bonded is limited to a 6, 8, or 12-inch disk. It was impossible to manufacture a panel or an organic EL panel, and even if the panel was small, the manufacturing cost was high, and practical use was difficult.
[0013]
Furthermore, when a substrate containing no alkali atom is used, when a single crystal Si substrate and an insulating substrate are bonded, the bonding strength is reduced due to a difference in thermal expansion coefficient. Further, when stress acts on the joined interface, the characteristics of the TFT to be formed are deteriorated due to unevenness and variation of the stress acting on the interface.
[0014]
The present invention has been made in view of the above problems, and an object of the present invention is to provide a large-sized and inexpensive substrate having a stable characteristic having a single-crystal Si thin film, and having a bonding strength and a bonding interface of the single-crystal Si thin film. An object of the present invention is to provide a semiconductor device free from unevenness and variation in working stress and a method for manufacturing the same.
[0015]
[Means for Solving the Problems]
According to another aspect of the present invention, there is provided a semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed in different regions on an insulating substrate. It is characterized in that a standardized difference in linear expansion from a single-crystal Si thin film is approximately 250 ppm or less in a temperature range of approximately room temperature to 600 ° C.
[0016]
Usually, localized levels in the gap, defects near the crystal grain boundaries, and localization in the gap due to the imperfectness of crystallinity peculiar to polycrystalline Si, which hinder the formation of high-performance devices Problems such as a decrease in mobility and an increase in S coefficient (subthreshold coefficient) due to the presence of a level can be solved by using single crystal Si as the semiconductor thin film used as the active layer.
[0017]
Thus, according to the above configuration, the polycrystalline Si thin film and the single-crystal Si thin film are formed in different regions on an insulating substrate such as a large glass substrate. Therefore, devices requiring higher performance, such as a timing controller and a microprocessor, can be formed in the single crystal Si thin film formation region, and the remaining devices can be formed in the polycrystalline Si thin film formation region.
[0018]
That is, even if the size of the single-crystal Si thin film is limited, high-speed logic that requires single-crystal Si, high-speed logic that requires power consumption, and variations, a timing generator, a high-speed DAC (current buffer), and the like are formed. Any size that is large enough to do so is acceptable. Therefore, a high-performance and high-performance circuit system that can be realized only with single-crystal Si can be integrated on a substrate. For example, for a display device such as a liquid crystal panel or an organic EL panel in which a high-performance system is integrated. Can be manufactured at a very low cost as compared with the case where all devices are formed of single crystal Si.
[0019]
In addition, the shape of the single crystal Si substrate is limited to a disk of 6, 8, or 12 inches, which is the wafer size of an LSI manufacturing apparatus. However, since a polycrystalline Si thin film is also formed on the substrate, It is also possible to manufacture liquid crystal display panels and organic EL panels.
[0020]
Further, it is not necessary to use crystallized glass whose composition is adjusted in order to prevent breakage in a step of improving the heat bonding strength due to a difference in thermal expansion coefficient from a quartz substrate as described in Patent Document 3. Thus, the problem of alkali metal contamination caused by crystallized glass is eliminated, and destruction in the step of improving the heat bonding strength due to a difference in thermal expansion coefficient can be prevented.
[0021]
Further, the difference between the normalized linear expansion of the insulating substrate and the single-crystal Si thin film is approximately 250 ppm or less in a temperature range of approximately room temperature or higher and 600 ° C. or lower. The difference between the expansion coefficients becomes smaller. Therefore, in the process for forming a single-crystal Si thin film on an insulating substrate, it is necessary to surely prevent destruction, bonding interface separation, or generation of defects in the crystal in the cleavage separation process from the hydrogen implantation position due to the difference in thermal expansion coefficient. In addition, the heat bonding strength can be improved. Here, the thermal expansion is a change in length due to a change in temperature.
[0022]
The semiconductor device of the present invention is a semiconductor device in which a polycrystalline Si thin film and a single crystal Si thin film are formed in different regions on an insulating substrate, and the shift amount of the Raman peak in the single crystal Si thin film is 519. .5cm -1 Above and 521.5cm -1 It is characterized as follows.
[0023]
According to the above configuration, the polycrystalline Si thin film and the single crystal Si thin film are formed in different regions. Therefore, devices requiring higher performance, such as a timing controller and a microprocessor, can be formed in the single crystal Si thin film formation region, and the remaining devices can be formed in the polycrystalline Si thin film formation region.
[0024]
Normally, when crystallization or crystal growth is performed using a laser, a large stress remains in the Si thin film.
[0025]
However, according to the above configuration, the shift amount of the Raman peak in the single-crystal Si thin film is 519.5 cm. -1 Above and 521.5cm -1 By the following, the stress acting on the Si interface in the single crystal Si thin film can be made substantially zero. Therefore, when a TFT is formed, a decrease or variation in mobility due to strain of the Si crystal due to unevenness or variation in stress acting on the Si interface, or a defect at the interface, an associated fixed charge, and a localized level at the interface. , The threshold shift and the variation, the characteristic stability decrease, and the like can be reliably prevented.
[0026]
In the above semiconductor device, the insulating substrate may be formed by forming SiO2 on at least the surface of the region where single crystal Si exists. 2 It is preferable that the layer is formed of a high strain point glass made of an alkaline earth-aluminoborosilicate glass having a layer formed thereon.
[0027]
According to the above configuration, it is not necessary to use crystallized glass of which composition is adjusted, so that the insulating substrate is made of a high strain point glass generally used for a liquid crystal display panel or the like by active matrix driving, thereby reducing cost. Can manufacture a semiconductor device.
[0028]
In the above semiconductor device, the insulating substrate is made of barium-aluminoborosilicate glass, alkaline earth-aluminoborosilicate glass, borosilicate glass, alkaline earth-zinc-lead-aluminoborosilicate glass, alkaline earth-zinc-alumino Preferably, it is made of any one of borosilicate glass.
[0029]
According to the above configuration, the insulating substrate is made of the glass described above, which is a high strain point glass generally used for a liquid crystal display panel or the like by active matrix driving, so that it is suitable for an active matrix substrate at low cost. Semiconductor device can be manufactured.
[0030]
The semiconductor device is preferably an active matrix substrate including an integrated circuit including a plurality of MOSFETs, bipolar transistors, or SITs on an insulating substrate.
[0031]
According to the above configuration, the semiconductor device is an active matrix substrate in which an integrated circuit composed of a plurality of MOS (Metal Oxide Semiconductor) FETs (TFTs) is formed on an insulating substrate. Can be obtained.
[0032]
In the above semiconductor device, it is preferable that a region of the single-crystal Si thin film and a region of the polycrystalline Si thin film formed on the insulating substrate are separated by 0.3 μm or more. In the above-described semiconductor device, it is more preferable that the region of the single-crystal Si thin film and the region of the polycrystalline Si thin film formed on the insulating substrate are separated by 0.5 μm or more.
[0033]
According to the above configuration, it is possible to prevent, for example, Ni, Pt, Sn, Pd, and the like from diffusing from polycrystalline Si to single-crystal Si, and to stabilize the characteristics of the semiconductor device.
[0034]
In the above-described semiconductor device, it is preferable that at least one of mobility, a subthreshold coefficient, and a threshold value be different for each of the regions in transistors of the same conductivity type formed in different regions.
[0035]
According to the above configuration, at least one of the mobility, the sub-threshold coefficient, and the threshold is different among the transistors of one conductivity type formed in different regions, so that the transistor is suitable for required characteristics. Region.
[0036]
In the above-described semiconductor device, it is preferable that at least one of a gate length, a thickness of a gate oxide film, a power supply voltage, and a logic level differs in each of the integrated circuits formed in different regions.
[0037]
According to the above configuration, furthermore, in the integrated circuits formed in the different regions, at least one of the gate length, the thickness of the gate oxide film, the power supply voltage, and the logic level is different. In addition, the integrated circuit can be formed in a suitable region.
[0038]
In the above-described semiconductor device, it is preferable that, in an integrated circuit formed in a different region, a processing rule is different for each of the regions.
[0039]
According to the above configuration, since the integrated circuits formed in different regions have different processing rules, the integrated circuits can be formed in appropriate regions in accordance with the processing rules.
[0040]
In the above semiconductor device, the thickness d of the single-crystal Si thin film is a small value including a variation margin with respect to the maximum depletion length Wm determined by the impurity Ni, that is, the impurity density is a practical lower limit. Fifteen cm -3 However, the upper limit of d is preferably about 600 nm or less.
[0041]
Here, Wm = [4ε s kTln (Ni / ni) q 2 Ni] 1/2 Where ni is the intrinsic carrier density, k is the Boltzmann constant, T is the absolute temperature, ε s Is the dielectric constant of Si, q is the electron charge, and Ni is the impurity density.
[0042]
According to the above configuration, since the thickness of the single-crystal Si thin film is approximately 600 nm or less, the S value of the semiconductor device decreases, and the off-state current decreases.
[0043]
In the above semiconductor device, the thickness of the single-crystal Si thin film is preferably 100 nm or less.
[0044]
According to the above configuration, the S value (sub-threshold coefficient) of the semiconductor device is further reduced, and the off-state current is further reduced.
[0045]
In order to solve the above-mentioned problems, a method of manufacturing a semiconductor device according to the present invention includes a method of manufacturing a semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed on an insulating substrate. 2 A step of sequentially depositing a film and an amorphous Si film; a step of heating the amorphous Si film to grow a polycrystalline Si layer to form a polycrystalline Si thin film; A step of etching and removing a region, and a step of oxidizing the surface or depositing an oxide film in advance to form a SiO 2 2 A single crystal Si substrate having a hydrogen ion implanted portion formed with a film and implanted with a predetermined concentration of hydrogen ions at a predetermined depth has a predetermined shape covering a part or substantially the entire region of the region removed by etching. A cutting step, a step of cleaning the insulating substrate and the single-crystal Si substrate and activating the surfaces of the two substrates, and a step of etching and removing the cut single-crystal Si substrate on the side where hydrogen ions are implanted. Bonding the two substrates by bringing them into close contact with each other at room temperature, and forming a single-crystal Si thin film on the insulating substrate by subjecting the substrates to cleavage by the heat treatment, thereby separating the hydrogen ion implanted portions. It is characterized by including.
[0046]
According to the above method, the bonding strength can be increased by heating the single-crystal Si substrate into which the hydrogen ions of the predetermined concentration have been implanted at the predetermined depth, and the single-crystal Si substrate can be provided with the hydrogen ion implanted portion. By separating at the boundary, a single crystal Si thin film can be obtained. Therefore, localized levels in the gap, defects near the crystal grain boundaries, and localization in the gap due to crystal imperfections peculiar to polycrystalline Si, which are obstacles to forming a high-performance device Problems such as a decrease in mobility and an increase in S coefficient due to the presence of a level can be solved by single crystal Si. Therefore, a single-crystal Si thin film and a polycrystalline Si thin film can be formed on an insulating substrate, and the subsequent steps are formed by a common processing process. The device can be formed of polycrystalline Si. Accordingly, a semiconductor device such as a display device such as a liquid crystal panel or an organic EL panel in which a high-performance system is integrated can be manufactured at low cost.
[0047]
In addition, SiO 2 Since a single-crystal Si substrate is bonded to an insulating substrate such as a glass substrate through the formation of a film in advance, a decrease in mobility due to strain of the Si crystal due to stress applied to the bonded Si interface, or defects at the interface, This can prevent the fixed charges at the interface, the threshold shift due to the localized level of the interface, the deterioration of the characteristic stability, and the like. This eliminates the need to use crystallized glass whose composition has been adjusted in order to improve the heat bonding strength due to the difference in thermal expansion coefficient from the quartz substrate and prevent destruction in the peeling step, and it is possible to use glass with a high strain point. Therefore, the problem of contamination by alkali metal due to crystallized glass is eliminated, and it is possible to improve the heat bonding strength due to the difference in thermal expansion coefficient and prevent breakage in the peeling step.
[0048]
Further, for example, a polycrystalline Si film is formed on a large-area high-strain-point glass substrate, and the polycrystalline Si thin film is etched and removed in advance so as to cover a region where a single-crystal Si substrate processed to an appropriate size is to be bonded. Then, a single crystal Si substrate is bonded to this region, and the single crystal Si thin film and SiO 2 By leaving the film and exfoliating and removing the other single crystal Si, it is possible to eliminate the bias of the stress over the entire glass substrate. As a result, it is possible to obtain a substrate in which a partial region of the substrate is formed of a single-crystal Si thin film and the remaining region is formed of a polycrystalline Si thin film without peeling, cracking, or destruction of Si.
[0049]
Further, the shape of the single-crystal Si substrate is limited to a disk of 6, 8, or 12 inches, which is the wafer size of the LSI manufacturing apparatus. However, since a polycrystalline Si thin film is also formed on the insulating substrate, for example, Semiconductor devices such as large liquid crystal display panels and organic EL panels can be manufactured.
[0050]
The single-crystal Si substrate is made of SiO 2 Since the substrate is bonded to the insulating substrate 1 at room temperature through the film, the stress acting on the bonded Si interface can be made substantially zero. Therefore, the mobility is reduced or varied due to the strain of the Si crystal due to the unevenness or variation of the stress applied to the interface, or the threshold shift or the variation due to the interface defect, the interface fixed charge, the localized level of the interface, and the characteristic. A decrease in stability or the like can be more reliably prevented.
[0051]
In order to solve the above-mentioned problems, a method of manufacturing a semiconductor device according to the present invention includes a method of manufacturing a semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed on an insulating substrate. 2 A step of sequentially depositing a film and an amorphous Si film; a step of heating the amorphous Si film to grow a polycrystalline Si layer to form a polycrystalline Si thin film; The thin film is etched away and the SiO 2 in the same region is removed. 2 A step of etching and removing a part of the film in the thickness direction; 2 A single crystal Si substrate having a hydrogen ion implanted portion formed with a film and implanted with a predetermined concentration of hydrogen ions at a predetermined depth has a predetermined shape covering a part or substantially the entire region of the region removed by etching. A cutting step, a step of cleaning the insulating substrate and the single-crystal Si substrate and activating the surfaces of the two substrates, and a step of etching and removing the cut single-crystal Si substrate on the side where hydrogen ions are implanted. Bonding the two substrates by bringing them into close contact with each other at room temperature, and forming a single-crystal Si thin film on the insulating substrate by subjecting the substrates to cleavage by the heat treatment, thereby separating the hydrogen ion implanted portions. It is characterized by including.
[0052]
According to the above-described method, in addition to the advantages of the above-described manufacturing method, the polycrystalline Si layer in a predetermined region is removed by etching, and the SiO 2 in the same region is removed. 2 Since a part of the film in the thickness direction is removed by etching, the SiO 2 on the attachment surface side of the single crystal Si substrate is removed. 2 The influence of the thickness of the film is cancelled, and a substrate can be obtained in which the regions of the single-crystal Si thin film and the polycrystalline Si thin film on the insulating substrate have substantially the same height. As a result, most of the subsequent steps including the island etching can be simultaneously performed. Thus, a transistor or a circuit having a small step is formed. Therefore, for example, in the case of a liquid crystal panel, it is advantageous in controlling the cell thickness.
[0053]
In order to solve the above-mentioned problems, a method of manufacturing a semiconductor device according to the present invention includes a method of manufacturing a semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed on an insulating substrate. 2 A step of depositing a film and oxidizing the surface in advance or depositing an oxide film on the 2 Forming a film, and cutting a single crystal Si substrate having a hydrogen ion implanted portion into which a predetermined concentration of hydrogen ions are implanted at a predetermined depth into a predetermined shape; and Cleaning the two substrates, and activating the surfaces of the cut single-crystal Si substrates with the hydrogen ion-implanted surface of the insulating substrate. 2 Forming a single-crystal Si thin film on the insulated substrate by cleaving and separating at the hydrogen ion implanted portion by heat treatment, A step of sequentially depositing an insulating film and an amorphous Si film on an insulating substrate; and a step of heating the amorphous Si film, growing a polycrystalline Si layer, and forming a polycrystalline Si thin film. Features.
[0054]
According to the above-described method, the same advantages as those of the above-described respective manufacturing methods can be obtained.
[0055]
In order to solve the above-mentioned problems, a method of manufacturing a semiconductor device according to the present invention includes a method of manufacturing a semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed on an insulating substrate. 2 A step of depositing a film; 2 A step of etching and removing a part of the film in the thickness direction; 2 A single crystal Si substrate having a hydrogen ion implanted portion formed with a film and implanted with a predetermined concentration of hydrogen ions at a predetermined depth has a predetermined shape covering a part or substantially the entire region of the region removed by etching. A cutting step, a step of cleaning the insulating substrate and the single-crystal Si substrate and activating the surfaces of the two substrates, and a step of etching and removing the surface of the cut single-crystal Si substrate on which hydrogen ions have been implanted. A step of forming a single-crystal Si thin film by cleaving and separating at the hydrogen ion implanted portion by heat treatment, and a step of forming a single crystal Si thin film on the insulating substrate by heat treatment. The method is characterized by including a step of sequentially depositing a Si film and a step of heating the amorphous Si film, growing a polycrystalline Si layer, and forming a polycrystalline Si thin film.
[0056]
According to the above-described method, the same advantages as those of the above-described respective manufacturing methods can be obtained.
[0057]
In order to solve the above-mentioned problems, a method of manufacturing a semiconductor device according to the present invention includes a method of manufacturing a semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed on an insulating substrate. 2 Film, amorphous Si film, and second SiO 2 A step of sequentially depositing a film; 2 Exposing a part of the amorphous Si film by etching a predetermined region of the film, and oxidizing the exposed amorphous Si film thinly (a few nm) to form an oxide film; A step of spin-coating an aqueous solution of Ni acetate on the oxide film, and a step of heating the amorphous Si film to grow a polycrystalline Si layer whose crystal growth direction is promoted by metal assist to form a polycrystalline Si thin film And the second SiO 2 Removing the film and the oxide film, etching and removing a predetermined region of the polycrystalline Si layer, and oxidizing the surface or previously depositing an oxide film to form a SiO 2 A single crystal Si substrate having a hydrogen ion implanted portion formed with a film and implanted with a predetermined concentration of hydrogen ions at a predetermined depth has a predetermined shape covering a part or substantially the entire region of the region removed by etching. A cutting step, a step of cleaning the insulating substrate and the single-crystal Si substrate and activating the surfaces of the two substrates, and a step of etching and removing the cut single-crystal Si substrate on the side where hydrogen ions are implanted. Bonding the two substrates by bringing them into close contact with each other at room temperature, and forming a single-crystal Si thin film on the insulating substrate by subjecting the substrates to cleavage by the heat treatment, thereby separating the hydrogen ion implanted portions. It is characterized by including.
[0058]
According to the above-described method, the same advantages as those of the above-described respective manufacturing methods can be obtained.
[0059]
In the above method for manufacturing a semiconductor device, it is preferable that the heat treatment be performed in one or multiple temperature steps of 300 ° C. or more and 650 ° C. or less.
[0060]
According to the above method, the heat treatment can be performed in one temperature step, that is, in one process.
[0061]
In the method of manufacturing a semiconductor device described above, it is preferable that at least one of Ni, Pt, Sn, and Pd is added to the amorphous Si film when growing the polycrystalline Si layer.
[0062]
According to the above method, at the time of growing the polycrystalline Si layer, at least one of Ni, Pt, Sn, and Pd is added to the amorphous Si film, and then the polycrystalline Si layer is heated. Crystal growth can be promoted. Therefore, the mobility of the polycrystalline Si layer can be increased, which is advantageous in forming a driving circuit.
[0063]
In the method for manufacturing a semiconductor device, the temperature of the hydrogen ion implanted portion of the single crystal Si substrate is increased by laser irradiation to a temperature equal to or higher than the temperature at which hydrogen is released from Si, so that the single crystal Si substrate is heated by the hydrogen ion implanted portion. It is preferable to perform a step of cleaving at the boundary.
[0064]
According to the above method, since the temperature of the hydrogen ion implanted portion of the single crystal Si substrate is increased by laser irradiation, it is possible to increase the temperature only in a narrow range, thereby suppressing damage to the single crystal Si. Wear.
[0065]
In the above semiconductor device, it is preferable that the single crystal Si substrate be separated from the hydrogen ion implanted portion by performing lamp annealing including a peak temperature of approximately 700 ° C. or higher.
[0066]
According to the above-described method, lamp annealing, which is rapid thermal annealing including a peak temperature of approximately 700 ° C. or more, is performed, and the single crystal Si substrate is separated from the hydrogen ion implanted portion, so that the bonding strength is further increased. And the characteristics of the transistor can be improved by recovering from damage caused by hydrogen ion implantation inside the peeling interface and inside the single crystal Si thin film. Note that the higher the peak temperature of the lamp annealing, the higher the characteristics of the transistor, but the larger the warpage and expansion / contraction of the substrate. Therefore, an appropriate temperature and holding time may be selected depending on the substrate size and the type of device to be formed.
[0067]
In the method of manufacturing a semiconductor device described above, after a polycrystalline Si thin film and a single-crystal Si thin film are formed on an insulating substrate, a damaged layer on the surface of the single-crystal Si thin film is removed by isotropic plasma etching or wet etching. A step of patterning the polycrystalline Si thin film and the single-crystal Si thin film in an island shape by etching; 2 After depositing the film, anisotropic etching is performed to etch back SiO 2 Etching back all or part of the film while leaving a part of the film; 2 A step of forming a gate insulating film by depositing a film.
[0068]
According to the above method, a general polysilicon TFT forming step is performed, so that a TFT having the above characteristics can be manufactured using a conventional step.
[0069]
In the method of manufacturing a semiconductor device, after the polycrystalline Si thin film and the single crystal Si thin film are formed on an insulating substrate, the damaged layer on the surface of the single crystal Si thin film is removed by isotropic plasma etching or wet etching. A step of etching and removing, a step of patterning the polycrystalline Si thin film and the single crystal Si thin film in an island shape by etching, and a step of etching back SiO2 on the entire surface of the polycrystalline Si thin film and the single crystal Si thin film. 2 After depositing the film, a step of further applying a resin flattening film on the entire surface, and anisotropic etching to completely cover the resin flattening film and the SiO for etching back 2 Etching back a part of the film; 2 A step of forming a gate insulating film by depositing a film.
[0070]
According to the above method, the oxide film (SiO 2) is formed in the valley between the patterns of the polycrystalline Si thin film and the single crystal Si thin film. 2 Film), and the entire substrate can be flattened.
[0071]
In the method of manufacturing a semiconductor device, the single crystal Si thin film and the polycrystalline Si thin film formed on an insulating substrate are patterned into an island shape by etching to form a MOS transistor, and an N-type MOS transistor and a P-type MOS transistor At least a portion of the source and drain regions of Fifteen / Cm 2 5 × 10 or more Fifteen / Cm 2 The following P + Preferably, the method further includes a step of implanting ions.
[0072]
According to the above method, at least part of the source and drain regions of the N-type MOS transistor and the P-type MOS transistor Fifteen / Cm 2 5 × 10 or more Fifteen / Cm 2 The following P + Implant ions. Therefore, after that, a heat treatment is performed by RTA, a laser, a furnace, or the like, and the metal atoms are simultaneously gettered not only in the polycrystalline Si thin film region but also in the single crystal Si thin film region, so that a TFT having a smaller characteristic variation and a stable characteristic is obtained. Can be obtained.
[0073]
In the method of manufacturing a semiconductor device described above, it is preferable that the thickness of the single-crystal Si thin film is approximately equal to the thickness of the polycrystalline Si thin film.
[0074]
According to the above method, most of the subsequent steps including the etching for forming an island pattern can be simultaneously performed, and a transistor or a circuit having a small step can be formed. Therefore, for example, in the case of a liquid crystal panel, it is advantageous in controlling the cell thickness.
[0075]
The above-described method for manufacturing a semiconductor device includes a method of forming a SiO 2 layer on a surface of a single-crystal Si substrate, 2 The thickness of the film is preferably 200 nm or more, more preferably 300 nm or more.
[0076]
Usually SiO 2 As the thickness of the film increases, the variation in the threshold value decreases. 2 An appropriate value is approximately 200 nm to 400 nm due to the trade-off between the efficiency (time required for oxidation) of the film forming process and the step. An appropriate value is about 400 nm or more when variation is important, and about 200 nm to 400 nm, more preferably 250 nm to 350 nm when importance is placed on steps and efficiency. SiO 2 When the thickness of the film is large, the stability of operation particularly at a low voltage is improved. This is because the influence of the fixed charge caused by the contamination of the interface between the bonded single crystal Si substrate and the insulating substrate such as a glass substrate or the lattice distortion or imperfection is reduced.
[0077]
Therefore, according to the above method, the variation in the threshold value and the variation in SiO 2 2 A semiconductor substrate that is appropriate for the efficiency of the film forming process and the balance with the step can be obtained.
[0078]
In the above method for manufacturing a semiconductor device, the maximum size of the single-crystal Si thin film is preferably 10 cm or less.
[0079]
According to the above method, if the maximum size of the single-crystal Si thin film is 10 cm or less, the difference in the thermal expansion coefficient between the single-crystal Si and the single-crystal Si is larger than that of the quartz substrate. Even when the high strain point glass is used, breakage such as cracks and peeling of Si can be prevented. The maximum dimension of the single-crystal Si thin film means the largest dimension among the respective dimensions in the surface shape of the single-crystal Si thin film having a small thickness. For example, when the single-crystal Si thin film is circular, it means the diameter, and when the single-crystal Si thin film is thin, rectangular, it means the diagonal length of the upper surface square shape.
[0080]
In the above method for manufacturing a semiconductor device, it is preferable that the maximum size of the single crystal Si thin film is 5 cm or less.
[0081]
According to the above method, if the maximum size of the single-crystal Si thin film is 5 cm or less, the difference in the thermal expansion coefficient between the single-crystal Si and the single-crystal Si is larger than that of the quartz substrate. Even if the high strain point glass used for the above is used, it is possible to further prevent breakage such as cracks and peeling of Si.
[0082]
In the method of manufacturing a semiconductor device described above, it is preferable that the standardized linear expansion difference between the single crystal Si thin film and the insulating substrate is approximately 250 ppm or less in a temperature range of approximately room temperature to 600 ° C.
[0083]
According to the above method, the difference in linear expansion coefficient between the insulating substrate and the single-crystal Si thin film is reduced. Therefore, in the process for forming a single-crystal Si thin film on an insulating substrate, it is necessary to surely prevent destruction, bonding interface separation, or generation of defects in the crystal in the cleavage separation process from the hydrogen implantation position due to the difference in thermal expansion coefficient. In addition, the heat bonding strength can be improved.
[0084]
In the above method for manufacturing a semiconductor device, the dose of hydrogen ions implanted into the hydrogen ion implanted portion is 10 16 / Cm 2 Above, furthermore, approximately 3 × 10 16 / Cm 2 It is preferable that
[0085]
According to the above method, characteristics such as mobility of the TFT formed in the region of the single crystal Si thin film can be improved.
[0086]
BEST MODE FOR CARRYING OUT THE INVENTION
[Embodiment 1]
One embodiment of the present invention will be described below with reference to FIGS.
[0087]
FIG. 1 shows an example of a manufacturing process of the active matrix substrate 20 (semiconductor device) according to the present embodiment. As shown in FIG. 1H, the present active matrix substrate 20 includes an insulating substrate 1, SiO 2 (Silicon oxide) films 2 and 11, a polycrystalline Si thin film 4, a single crystal Si thin film 5, a gate oxide film 6, a gate electrode 21, an interlayer insulating film 22, and a metal wiring 24 are provided. The active matrix substrate 20 includes a thin film transistor (TFT) that is a switching element. The active matrix substrate 20 is used for, for example, a liquid crystal display device.
[0088]
The insulating substrate 1 is made of high strain point glass. Here, as the insulating substrate 1, a code 1737 (manufactured by Corning) made of alkaline earth-aluminoborosilicate glass is used.
[0089]
The material of the insulating substrate 1 is not particularly limited, and barium-aluminoborosilicate glass, borosilicate glass, alkaline earth-zinc-lead-aluminoborosilicate glass, which is a high strain point glass, alkaline earth Class-zinc-aluminoborosilicate glass or the like.
[0090]
On almost the entire surface of the insulating substrate 1, SiO 2 SiO consisting of 2 A film 2 is formed. SiO 2 The thickness of the film 2 is about 100 nm.
[0091]
SiO 2 On the film 2, a polycrystalline Si thin film 4 and SiO 2 A film 11 is formed. The polycrystalline Si thin film 4 is formed to have an island pattern, and has a thickness of about 50 nm. SiO 2 The film 11 is made of SiO 2 It is formed on the film 2 in a region different from the polycrystalline Si thin film 4 so as to form an island pattern, and its film thickness is about 200 nm. SiO 2 On the film 11, a single-crystal Si thin film 5 having the same shape is further formed so as to form an island pattern. The thickness of the single-crystal Si thin film 5 is about 50 nm.
[0092]
The region of the adjacent polycrystalline Si thin film 4 and the region of the single crystal Si thin film 5 are separated by at least 0.3 μm, preferably 0.5 μm or more.
[0093]
This prevents metal atoms such as Ni, Pt, Sn, and Pd used in a later-described manufacturing process of the polycrystalline Si thin film 4 from diffusing into the single-crystal Si region, thereby stabilizing characteristics. it can.
[0094]
SiO 2 Over the entire surface of the film 2, the polycrystalline Si thin film 4, and the single-crystal Si thin film 5, 2 A gate oxide film 6 is formed. The thickness of the gate oxide film 6 is about 60 nm.
[0095]
A gate electrode 21 is formed on the gate oxide film 6 on the upper surface of each island pattern region in the polycrystalline Si thin film 4 and the single crystal Si thin film 5. Gate electrode 21 is made of polycrystalline Si and W silicide. The material of the gate electrode 21 is not particularly limited, and may be, for example, polycrystalline Si, another silicide, polycide, a high melting point metal, or the like.
[0096]
The entire surface of the gate oxide film 6 on which the gate electrode 21 is formed is SiO 2 2 Is formed. However, the interlayer insulating film 22 has a contact hole 23 (see FIG. 1 (g)) as an opening, and a metal wiring 24 made of a metal such as AlSi is formed in the contact hole 23. The metal wiring 24 is formed from the upper surface of each island pattern region in the polycrystalline Si thin film 4 and the single crystal Si thin film 5.
[0097]
Further, the active matrix substrate 20 is formed with a not-shown SiNx (silicon nitride), a resin flattening film, a via hole, a transparent electrode, and the like for a liquid crystal display. In the polycrystalline Si thin film region, a driver and a TFT for a display portion are formed, and in the single crystal Si thin film region, a timing controller, a microprocessor, and the like for controlling each timing of driving by the driver are formed. Of course, the driver may be formed of single crystal Si. In this case, the performance is further improved, the device area is smaller, the uniformity is excellent, the operation is performed at a lower voltage, but the cost is increased.
[0098]
Hereinafter, a method for manufacturing the active matrix substrate 20 will be described with reference to FIGS. 1 (a) to 1 (h).
[0099]
First, TEOS (Tetra Ethoxy Silane, that is, Si (OC) is formed on the entire surface of the insulating substrate 1 made of the code 1737 (manufactured by Corning). 2 H 5 ) 4 ) And O 2 (Oxygen) and a 100-nm-thick SiO 2 film by a plasma chemical vapor deposition (P-CVD) method. 2 The film 2 is deposited.
[0100]
Subsequently, the SiO 2 SiH on the film 2 4 An amorphous Si film 3 having a thickness of about 50 nm is deposited by a P-CVD method using a gas (FIG. 1A).
[0101]
Then, the amorphous Si film 3 is irradiated with an excimer laser and heated to crystallize the amorphous Si, and a polycrystalline Si layer is grown to form a polycrystalline Si thin film 4.
[0102]
The heating of the amorphous Si film 3 for forming the polycrystalline Si thin film 4 is not limited to irradiation heating with an excimer laser. For example, irradiation heating with another laser may be performed by heating using a furnace. There may be. Further, in order to promote crystal growth, at least one of Ni, Pt, Sn, and Pd may be added to the amorphous Si film 3.
[0103]
Next, a predetermined region of the polycrystalline Si thin film 4 is removed by etching (FIG. 1B).
[0104]
On the other hand, a single crystal Si substrate 10 is prepared. The single crystal Si substrate 10 has its surface oxidized in advance or an oxide film (SiO 2 2 Film) to form a SiO 2 film having a thickness of about 200 nm. 2 A film 11 is formed. In addition, the single-crystal Si substrate 10 16 / Cm 2 Above, here 5 × 10 16 / Cm 2 Of hydrogen ions at a predetermined energy (here, about 24 keV). Fifteen cm -3 Doped. The threshold of the N-channel TFT is set to an appropriate value by the boron-concentration impurity.
[0105]
Then, the polycrystalline Si thin film 4 is formed into a shape at least 0.3 μm, preferably at least 0.5 μm smaller than the shape of the predetermined region by etching, by dicing or anisotropic etching with KOH or the like to form the single crystal Si The substrate 10 is cut.
[0106]
Subsequently, both the substrate on which the polycrystalline Si thin film 4 is formed and the single-crystal Si substrate 10 are washed and activated with SC-1 for particle removal and surface activation, and then the cut single-crystal Si substrate is cut. The surface of the substrate 10 on the side close to the hydrogen ion implanted portion 12 is brought into close contact with the region removed by etching (FIG. 1B) at room temperature and joined (FIG. 1C). Here, SC-1 cleaning is one of the cleaning methods generally called RCA cleaning, and is performed using a cleaning liquid including ammonia, hydrogen peroxide, and pure water.
[0107]
Thereafter, heat treatment is performed at a temperature of 300 ° C. to 600 ° C., about 550 ° C. in this case, and the temperature of the hydrogen ion implanted portion 12 of the single crystal Si substrate 10 is raised to a temperature at which hydrogen is released from Si or higher. As a result, the single crystal Si substrate 10 is cleaved off at the hydrogen ion implanted portion 12.
[0108]
The heat treatment is not particularly limited. For example, by using laser irradiation or lamp annealing including a peak temperature of about 700 ° C. or more, the temperature of the hydrogen ion The temperature may be raised to a temperature higher than the temperature at which hydrogen desorbs from.
[0109]
Then, the damaged layer on the surface of the single crystal Si substrate that has been peeled off and remains on the insulating substrate 1 is lightly etched by about 20 nm by isotropic plasma etching or wet etching, here, isotropic plasma etching using buffered hydrofluoric acid. To remove. Thus, a polycrystalline Si thin film 4 and a single-crystal Si thin film 5 each having a thickness of about 50 nm are obtained on the insulating substrate 1. (FIG. 1 (d)).
[0110]
Note that, in the above bonding step (see FIG. 1C), after bonding the single-crystal Si substrate 10 at room temperature, heat-treating it at 300 to 350 ° C. for about 30 minutes, and then heat-treating it at about 550 ° C. to cleave it. Peeling due to cleavage peeling was reduced.
[0111]
At this point, sufficient bonding strength between the Si and the substrate is already obtained. To further improve the bonding strength, for example, lamp annealing may be performed at about 800 ° C. for 1 minute. This may also serve as activation of the source / drain implanted impurities.
[0112]
Next, an island-like pattern is obtained by removing unnecessary portions of the Si thin films 4 and 5 by etching while leaving a portion to be an active region of the device (FIG. 1E).
[0113]
Then, TEOS and O 2 Using a mixed gas of (oxygen) and a P-CVD method, a SiO 2 Film (SiO for etch back) 2 A film is deposited and etched back by about 400 nm by RIE (reactive ion etching) which is anisotropic etching. Then, SiH 4 And N 2 A 60-nm-thick gate oxide film 6 (SiO 2) is formed by a P-CVD method using a mixed gas with O. 2 (FIG. 1F).
[0114]
At this time, when the space between the formed patterns of the polycrystalline Si thin film 4 and the single-crystal Si thin film 5 is small, the step is filled, and when it is large, the side wall is formed.
[0115]
Thereafter, it may be formed by the same process as the process of forming a well-known p-Si (polycrystalline silicon) type TFT matrix substrate. That is, after forming a gate electrode 21 made of polycrystalline Si, silicide, polycide or the like, + And B + Is ion-implanted to form an interlayer insulating film (SiO 2). 2 A film 22 is deposited, and a contact hole 23 is opened (FIG. 1G). Thereafter, a metal (AlSi) wiring 24 is formed in the contact hole 23 (FIG. 1H).
[0116]
The MOS transistor is formed by etching the single crystal Si thin film 5 and the polycrystalline Si thin film 4 formed on the insulating substrate 1 into an island shape, and the source and drain regions of the N-type MOS transistor and the P-type MOS transistor are formed. About 10 for at least part of Fifteen / Cm 2 Above P + Implant ions. Thereby, after that, heat treatment is performed by an instantaneous thermal annealing (Rapid Thermal Anneal, hereinafter referred to as RTA), a laser, a furnace, or the like, so that not only the polycrystalline Si thin film 4 regions but also the single crystal Si thin film 5 regions are simultaneously formed with metal atoms. By performing gettering, it is possible to obtain a TFT having small characteristic variations and stable characteristics.
[0117]
Subsequently, SiNx (silicon nitride), a resin flattening film, a via hole, and a transparent electrode are sequentially formed for a liquid crystal display. Then, a driver and a TFT for a display section are formed in the polycrystalline Si thin film 4 region, and a timing controller, a microprocessor and the like are formed in the single crystal Si thin film 5 region.
[0118]
By the way, after the above-mentioned single-crystal Si substrate 10 is brought into close contact with and bonded to the insulating substrate 1, when the single-crystal Si substrate 10 is cleaved from the insulating substrate 1 by heat treatment (see FIGS. 1C and 1D), the bonding is performed. Whether the cleavage separation is good depends on the material of the insulating substrate 1.
[0119]
Here, based on FIG. 6, the material of the single crystal Si substrate 10 (Si: Silicon), the material of the insulating substrate 1 (code 1737 (manufactured by Corning Incorporated)), and the code 7059 made of barium-borosilicate glass (Corning Incorporated) ) Standardized linear expansion (ΔL / L) will be described. Note that the normalized linear expansion (hereinafter, referred to as linear expansion) is a change in length (ppm) caused by a temperature change. That is, L is the original length, and ΔL is the extended (changed) length.
[0120]
Thus, code 1737 has a linear expansion coefficient (° C.) up to about 600 ° C. -1 ) Is substantially constant, there is almost no difference in linear expansion between code 1737 and Si, and within a range from room temperature (about 25 ° C. (about 100 ° C. or more in FIG. 6)) to about 600 ° C. The difference in expansion is within about 250 ppm.
[0121]
On the other hand, the coefficient of linear expansion of code 7059 increases rapidly at about 600 ° C., and the difference in linear expansion between code 7059 and Si increases to about 800 ppm at about 600 ° C.
[0122]
For this reason, when code 7059 is used for the insulating substrate 1, the success rate of cleavage and peeling is much lower even if bonding can be performed in the same manner as when code 1737 is used. That is, when cleaved and separated, the single crystal Si substrate 10 may be broken, the bonding interface may be separated, or a defect may occur in the crystal.
[0123]
As described above, as a material of the insulating substrate 1, a difference in linear expansion from a material to be joined, here, the material (Si) of the single crystal Si substrate 10, in a temperature range of about room temperature or more and about 600 ° C. or less. Is about 250 ppm or less. Here, the linear expansion is standardized.
[0124]
Further, the stress acting on the bonding interface of the single-crystal Si thin film 5 will be considered. Here, the Raman shift of the single-crystal Si thin film 5 was measured by a microscopic Raman measuring device (for example, NR-1800U manufactured by JASCO Corporation). In this case, the shift amount of the Raman peak is 520.52 cm -1 (Kaiser), σ = 0.12cm -1 It became. Therefore, it is understood that no stress is acting on the single crystal Si thin film 5.
[0125]
Usually, when a crystal is grown using a laser, the shift amount of the Raman peak is 3 to 5 cm. -1 Degree (10 9 (Corresponding to Pa).
[0126]
On the other hand, the single-crystal Si substrate 10 2 Since it is bonded to the insulating substrate 1 such as a glass substrate at room temperature through the film, the stress acting on the bonded Si interface can be substantially reduced to zero. That is, the shift amount of the Raman peak is set to 520.5 ± 1 (519.5 to 521.5) cm. -1 , The stress acting on the bonded Si interface becomes substantially zero.
[0127]
As a result, compared with a TFT in which a Si film is crystal-grown by using a laser, a decrease in mobility or a variation due to strain of the Si crystal due to unevenness or variation in stress acting on the interface, or a defect at the interface. It is possible to more reliably prevent a threshold shift and variation due to an interface fixed charge and a localized level of the interface, a decrease in characteristic stability, and the like.
[0128]
In the present embodiment, when the implantation energy of hydrogen ions is increased to increase the peak position of hydrogen atoms and increase the thickness of the single-crystal Si thin film 5, there is no significant change from 50 nm to 100 nm, but from 300 nm to 600 nm. When the channel width is increased, the channel portion is not completely depleted, so that the S value (sub-threshold coefficient) of the TFT gradually increases, and the off-state current significantly decreases.
[0129]
Accordingly, the thickness of the single-crystal Si thin film 5 depends on the doping density of impurities in the channel portion, but is required to be approximately 600 nm or less, preferably approximately 500 nm or less, and more preferably 100 nm or less in consideration of a margin for variation. There is.
[0130]
The mobility (carrier mobility) of a TFT formed in a conventional polycrystalline Si region is about 100 cm. 2 / V · sec (N channel), whereas in the liquid crystal display active matrix substrate 20, the TFT formed in the single crystal Si region is about 550 cm. 2 / V · sec (N channel) mobility was obtained.
[0131]
Further, in the active matrix substrate 20 for liquid crystal display, the device formed in the region of the polycrystalline Si thin film 4 as well as the driver requires a signal of 7 to 8 V and a power supply voltage, while the device of the single crystal Si thin film 5 The devices such as the timing controller and the microprocessor formed in the region operated stably at 3.3V.
[0132]
In the liquid crystal display active matrix substrate 20, the transistors are formed in the region of the polycrystalline Si thin film 4 and the region of the single crystal Si thin film 5, so that the transistors of the same conductivity type formed in the respective regions are formed. , At least one of the mobility, the sub-threshold coefficient, and the threshold is different for each region. Therefore, a transistor can be formed in a region appropriate for required characteristics.
[0133]
In the liquid crystal display active matrix substrate 20, the integrated circuit is formed in the region of the polycrystalline Si thin film 4 and the region of the single crystal Si thin film 5, so that the integrated circuit can be adapted to the required configuration and characteristics. Can be formed in suitable regions, and in the integrated circuits formed in the respective regions, naturally, integrated circuits having different performances such as operating speed and operating power supply voltage can be produced. That is, at least one of the gate length, the thickness of the gate oxide film, the power supply voltage, and the logic level can be designed differently for each region.
[0134]
In the liquid crystal display active matrix substrate 20, the integrated circuit is formed in the region of the polycrystalline Si thin film 4 and the region of the single crystal Si thin film 5, so that the integrated circuit formed in each region is Can be applied with different processing rules. This is because, for example, especially in the case of a short channel length, the variation in TFT characteristics hardly increases because there is no crystal grain boundary in the single crystal portion, whereas the variation rapidly increases in the polycrystal portion due to the influence of the crystal grain boundary. This is because it is necessary to change the processing rule in each part in order to increase. Therefore, the integrated circuit can be formed in an appropriate region according to the processing rule.
[0135]
In the present invention, the size of the obtained single-crystal Si region is limited by the wafer size of the LSI manufacturing apparatus, but is limited. However, high-speed logic and timing generators which require the high-speed, power consumption, and variation that require the single-crystal Si region are required. , A high speed DAC (current buffer), etc.
[0136]
Further, the thickness of the single-crystal Si thin film 5 and the thickness of the polycrystalline Si thin film 4 are substantially equal.
[0137]
This makes it possible to simultaneously perform most of the subsequent steps including the island pattern etching, and to form a transistor or a circuit having a small step. Therefore, for example, in the case of a liquid crystal panel, it is advantageous in controlling the cell thickness.
[0138]
By the way, in the active matrix substrate 20, the gate lengths of the TFTs formed in the polycrystalline Si region (on the polycrystalline Si thin film 4) and the single crystal Si region (the single crystal Si thin film 5) are 5 μm, 0.8 μm, When the oxide film thickness was set to 80 nm and 50 nm, and the power supply voltage was operated at 8 V and 3 V, respectively, the operation was stable.
[0139]
On the other hand, when a TFT having a gate length of 0.8 μm was formed in a polycrystalline Si region and operated at 3 V, the characteristics of the TFT fluctuated, and the withstand voltage between the source and the drain was insufficient and the TFT could not be used. There were many.
[0140]
Further, when a TFT having a gate length of 1.5 μm was formed in a polycrystalline Si region and operated at 3 V, the threshold voltage and its variation were large, and there was a practical problem.
[0141]
[Embodiment 2]
The following will describe another embodiment of the present invention with reference to FIG. Note that, in the present embodiment, components having the same functions as the components in the first embodiment are denoted by the same reference numerals, and description thereof will be omitted.
[0142]
FIG. 2 shows an example of a manufacturing process of the active matrix substrate 30 (semiconductor device) according to the present embodiment. As shown in FIG. 2H, the present active matrix substrate 30 is composed of an insulating substrate 1, SiO 2 2 (Silicon oxide) films 32, 11 and 35, polycrystalline Si thin film 37, single crystal Si thin film 34, gate oxide film 38, gate electrode 21, interlayer insulating film 22, and metal wiring 24 are provided. Further, the active matrix substrate 30 includes a thin film transistor (TFT) that is a switching element.
[0143]
On the surface of the insulating substrate 1 similar to that of the first embodiment, SiO 2 SiO consisting of 2 Film (first SiO) 2 A film 32 is formed. SiO 2 The thickness of the film 32 is about 350 nm.
[0144]
SiO 2 On the film 32, SiO 2 Film (insulating film) 35 and SiO 2 A film 11 is formed. SiO 2 The thickness of the film 35 is about 100 nm. SiO 2 The film 11 is made of SiO 2 SiO 2 on the film 32 2 It is formed so as to form an island pattern in a region different from the film 35, and its film thickness is about 200 nm.
[0145]
SiO 2 On the film 35, a polycrystalline Si thin film 37 is further formed so as to have an island pattern. The thickness of the polycrystalline Si thin film 37 is about 50 nm.
[0146]
SiO 2 The film 32 has a concave portion 33 (see FIG. 2A) having a depth of about 150 nm in a region different from the region of the polycrystalline Si thin film 37. The recess 33 has the above SiO 2 The film 11 and further thereon SiO 2 2 A single-crystal Si thin film 34 having the same shape as the film 11 is formed to have an island pattern.
[0147]
The region of the polycrystalline Si thin film 37 and the region of the single crystal Si thin film 34 are separated by at least 0.3 μm, preferably 0.5 μm or more. This can prevent metal atoms such as Ni, Pt, Sn, and Pd from diffusing into the single crystal Si region and stabilize characteristics.
[0148]
SiO 2 A gate oxide film 36 is formed over the entire surface of the film 32, the polycrystalline Si thin film 37, and the single crystal Si thin film 34. The thickness of the gate oxide film 36 is about 60 nm.
[0149]
A gate electrode 21 is formed on the gate oxide film 36 on the upper surface of each island pattern region in the polycrystalline Si thin film 37 and the single crystal Si thin film 34.
[0150]
Similarly to the active matrix substrate 20, an interlayer insulating film 22, a contact hole 23 (see FIG. 2G), and a metal wiring 24 are formed. Further, similarly, the active matrix substrate 20 is formed with SiNx (silicon nitride), a resin flattening film, a via hole, and a transparent electrode for liquid crystal display, and a polycrystalline Si region for a driver and a display unit. A TFT is formed, and a timing controller, a microprocessor, and the like are formed in a single crystal Si region.
[0151]
Hereinafter, a method for manufacturing the active matrix substrate 30 will be described with reference to FIGS.
[0152]
First, TEOS (Tetra Ethoxy Silane, that is, Si (OC) is formed on the entire surface of the insulating substrate 1 made of code 1737 (manufactured by Corning). 2 H 5 ) 4 ) And O 2 (Oxygen) and a P-CVD method using a mixed gas consisting of 2 A film 32 is deposited. And SiO 2 A predetermined region of the film 32 is etched by about 150 nm to form a concave portion 33 (FIG. 2A).
[0153]
On the other hand, a single crystal Si substrate 10 is prepared. The single crystal Si substrate 10 has its surface oxidized in advance or an oxide film (SiO 2 2 Film) to form a SiO 2 film having a thickness of about 200 nm. 2 A film 11 is formed. The single-crystal Si substrate 10 has a size of 5 × 10 16 / Cm 2 And a hydrogen ion implanted portion 12 in which hydrogen ions of a dose of about 3 × 10 Fifteen cm -3 Doped.
[0154]
Then, the single-crystal Si substrate 10 is cut into a shape at least 0.3 μm, preferably 0.5 μm or more smaller than the concave portion 33 by dicing or anisotropic etching using KOH or the like.
[0155]
Subsequently, both the insulating substrate 1 in which the concave portion 33 is formed and the single-crystal Si substrate 10 are cleaned and activated with SC-1 to remove particles and activate the surface, and then the cut single-crystal Si substrate is cut. The surface on the side closer to the hydrogen ion implanted portion 12 is brought into close contact with the concave portion 33 at room temperature and joined (FIG. 2B).
[0156]
Thereafter, heat treatment is performed at a temperature of 300 ° C. to 600 ° C., about 550 ° C. in this case, and the temperature of the hydrogen ion implanted portion 12 of the single crystal Si substrate 10 is raised to a temperature at which hydrogen is released from Si or higher. As a result, the single crystal Si substrate 10 is cleaved off at the hydrogen ion implanted portion 12.
[0157]
Then, the damaged layer on the surface of the single crystal Si substrate that has been peeled off and remains on the insulating substrate 1 is lightly etched by about 10 nm by isotropic plasma etching or wet etching, here, isotropic plasma etching using buffered hydrofluoric acid. To remove. Thus, a single-crystal Si thin film 34 having a thickness of about 50 nm is obtained on the insulating substrate 1. (FIG. 2 (c)).
[0158]
Subsequently, over substantially the entire surface of the insulating substrate 1, then, 4 And N 2 Using a mixed gas of O and a P-CVD method, a SiO 2 A film 35 is deposited, and over substantially the entire surface thereof, SiH 4 An amorphous Si film 36 having a thickness of about 50 nm is deposited by a P-CVD method using a gas (FIG. 2D).
[0159]
Then, the amorphous Si film 36 is irradiated with an excimer laser and heated to crystallize the amorphous Si, and a polycrystalline Si layer is grown, thereby forming a polycrystalline Si thin film 37. By this heating, the bonding strength of the single crystal Si thin film 34 can be improved.
[0160]
Next, unnecessary portions of the polycrystalline Si thin film 37 and SiO 2 2 At least a portion of the film 35 on the single crystal Si thin film 34 is removed by etching. Thereafter, an unnecessary Si film is removed by etching, leaving a portion to be an active region of the device, thereby forming an island-like pattern (FIG. 2E).
[0161]
And TEOS and O 2 Of about 350 nm in film thickness by a P-CVD method using a mixed gas of 2 A film is deposited, and this is etched back by about 400 nm by RIE which is anisotropic etching. Then, SiH 4 And N 2 A gate oxide film 38 having a thickness of about 60 nm is formed by a P-CVD method using a mixed gas with O (FIG. 2F).
[0162]
At this time, when the space between the formed patterns of the polycrystalline Si thin film 34 and the single-crystal Si thin film 37 is small, the step is filled, and when it is large, the side wall is formed.
[0163]
Thereafter, as in the first embodiment, the gate electrode 21 and the interlayer insulating film (SiO 2 After forming a film 22 and opening a contact hole 23 (FIG. 2G), a metal wiring 24 is formed in the contact hole 23 (FIG. 2H).
[0164]
Here, a conventional N-channel TFT formed in a polycrystalline silicon region has a mobility of about 100 cm. 2 / V · sec, whereas in the active matrix substrate 30, the N-channel TFT formed in the single-crystal Si region is about 550 cm 2 / V · sec.
[0165]
In the active matrix substrate 30, the device formed in the region of the polycrystalline Si thin film 37 as well as the driver requires a signal of 7 to 8 V and a power supply voltage, whereas the device formed in the region of the single crystal Si thin film 34 The timing controller, microprocessor, etc. operated stably at 3.3V.
[0166]
[Embodiment 3]
The following will describe another embodiment of the present invention with reference to FIG. In the present embodiment, components having the same functions as those in the second embodiment are denoted by the same reference numerals, and description thereof is omitted.
[0167]
FIG. 3 shows an example of a manufacturing process of the active matrix substrate (semiconductor device) according to the present embodiment. As shown in FIG. 3F, the present active matrix substrate includes an insulating substrate 1, SiO 2 2 (Silicon oxide) films 62, 11 and 35, a polycrystalline Si thin film 67, a single-crystal Si thin film 64, and a gate oxide film 68. The active matrix substrate includes a thin film transistor (TFT), a gate electrode, an interlayer insulating film, and metal wiring (not shown), as in the first and second embodiments.
[0168]
On the surface of the insulating substrate 1 similar to that of the second embodiment, SiO 2 SiO consisting of 2 Film (first SiO) 2 A film 62 is formed. SiO 2 The thickness of the film 62 is about 50 nm.
[0169]
SiO 2 On the film 62, SiO 2 Film (insulating film) 35 and SiO 2 A film 11 is formed. SiO 2 The thickness of the film 35 is about 100 nm. SiO 2 The film 11 is made of SiO 2 On the film 62, 2 It is formed so as to form an island pattern in a region different from the film 35, and its film thickness is about 200 nm.
[0170]
SiO 2 On the film 11, SiO 2 A single-crystal Si thin film 64 having the same shape as the film 11 is formed in an island pattern. The thickness of the single-crystal Si thin film 64 is about 100 nm. In addition, SiO 2 On the film 35, a polycrystalline Si thin film 67 is formed in an island pattern. The thickness of the polycrystalline Si thin film 67 is about 50 nm.
[0171]
SiO 2 A gate oxide film 68 is formed over the entire surface of the film 62, the polycrystalline Si thin film 67, and the single-crystal Si thin film 64. The thickness of the gate oxide film 68 is about 60 nm.
[0172]
Further, a gate electrode (not shown) is formed on the gate oxide film 68 on the upper surface of each island pattern region in the polycrystalline Si thin film 67 and the single crystal Si thin film 64. The gate electrode is similar to the active matrix substrate 30 of the second embodiment, and is made of, for example, polycrystalline Si, silicide, polycide, or the like.
[0173]
Further, similarly to the active matrix substrate 30, an interlayer insulating film (not shown), a contact hole, and a metal wiring (not shown) are formed. Further, similarly, for liquid crystal display, SiNx (silicon nitride), a resin flattening film, a via hole, and a transparent electrode are formed, and a TFT for a driver and a display unit is formed in a polycrystalline Si region. A timing controller, a microprocessor and the like are formed in the crystalline Si region.
[0174]
Hereinafter, a method of manufacturing the active matrix substrate according to the above-described embodiment will be described with reference to FIGS.
[0175]
First, TEOS (Tetra Ethoxy Silane, that is, Si (OC) is formed on the entire surface of the insulating substrate 1 made of code 1737 (manufactured by Corning). 2 H 5 ) 4 ) And O 2 (Oxygen) and a 50-nm-thick SiO 2 film by a P-CVD method. 2 A film 62 is deposited (FIG. 3A).
[0176]
On the other hand, a single-crystal Si substrate 10 that has been cut into an appropriate shape in advance is prepared. The single crystal Si substrate 10 has its surface oxidized in advance or an oxide film (SiO 2 2 Film) to form a SiO 2 film having a thickness of about 200 nm. 2 A film 11 is formed. The single-crystal Si substrate 10 has a size of 5 × 10 16 / Cm 2 And a hydrogen ion implanted portion 12 in which hydrogen ions of a dose of about 3 × 10 Fifteen cm -3 Doped.
[0177]
Then, both the insulating substrate 1 and the single-crystal Si substrate 10 are cleaned and activated with SC-1 for particle removal and surface activation, and then the hydrogen ion implanted portion 12 of the cut single-crystal Si substrate 10 is cut. The surface on the side closer to is bonded to the insulating substrate 1 at room temperature and joined (FIG. 3B).
[0178]
Thereafter, heat treatment is performed at a temperature of 300 ° C. to 600 ° C., about 550 ° C. in this case, and the temperature of the hydrogen ion implanted portion 12 of the single crystal Si substrate 10 is raised to a temperature at which hydrogen is released from Si or higher. As a result, the single crystal Si substrate 10 is cleaved off at the hydrogen ion implanted portion 12.
[0179]
Then, the damaged layer on the surface of the single crystal Si substrate that has been peeled off and remains on the insulating substrate 1 is lightly etched by about 20 nm by isotropic plasma etching or wet etching, here, isotropic plasma etching using buffered hydrofluoric acid. To remove. Thus, a single-crystal Si thin film 64 having a thickness of about 80 nm is obtained on the insulating substrate 1 (FIG. 3C).
[0180]
Next, almost all of the surface of the insulating substrate 1 is covered with SiH 4 And N 2 Using a mixed gas of O and a P-CVD method, a SiO 2 A film 35 is deposited, and over substantially the entire surface thereof, SiH 4 Is used to form an amorphous Si film 66 having a thickness of about 50 nm by the P-CVD method (FIG. 3D).
[0181]
The amorphous Si film 66 is irradiated with an excimer laser and heated to crystallize the amorphous Si and grow a polycrystalline Si layer, thereby forming a polycrystalline Si thin film 67. By this heating, the bonding strength of the single crystal Si thin film 64 can be improved.
[0182]
Next, an island-shaped pattern is formed by removing at least a portion of the polycrystalline Si thin film 67 that becomes an active region of the device and an unnecessary portion including an unnecessary portion on the single crystal Si thin film 64 by etching. It is formed (FIG. 3E).
[0183]
Then, TEOS and O 2 Of about 350 nm in film thickness by a P-CVD method using a mixed gas of 2 After depositing a film and further applying a photoresist of about 350 nm as a resin flattening film over the entire surface, 2 And CF 4 RIE using a mixed gas containing 2 A part of the film 35 is etched back.
[0184]
Then, SiH 4 And N 2 A gate oxide film 68 having a thickness of about 60 nm is formed by a P-CVD method using a mixed gas with O (FIG. 3F).
[0185]
Thereafter, similarly to the first and second embodiments, it may be formed by the same process as that for forming a well-known p-Si (polycrystalline silicon) TFT matrix substrate. That is, a gate electrode made of polycrystalline Si, silicide, polycide, or the like is formed. And P + And B + Is ion-implanted to form an interlayer insulating film (SiO 2). 2 After a film is deposited and a contact hole is opened, a metal wiring is formed in the contact hole.
[0186]
Here, a conventional N-channel TFT formed in a polycrystalline silicon region has a mobility of about 100 cm. 2 / V · sec, whereas in the active matrix substrate according to the present embodiment, the N-channel TFT formed in the single crystal Si region is about 550 cm. 2 / V · sec.
[0187]
In this active matrix substrate, the device formed in the region of the polycrystalline Si thin film 67 as well as the driver requires a signal of 7 to 8 V and a power supply voltage, whereas the device formed in the region of the single crystal Si thin film 64. The devices such as the timing controller and the microprocessor operated stably at 3.3V.
[0188]
[Embodiment 4]
The following will describe another embodiment of the present invention with reference to FIG. Note that, in the present embodiment, components having the same functions as the components in the first embodiment are denoted by the same reference numerals, and description thereof will be omitted.
[0189]
The active matrix substrate according to the present embodiment is obtained by forming a polycrystalline Si thin film 43 instead of the polycrystalline Si thin film 4 in the active matrix substrate 20 according to the above-described first embodiment. This is the same as the substrate 20. Hereinafter, only differences from the active matrix substrate 20 will be described.
[0190]
The polycrystalline Si thin film 43 is composed of polycrystalline Si whose crystal growth is promoted by metal assist, so-called continuous grain boundary silicon (Continuous Grain Silicon).
[0191]
Hereinafter, a method of manufacturing an active matrix substrate using the polycrystalline Si thin film 43 will be described with reference to FIGS. 4 (a) to 4 (e).
[0192]
First, TEOS (Tetra Ethoxy Silane, that is, Si (OC) is formed on the entire surface of the insulating substrate 1 made of the code 1737 (manufactured by Corning). 2 H 5 ) 4 And O 2 (Oxygen) and a P-CVD method to form a SiO 2 film having a thickness of about 100 nm. 2 The film 2 is deposited.
[0193]
Subsequently, the SiO 2 SiH on the film 2 4 An amorphous Si film 3 having a thickness of about 50 nm is deposited by a P-CVD method using a gas. After that, SiH is formed on substantially the entire surface of the insulating substrate 1. 4 And N 2 Approximately 200 nm of SiO by P-CVD using O mixed gas 2 Film 41 (second SiO 2 Film). (FIG. 4 (a)).
[0194]
And the upper layer SiO 2 After an opening is formed in a predetermined region of the film 41 by etching, the surface of the amorphous Si film 3 is thinly oxidized in order to control the hydrophilicity of the surface of the amorphous Si film 3 in the opening. Then, an oxide film 42 is formed thereon, and an aqueous solution of Ni acetate is spin-coated thereon (FIG. 4B).
[0195]
Next, solid-phase growth is performed at a temperature of 600 ° C. for about 12 hours to grow polycrystalline Si in which crystal growth is promoted by metal assist, that is, so-called continuous grain boundary silicon (Continuous Grain Silicon). Forms a polycrystalline Si thin film 43 of about 50 nm. Further, SiO on the polycrystalline Si thin film 43 2 The film 41 and the oxide film 42 are removed. Thereafter, a predetermined region of the polycrystalline Si thin film 43 is removed by etching (FIG. 4C).
[0196]
On the other hand, a single crystal Si substrate 10 is prepared. The single crystal Si substrate 10 has its surface oxidized in advance or an oxide film (SiO 2 2 Film) to form a SiO 2 film having a thickness of about 200 nm. 2 A film 11 is formed. In addition, the single-crystal Si substrate 10 16 / Cm 2 Above, here 5 × 10 16 / Cm 2 Of hydrogen ions at a predetermined energy (here, about 24 keV). Fifteen cm -3 Doped.
[0197]
Then, the polycrystalline Si thin film 43 is cut into a shape at least 0.3 μm, preferably 0.5 μm or more smaller than the shape of the predetermined region, which is removed by etching, by dicing or anisotropic etching using KOH or the like. The substrate 10 is cut. This prevents metal atoms such as Ni, Pt, Sn, and Pd used in the subsequent manufacturing process of the polycrystalline Si thin film 43 from diffusing into the single-crystal Si region, and stabilizes characteristics. Can be.
[0198]
Subsequently, both the substrate on which the polycrystalline Si thin film 43 is formed and the single-crystal Si substrate 10 are washed and activated with SC-1 for particle removal and surface activation, and then the cut single-crystal Si substrate is cut. The surface of the substrate 10 on the side close to the hydrogen ion implanted portion 12 is brought into close contact with the region removed by etching (refer to FIG. 4C) at room temperature (FIG. 4D).
[0199]
Thereafter, by using laser irradiation or lamp annealing including a peak temperature of about 700 ° C. or more, the temperature of the hydrogen ion implanted portion 12 of the single crystal Si substrate 10 is increased to a temperature at which hydrogen is released from Si or more. As a result, the single-crystal Si substrate 10 is cleaved off from the insulating substrate 1 at the hydrogen ion implanted portion 12.
[0200]
Subsequently, the damaged layer on the surface of the single-crystal Si substrate that has been peeled off and remains on the insulating substrate 1 is lightly etched by about 10 nm by isotropic plasma etching or wet etching, here, isotropic plasma etching using buffered hydrofluoric acid. To remove. Thus, a single-crystal Si thin film 5 having a thickness of about 50 nm is obtained on the insulating substrate 1 (FIG. 4E).
[0201]
Next, the SiO 2 near the active region of the device 2 An opening is formed in the film and SiO 2 A high concentration of P is used for gettering Ni added to promote crystal growth using the film as a mask. + Implant ions (15 keV, 5 × 10 Fifteen / Cm 2 ), Heat treatment at RTA at a temperature of about 800 ° C. for 1 minute. Although a physical space is provided between the single-crystal Si thin film 5 and the polycrystalline Si thin film 43 so that Ni atoms do not diffuse into the single-crystal Si thin film 5, a very small amount of Ni atoms is removed from the process. The gettering is desirably performed also on the active region of single crystal Si, which may be mixed in the inside. If space is prioritized, gettering may be omitted as a design option.
[0202]
Next, an unnecessary portion of the polycrystalline Si thin film 43 and an unnecessary portion of the single crystal Si thin film 5 are removed by etching, leaving a portion to be an active region of the device, thereby obtaining an island-like pattern (FIG. 1E). Correspondence).
[0203]
Subsequent steps (corresponding to FIGS. 1 (f) to 1 (h)) are the same as in the first embodiment, and will not be described.
[0204]
Here, a conventional N-channel TFT formed in a continuous grain boundary Si region has a mobility of about 200 cm. 2 / V · sec, whereas in the active matrix substrate according to the present embodiment, the N-channel TFT formed in the single crystal Si region is about 550 cm. 2 / V · sec.
[0205]
In this active matrix substrate, the device formed in the region of the polycrystalline Si thin film 43 as well as the driver requires a signal and a power supply voltage of 7 to 8 V, while the device formed in the region of the single crystal Si thin film 5 The timing controller, microprocessor, etc. operated stably at 3.3V.
[0206]
In the step shown in FIG. 4B, spin coating is performed using an aqueous solution of Ni acetate. However, the present invention is not limited to this. For example, ethanol may be used.
[0207]
[Embodiment 5]
The following will describe another embodiment of the present invention with reference to FIG. Note that, in the present embodiment, components having the same functions as the components in the first embodiment are denoted by the same reference numerals, and description thereof will be omitted.
[0208]
The active matrix substrate 50 according to the present embodiment is the same as the active matrix substrate 20 according to the first embodiment described above. 2 An insulating film 52 and an amorphous Si film 53 are formed instead of the film 2 and the amorphous Si film 3, and the other structure is the same as that of the active matrix substrate 20. Hereinafter, only differences from the active matrix substrate 20 will be described.
[0209]
As shown in FIG. 5H, the active matrix substrate 50 has a concave portion 51 with a depth of about 150 nm on the insulating substrate 1 and has a SiO 2 An insulating film 52 made of a film, a Si nitride film, or the like is formed.
[0210]
SiO 2 On the film 2, a polycrystalline Si thin film 54 and SiO 2 A film 11 is formed. Like the polycrystalline Si thin film 4, the polycrystalline Si thin film 54 is formed so as to have an island pattern, and has a thickness of about 50 nm. SiO 2 The film 11 is made of SiO 2 An island pattern is formed on the film 52 in a region different from the polycrystalline Si thin film 54, and its thickness is about 200 nm. SiO 2 On the film 11, a single-crystal Si thin film 5 having the same shape is further formed so as to form an island pattern. The thickness of the single-crystal Si thin film 5 is about 50 nm.
[0211]
In the present embodiment, SiO 2 2 The thickness of the film 11 is 400 nm.
[0212]
Hereinafter, a method for manufacturing the active matrix substrate 50 will be described with reference to FIGS.
[0213]
First, SiH is coated on the entire surface of the insulating substrate 1 made of the code 1737 (manufactured by Corning). 4 And N 2 An insulating film 52 having a thickness of about 350 nm is deposited by a P-CVD method using a mixed gas with O. Subsequently, the entire surface is covered with SiH 4 An amorphous Si film 53 having a thickness of about 50 nm is deposited by a P-CVD method using a gas (FIG. 5A).
[0214]
Then, the amorphous Si film 53 is irradiated with an excimer laser to be heated and crystallized to grow a polycrystalline Si layer, thereby forming a polycrystalline Si thin film 54.
[0215]
The concave portion 51 having a depth of about 200 nm is formed by removing the polycrystalline Si thin film 54 and a part of the insulating film 52 in a predetermined region by etching about 150 nm (FIG. 5B).
[0216]
On the other hand, a single crystal Si substrate 10 is prepared. The single crystal Si substrate 10 has its surface oxidized in advance or an oxide film (SiO 2 2 Film) to form a SiO 2 film having a thickness of about 400 nm. 2 A film 11 is formed. In addition, the single-crystal Si substrate 10 16 / Cm 2 Above, here 5 × 10 16 / Cm 2 Of hydrogen ions at a predetermined energy (here, about 24 keV).
[0217]
Then, the single crystal Si substrate 10 is cut by dicing, anisotropic etching or the like into a shape smaller than the shape of the predetermined region where the polycrystalline Si thin film 54 is removed by etching by 0.5 μm or more.
[0218]
Subsequently, both the substrate on which the polycrystalline Si thin film 54 is formed and the single-crystal Si substrate 10 are cleaned and activated with SC-1 for particle removal and surface activation, and then the cut single-crystal Si substrate is cut. The surface of the substrate 10 on the side close to the hydrogen ion implanted portion 12 is brought into close contact with the concave portion 51 at room temperature and joined (FIG. 5C).
[0219]
Thereafter, heat treatment is performed at a temperature of 300 ° C. to 600 ° C., about 550 ° C. in this case, and the temperature of the hydrogen ion implanted portion 12 of the single crystal Si substrate 10 is raised to a temperature at which hydrogen is released from Si or higher. As a result, the single crystal Si substrate 10 is cleaved off at the hydrogen ion implanted portion 12.
[0220]
Then, the damaged layer on the surface of the single crystal Si substrate that has been peeled off and remains on the insulating substrate 1 is lightly etched by about 10 nm by isotropic plasma etching or wet etching, here, isotropic plasma etching using buffered hydrofluoric acid. To remove. Thus, a polycrystalline Si thin film 54 and a single-crystal Si thin film 5 each having a thickness of about 50 nm are obtained on the insulating substrate 1. (FIG. 5 (d)).
[0221]
Thereafter, lamp annealing is performed at about 800 ° C. for 1 minute.
[0222]
Next, an island-like pattern is obtained by removing unnecessary portions of the Si thin film 54.5 by etching while leaving a portion to be an active region of the device (FIG. 5E).
[0223]
Then, TEOS and O 2 Using a mixed gas of (oxygen) and a P-CVD method, a SiO 2 A film is deposited, and this is etched back by about 400 nm by RIE (reactive ion etching) which is anisotropic etching. Then, SiH 4 And N 2 A 60-nm-thick gate oxide film 6 (SiO 2) is formed by a P-CVD method using a mixed gas with O. 2 (FIG. 5F).
[0224]
Subsequent steps (FIGS. 5 (g) and 5 (h) (corresponding to FIGS. 1 (g) and (h)) are the same as in the first embodiment, and will not be described.
[0225]
A conventional N-channel TFT formed in a polycrystalline silicon region has a mobility of about 100 cm. 2 / V · sec, on the other hand, in the liquid crystal display active matrix substrate 60, the N-channel TFT formed in the single crystal Si region is about 550 cm. 2 / V · sec.
[0226]
In this active matrix substrate 50, the device formed in the region of the polycrystalline Si thin film 54 as well as the driver requires a signal of 7 to 8 V and a power supply voltage, whereas the device formed in the region of the single crystal Si thin film 5 The devices such as the timing controller and the microprocessor operated stably at 3.3V.
[0227]
In the active matrix substrate 50, about 400 nm of SiO 2 The single-crystal Si substrate 10 on which the film 11 was formed was used. 2 Compared to 0.3 V (± σ) in the first embodiment using the single-crystal Si substrate 10 on which the film 11 is formed, the voltage is about 1/2, that is, 0.15 V (± σ). Operational stability has been improved.
[0228]
This is because the influence of fixed charges caused by contamination of the interface between the bonded single crystal Si substrate and the insulating substrate or distortion or imperfection of the lattice is reduced. About 400 nm of SiO 2 As the thickness of the film 11 increases, the variation in the threshold value decreases. 2 An appropriate value is approximately 200 nm to 400 nm due to the trade-off between the efficiency (time required for oxidation) of the formation process of the film 11 and the step. An appropriate value is approximately 400 nm when emphasizing variation, and approximately 200 nm when emphasizing steps and efficiency.
[0229]
Of course, if the step does not matter, it is needless to say that 400 nm or more is preferable.
[0230]
After forming the concave portion 51, TEOS and O 2 Tens nm of SiO 2 by PECVD using a gas 2 After depositing the film so as to cover the entire insulating substrate 1, the single crystal Si substrate 10 and the insulating substrate 1 may be joined. Thereby, the joining property is improved, and the joining can be more reliably performed with a high yield.
[0231]
Here, as the single crystal Si substrate 10 described above, 5 × 10 16 / Cm 2 A hydrogen ion implanted at a predetermined energy with a predetermined dose was used. 16 / Cm 2 A description will be given of a case where a single crystal Si substrate into which hydrogen ions of a given dose are implanted at a predetermined energy is used.
[0232]
Single crystal Si substrate 10 (dose amount of hydrogen ions: 5 × 10 16 / Cm 2 In the case of (1), heat treatment was performed at a temperature of about 550 ° C. in order to obtain a single-crystal Si thin film 5, but the single-crystal Si substrate (dose amount of hydrogen ions: 3 × 10 16 / Cm 2 In the case of (1), heat treatment is performed by irradiating an excimer laser pulse with an energy of about 60 to 80% when forming the polycrystalline Si layer and irradiating the entire surface in the same manner as when growing the polycrystalline Si layer.
[0233]
In this case, the mobility of the conventional N-channel TFT formed in the polysilicon region is about 100 cm. 2 / V · sec, whereas the N-channel TFT formed in the single crystal Si region is about 600 cm 2 / V · sec.
[0234]
In the active matrix substrate 50 using the single crystal Si substrate 10 (see FIG. 5 (h)), the TFT formed in the conventional single crystal silicon region has a mobility of about 550 cm. 2 / V · sec.
[0235]
This difference is due to the fact that the single crystal Si substrate (dose amount of hydrogen ions: 3 × 10 16 / Cm 2 This is because the amount of hydrogen ion implantation of the single crystal Si thin film obtained by using the method (1) is reduced, so that damage to single crystal Si due to hydrogen ion implantation can be reduced and TFT characteristics are improved.
[0236]
In addition, a single-crystal Si substrate (dose amount of hydrogen ions: 3 × 10 16 / Cm 2 )), The device formed in the region of the polycrystalline Si thin film requires a signal and a power supply voltage of 7 to 8 V, while the device formed in the region of the single crystal Si thin film, in addition to the driver. The timing controller, microprocessor and the like operated stably at 3.3V.
[0237]
It should be noted that the present invention is not limited to the embodiments described above, and various changes can be made within the scope shown in the claims, and the technical means disclosed in the different embodiments are appropriately combined. Embodiments obtained are also included in the technical scope of the present invention.
[0238]
The embodiments of the present invention are not limited to the present contents. For example, a polycrystalline Si formation method, or a material and a film thickness of an interlayer insulating film may be obtained by means that other engineers in the same field can know. Needless to say, this can also be realized. Also, it goes without saying that similar effects can be obtained with different materials as long as they are generally used for the same purpose.
[0239]
Further, in the first, second, fourth and fifth embodiments, the SiO 2 on the surface joined to the single-crystal Si thin film formed on insulating substrate 1 2 The film may be deposited after forming a concave portion by etching a part of the polycrystalline Si thin film or the insulating substrate 1.
[0240]
【The invention's effect】
A semiconductor device according to the present invention is a semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed in different regions on an insulating substrate, wherein a standardized line between the insulating substrate and the single-crystal Si thin film is formed. The difference in expansion is approximately 250 ppm or less in a temperature range of approximately room temperature to 600 ° C.
[0241]
Thus, devices requiring higher performance, such as a timing controller and a microprocessor, can be formed in the single crystal Si thin film formation region, and the remaining devices can be formed in the polycrystalline Si thin film formation region. .
[0242]
In addition, the shape of the single crystal Si substrate is limited to a disk of 6, 8, or 12 inches, which is the wafer size of an LSI manufacturing apparatus. However, since a polycrystalline Si thin film is also formed on the substrate, It is also possible to manufacture liquid crystal display panels and organic EL panels.
[0243]
Further, it is not necessary to use crystallized glass whose composition has been adjusted in order to prevent destruction in the step of improving the heat bonding strength due to the difference in thermal expansion coefficient from the quartz substrate. Thus, the problem of alkali metal contamination caused by crystallized glass is eliminated, and destruction in the step of improving the heat bonding strength due to a difference in thermal expansion coefficient can be prevented.
[0244]
Further, the difference in the linear expansion coefficient between the insulating substrate and the single-crystal Si thin film becomes small. Therefore, in the process for forming a single-crystal Si thin film on an insulating substrate, it is necessary to surely prevent destruction, bonding interface separation, or generation of defects in the crystal in the cleavage separation process from the hydrogen implantation position due to the difference in thermal expansion coefficient. In addition, there is an effect that the heat bonding strength can be improved.
[0245]
The semiconductor device of the present invention is a semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed in different regions on an insulating substrate, and the shift amount of the Raman peak in the single-crystal Si thin film is 519. 5cm -1 Above and 521.5cm -1 The configuration is as follows.
[0246]
Thus, devices requiring higher performance, such as a timing controller and a microprocessor, can be formed in the single crystal Si thin film formation region, and the remaining devices can be formed in the polycrystalline Si thin film formation region. .
[0247]
Further, the stress acting on the Si interface in the single-crystal Si thin film can be made substantially zero. Therefore, when a TFT is formed, a decrease or variation in mobility due to strain of the Si crystal due to unevenness or variation in stress acting on the Si interface, or a defect at the interface, an associated fixed charge, and a localized level at the interface. And the like, the threshold shift, the variation, the deterioration of the characteristic stability, and the like can be reliably prevented.
[0248]
In the semiconductor device of the present invention, the insulating substrate may be formed by forming SiO2 on at least the surface of the region where single-crystal Si exists. 2 This is a structure composed of a high strain point glass composed of an alkaline earth-aluminoborosilicate glass having a layer formed thereon.
[0249]
This eliminates the need to use crystallized glass of which composition has been adjusted, so that the insulating substrate is made of a high strain point glass generally used for a liquid crystal display panel or the like driven by active matrix, so that the semiconductor device can be manufactured at low cost. Is produced.
[0250]
In the semiconductor device of the present invention, the insulating substrate is made of barium-aluminoborosilicate glass, alkaline earth-aluminoborosilicate glass, borosilicate glass, alkaline earth-zinc-lead-aluminoborosilicate glass, alkaline earth-zinc- It is a configuration made of any one of aluminoborosilicate glass.
[0251]
As a result, the insulating substrate is made of the above-mentioned glass which is a high strain point glass generally used for a liquid crystal display panel or the like driven by active matrix, so that a semiconductor device suitable for the active matrix substrate can be manufactured at low cost. This has the effect.
[0252]
The semiconductor device of the present invention is configured to be an active matrix substrate including an integrated circuit including a plurality of MOSFETs, bipolar transistors, or SITs on an insulating substrate.
[0253]
This produces an effect that an active matrix substrate having the above characteristics can be obtained.
[0254]
The semiconductor device of the present invention has a configuration in which a region of a single-crystal Si thin film and a region of a polycrystalline Si thin film formed on an insulating substrate are separated by 0.3 μm or more, and further, 0.5 μm or more.
[0255]
This prevents the diffusion of, for example, Ni, Pt, Sn, Pd, etc. from the polycrystalline Si into the single-crystal Si, and has the effect of stabilizing the characteristics of the semiconductor device.
[0256]
The semiconductor device of the present invention has a configuration in which at least one of the mobility, the sub-threshold coefficient, and the threshold value is different for each of the regions in transistors of the same conductivity type formed in different regions.
[0257]
Thus, in the one-conductivity-type transistors formed in different regions, at least one of the mobility, the sub-threshold coefficient, and the threshold is different, so that the transistor can be formed in an appropriate region in accordance with required characteristics. It has the effect of being able to.
[0258]
The semiconductor device of the present invention has a configuration in which at least one of a gate length, a thickness of a gate oxide film, a power supply voltage, and a logic level differs in each of the integrated circuits formed in different regions.
[0259]
Thus, at least one of the gate length, the thickness of the gate oxide film, the power supply voltage, and the logic level is different among the integrated circuits formed in different regions, so that the integrated circuit is suitable for the required configuration and characteristics. This has the effect of being able to be formed in a region that is not flat.
[0260]
The semiconductor device of the present invention has a configuration in which the processing rules are different for each of the integrated circuits formed in different regions.
[0261]
Thus, since the integrated circuits formed in different regions have different processing rules, an effect is obtained that the integrated circuit can be formed in an appropriate region in accordance with the processing rules.
[0262]
In the semiconductor device of the present invention, the thickness d of the single-crystal Si thin film is a small value including a variation margin with respect to the maximum depletion length Wm determined by the impurity Ni, that is, the impurity density is the practical lower limit. Fifteen cm -3 In this case, the upper limit of the film thickness d is about 600 nm or less.
[0263]
As a result, the S value (sub-threshold coefficient) of the semiconductor device is reduced and the off-state current is reduced.
[0264]
The semiconductor device of the present invention has a configuration in which the thickness of the single-crystal Si thin film is 100 nm or less.
[0265]
Thus, the S value of the semiconductor device is further reduced, and the off-current is further reduced.
[0266]
The method for manufacturing a semiconductor device according to the present invention comprises the steps of: 2 A step of sequentially depositing a film and an amorphous Si film; a step of heating the amorphous Si film to grow a polycrystalline Si layer to form a polycrystalline Si thin film; Etching and removing the surface, and oxidizing the surface or depositing an oxide film in advance 2 A single crystal Si substrate having a hydrogen ion implanted portion formed with a film and implanted with a predetermined concentration of hydrogen ions at a predetermined depth has a predetermined shape covering a part or substantially the entire region of the region removed by etching. A step of cutting, a step of cleaning the insulating substrate and the single-crystal Si substrate to activate the surfaces of the two substrates, and a step of etching the cut single-crystal Si substrate into a region where the surface on the side to which hydrogen ions have been implanted is removed by etching. The structure includes a step of bonding both substrates by bringing them into close contact at room temperature, and a step of forming a single-crystal Si thin film on an insulating substrate by performing heat treatment to cleave and separate at a hydrogen ion implanted portion.
[0267]
Thereby, the bonding strength can be increased, and a single-crystal Si thin film can be obtained by separating the single-crystal Si substrate at the hydrogen ion implanted portion. Therefore, localized levels in the gap, defects near the crystal grain boundaries, and localization in the gap due to crystal imperfections peculiar to polycrystalline Si, which are obstacles to forming a high-performance device Problems such as a decrease in mobility and an increase in S coefficient due to the presence of a level can be solved by single crystal Si. Therefore, a single-crystal Si thin film and a polycrystalline Si thin film can be formed on an insulating substrate, and the subsequent steps are formed by a common processing process. The device can be formed of polycrystalline Si. Accordingly, a semiconductor device such as a display device such as a liquid crystal panel or an organic EL panel in which a high-performance system is integrated can be manufactured at low cost.
[0268]
In addition, SiO 2 Since a single-crystal Si substrate is bonded to an insulating substrate such as a glass substrate through the formation of a film in advance, a decrease in mobility due to strain of the Si crystal due to stress applied to the bonded Si interface, or defects at the interface, This can prevent the fixed charges at the interface, the threshold shift due to the localized level of the interface, the deterioration of the characteristic stability, and the like. This eliminates the need to use crystallized glass whose composition has been adjusted in order to improve the heat bonding strength due to the difference in thermal expansion coefficient from the quartz substrate and prevent destruction in the peeling step, and it is possible to use glass with a high strain point. Therefore, the problem of contamination by alkali metal due to crystallized glass is eliminated, and it is possible to improve the heat bonding strength due to a difference in thermal expansion coefficient and prevent breakage in the peeling step.
[0269]
Further, for example, a polycrystalline Si film is formed on a large-area high-strain-point glass substrate, and the polycrystalline Si thin film is etched and removed in advance so as to cover a region where a single-crystal Si substrate processed to an appropriate size is to be bonded. Then, a single crystal Si substrate is bonded to this region, and the single crystal Si thin film and SiO 2 By leaving the film and exfoliating and removing the other single crystal Si, it is possible to eliminate the bias of the stress over the entire glass substrate. As a result, it is possible to obtain a substrate in which a partial region of the substrate is formed of a single-crystal Si thin film and the remaining region is formed of a polycrystalline Si thin film without peeling, cracking, or destruction of Si.
[0270]
Further, the shape of the single-crystal Si substrate is limited to a disk of 6, 8, or 12 inches, which is the wafer size of the LSI manufacturing apparatus. However, since a polycrystalline Si thin film is also formed on the insulating substrate, for example, Semiconductor devices such as large liquid crystal display panels and organic EL panels can be manufactured.
[0271]
The single-crystal Si substrate is made of SiO 2 Since the substrate is bonded to the insulating substrate 1 at room temperature through the film, the stress acting on the bonded Si interface can be made substantially zero. Therefore, the mobility is reduced or varied due to the strain of the Si crystal due to the unevenness or variation of the stress applied to the interface, or the threshold shift or the variation due to the interface defect, the interface fixed charge, the localized level of the interface, and the characteristic. This has the effect that the stability can be prevented more reliably.
[0272]
The method for manufacturing a semiconductor device according to the present invention comprises the steps of: 2 A step of sequentially depositing a film and an amorphous Si film; a step of heating the amorphous Si film to grow a polycrystalline Si layer to form a polycrystalline Si thin film; and a step of forming the polycrystalline Si thin film in a predetermined region. Is removed by etching and the SiO 2 in the same region is removed. 2 A step of etching and removing a part of the film in the thickness direction; 2 A single-crystal Si substrate having a hydrogen ion implanted portion formed with a film and implanted with a predetermined concentration of hydrogen ions at a predetermined depth is cut into a predetermined shape covering a part or substantially the entire region of the etched region. A step of cleaning the insulating substrate and the single-crystal Si substrate and activating the surfaces of the two substrates; and placing the cut single-crystal Si substrate in a region where the hydrogen ion-implanted surface has been etched away. The structure includes a step of bonding both substrates by bringing them into close contact at room temperature, and a step of forming a single-crystal Si thin film on an insulating substrate by performing heat treatment to cleave and separate at a hydrogen ion implanted portion.
[0273]
Thus, in addition to the advantages of the above-described manufacturing method, the polycrystalline Si layer in a predetermined region is further etched away, and the SiO 2 in the same region is removed. 2 Since a part of the film in the thickness direction is removed by etching, the SiO 2 on the attachment surface side of the single crystal Si substrate is removed. 2 The influence of the thickness of the film is cancelled, and a substrate can be obtained in which the regions of the single-crystal Si thin film and the polycrystalline Si thin film on the insulating substrate have substantially the same height. As a result, most of the subsequent steps including the island etching can be simultaneously performed. Thus, a transistor or a circuit having a small step is formed. Therefore, for example, in the case of a liquid crystal panel, there is an effect that the cell thickness control is superior.
[0274]
The method for manufacturing a semiconductor device according to the present invention comprises the steps of: 2 A step of depositing a film and oxidizing the surface in advance or depositing an oxide film on the 2 Forming a film and cutting a single-crystal Si substrate having a hydrogen ion implanted portion into which a predetermined concentration of hydrogen ions are implanted at a predetermined depth into a predetermined shape; Cleaning and activating the surfaces of the two substrates; and cutting the cut single-crystal Si substrate with the surface on the side on which hydrogen ions have been implanted as an insulating substrate. 2 A step of bonding at a room temperature to a predetermined position on the film side surface and bonding; a step of heat treatment to cleave and separate at a hydrogen ion implanted portion to form a single crystal Si thin film on an insulating substrate; An insulating film (SiO 2 And a step of sequentially depositing a film and an amorphous Si film, and a step of heating the amorphous Si film to grow a polycrystalline Si layer to form a polycrystalline Si thin film.
[0275]
According to the above method, there is an effect that the same advantages as those of each of the above manufacturing methods can be obtained.
[0276]
The method for manufacturing a semiconductor device according to the present invention comprises the steps of: 2 A step of depositing a film, and a step of depositing SiO in a predetermined region. 2 A step of etching and removing a part of the film in the thickness direction; 2 A single-crystal Si substrate having a hydrogen ion implanted portion formed with a film and implanted with a predetermined concentration of hydrogen ions at a predetermined depth is cut into a predetermined shape covering a part or substantially the entire region of the etched region. A step of cleaning the insulating substrate and the single-crystal Si substrate to activate the surfaces of the two substrates; and a step of adding a hydrogen ion-implanted surface of the cut single-crystal Si substrate to a region, which has been etched and removed, at room temperature. A step of forming a single-crystal Si thin film by cleaving off at a hydrogen ion implanted portion by heat treatment, and a step of forming an insulating film (SiO 2 And a step of sequentially depositing a film and an amorphous Si film, and a step of heating the amorphous Si film to grow a polycrystalline Si layer to form a polycrystalline Si thin film.
[0277]
Thereby, there is an effect that the same advantages as those of the respective manufacturing methods can be obtained.
[0278]
According to the method of manufacturing a semiconductor device of the present invention, the first SiO 2 Film, amorphous Si film, and second SiO 2 A step of sequentially depositing a film; 2 A step of exposing a part of the amorphous Si film by etching and removing a predetermined region of the film; and forming an oxide film by oxidizing the exposed amorphous Si film, and forming Ni acetate on the oxide film. A step of spin-coating an aqueous solution, a step of heating the amorphous Si film, growing a polycrystalline Si layer whose crystal growth is promoted by metal assist, and forming a polycrystalline Si thin film, 2 Removing the film and the oxide film, etching and removing a predetermined region of the polycrystalline Si layer, and oxidizing or depositing an oxide film on the surface in advance to form a SiO 2 film on the surface. 2 A single-crystal Si substrate having a hydrogen ion implanted portion formed with a film and implanted with a predetermined concentration of hydrogen ions at a predetermined depth is cut into a predetermined shape covering a part or substantially the entire region of the etched region. A step of cleaning the insulating substrate and the single-crystal Si substrate and activating the surfaces of the two substrates; and placing the cut single-crystal Si substrate in a region where the hydrogen ion-implanted surface has been etched away. The structure includes a step of bonding both substrates by bringing them into close contact at room temperature, and a step of forming a single-crystal Si thin film on an insulating substrate by performing heat treatment to cleave and separate at a hydrogen ion implanted portion.
[0279]
Thereby, there is an effect that the same advantages as those of the respective manufacturing methods can be obtained.
[0280]
The method for manufacturing a semiconductor device according to the present invention is configured such that heat treatment is performed in one or multiple temperature steps of 300 ° C. or more and 650 ° C. or less.
[0281]
Thereby, there is an effect that the heat treatment can be performed in one temperature step, that is, in one process.
[0282]
The method of manufacturing a semiconductor device according to the present invention has a configuration in which at least one of Ni, Pt, Sn, and Pd is added to an amorphous Si film when growing a polycrystalline Si layer.
[0283]
Thereby, at the time of growing the polycrystalline Si layer, at least one of Ni, Pt, Sn, and Pd is added to the amorphous Si film, and thereafter, the crystal growth of the polycrystalline Si layer is promoted by heating. can do. Therefore, the mobility of the polycrystalline Si layer can be increased, which is advantageous in forming a driving circuit.
[0284]
In the method for manufacturing a semiconductor device according to the present invention, the temperature of the hydrogen ion implanted portion of the single crystal Si substrate is increased by laser irradiation to a temperature equal to or higher than the temperature at which hydrogen is released from Si. This is a configuration for performing a step of cleaving off at the boundary.
[0285]
Thereby, the temperature of the hydrogen ion implanted portion of the single crystal Si substrate is increased by the laser irradiation, so that the temperature can be increased only in a narrow area, and the effect of suppressing damage to the single crystal Si can be reduced. Play.
[0286]
The semiconductor device of the present invention has a configuration in which a single crystal Si substrate is separated from a hydrogen ion implanted portion by performing lamp annealing including a peak temperature of approximately 700 ° C. or higher.
[0287]
As a result, the junction strength is further improved, and the characteristics of the transistor can be improved by recovering the damage due to the hydrogen ion implantation in the peeling interface and the inside of the single-crystal Si thin film.
[0288]
According to the method of manufacturing a semiconductor device of the present invention, after a polycrystalline Si thin film and a single crystal Si thin film are formed on an insulating substrate, a damaged layer on the surface of the single crystal Si thin film is removed by isotropic plasma etching or wet etching. The polycrystalline Si thin film and the single-crystal Si thin film are patterned into islands by etching; 2 After depositing the film, anisotropic etching is performed to etch back SiO 2 Etching back all or part of the film while leaving a part of the film; 2 Forming a gate insulating film by depositing a film.
[0289]
As a result, since a general polysilicon TFT forming step is performed, there is an effect that a TFT having the above characteristics can be manufactured using a conventional step.
[0290]
In the method for manufacturing a semiconductor device according to the present invention, the polycrystalline Si thin film and the single-crystal Si thin film are formed on an insulating substrate, and then a damaged layer on the surface of the single-crystal Si thin film isotropically etched or wet-etched. Etching the polycrystalline Si thin film and the single crystal Si thin film into an island pattern by etching; and etching the entire surface of the polycrystalline Si thin film and the single crystal Si thin film with SiO for etching back. 2 After depositing the film, a step of further applying a resin flattening film on the entire surface, and anisotropic etching to completely cover the resin flattening film and the SiO for etching back 2 Etching back a part of the film; 2 Forming a gate insulating film by depositing a film.
[0291]
Thereby, an oxide film (SiO 2) is formed in a valley between the patterns of the polycrystalline Si thin film and the single crystal Si thin film. 2 As a result, the film remains), and an effect is obtained that the entire substrate can be flattened.
[0292]
In the method of manufacturing a semiconductor device according to the present invention, the single crystal Si thin film and the polycrystalline Si thin film formed on an insulating substrate are patterned into an island shape by etching to form a MOS transistor. At least some of the source and drain regions of the transistor Fifteen / Cm 2 5 × 10 or more Fifteen / Cm 2 The following P + And a step of implanting ions.
[0293]
This gives P + After ion implantation, heat treatment is performed by RTA, laser, furnace, etc., and metal atoms are simultaneously gettered not only in the polycrystalline Si thin film region but also in the single crystal Si thin film region, so that the characteristic variation is further reduced and the characteristics are stabilized. There is an effect that a TFT can be obtained.
[0294]
The method of manufacturing a semiconductor device according to the present invention has a configuration in which the thickness of the single-crystal Si thin film is substantially equal to the thickness of the polycrystalline Si thin film.
[0295]
Thus, most of the subsequent steps including the etching for forming the island pattern can be simultaneously performed, and a transistor or a circuit having a small step can be formed. Therefore, for example, in the case of a liquid crystal panel, there is an effect that the cell thickness control is superior.
[0296]
The method for manufacturing a semiconductor device according to the present invention is a method for manufacturing a semiconductor device, comprising: 2 The film has a thickness of 200 nm or more, and more preferably 300 nm or more.
[0297]
As a result, variation in the threshold value and SiO 2 2 There is an effect that a semiconductor substrate suitable for balance with the efficiency of the film forming process and the step can be obtained.
[0298]
The method for manufacturing a semiconductor device according to the present invention is configured such that the maximum dimension of the single-crystal Si thin film is 10 cm or less.
[0299]
Accordingly, if the maximum dimension of the single crystal Si thin film is 10 cm or less, a high strain generally used for a liquid crystal display panel or the like generally driven by an active matrix, which has a larger difference in thermal expansion coefficient from single crystal Si than a quartz substrate. Even when the point glass is used, there is an effect that breakage such as cracks and peeling of Si can be prevented.
[0300]
The method of manufacturing a semiconductor device according to the present invention is configured such that the maximum dimension of the single crystal Si thin film is 5 cm or less.
[0301]
As a result, even if a high strain point glass having a larger difference in thermal expansion coefficient from single crystal Si than a quartz substrate and generally used for a liquid crystal display panel or the like generally driven by an active matrix is used, it is possible to further destroy cracks and the like and prevent Si This has the effect of preventing peeling off.
[0302]
The method for manufacturing a semiconductor device according to the present invention is configured such that a difference in standardized linear expansion between the single crystal Si thin film and the insulating substrate is approximately 250 ppm or less in a temperature range of approximately room temperature to 600 ° C.
[0303]
Thereby, in the process for forming the single-crystal Si thin film on the insulating substrate, it is possible to reliably prevent the destruction, the bonding interface separation, and the generation of defects in the crystal in the cleavage separation process from the hydrogen implantation position due to the difference in thermal expansion coefficient. In addition, there is an effect that the heat bonding strength can be improved.
[0304]
In the method of manufacturing a semiconductor device according to the present invention, the dose of hydrogen ions 16 / Cm 2 Above, furthermore, approximately 3 × 10 16 / Cm 2 The configuration is as follows.
[0305]
Thereby, there is an effect that characteristics such as mobility of the TFT formed in the region of the single crystal Si thin film can be improved.
[Brief description of the drawings]
FIGS. 1A to 1H are cross-sectional views illustrating an example of a manufacturing process of a semiconductor device according to an embodiment of the present invention.
FIGS. 2A to 2H are cross-sectional views illustrating an example of a manufacturing process of a semiconductor device according to another embodiment of the present invention.
FIGS. 3A to 3F are cross-sectional views illustrating an example of a manufacturing process of a semiconductor device according to still another embodiment of the present invention.
4A to 4E are cross-sectional views illustrating an example of a manufacturing process of a semiconductor device according to still another embodiment of the present invention.
5A to 5H are cross-sectional views illustrating an example of a manufacturing process of a semiconductor device according to still another embodiment of the present invention.
FIG. 6 is a graph showing linear expansion of Si as a material of a single crystal Si substrate, code 1737 as a material of an insulating substrate, and code 7059 made of barium-borosilicate glass.
[Explanation of symbols]
1 insulating substrate
2, 32, 52, 62 SiO 2 Film (insulating film, first SiO 2 film)
3,36,53 Amorphous Si thin film
4, 37, 43, 54 Polycrystalline Si thin film
5,34 Single-crystal Si thin film
6, 38 Gate insulating film (Si oxide film)
10 Single crystal Si substrate
11 SiO 2 film
12 Hydrogen ion implanter
20, 30, 50 semiconductor device
33, 55 recess
35 SiO 2 Film (insulating film)
41 SiO 2 Film (second SiO) 2 film)

Claims (32)

絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とがそれぞれ異なる領域に形成されている半導体装置であって、
上記絶縁基板と上記単結晶Si薄膜との規格化された線膨張の差は、概ね室温以上600℃以下の温度範囲において、約250ppm以下であることを特徴とする半導体装置。
A semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed in different regions on an insulating substrate,
A semiconductor device, wherein a difference in normalized linear expansion between the insulating substrate and the single-crystal Si thin film is approximately 250 ppm or less in a temperature range of approximately room temperature to 600 ° C.
絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とがそれぞれ異なる領域に形成されている半導体装置であって、
上記単結晶Si薄膜におけるラマンピークのシフト量は、519.5cm−1以上、かつ、521.5cm−1以下であることを特徴とする半導体装置。
A semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed in different regions on an insulating substrate,
A semiconductor device, wherein a shift amount of a Raman peak in the single-crystal Si thin film is 519.5 cm −1 or more and 521.5 cm −1 or less.
上記絶縁基板が、少なくとも単結晶Siの存在する領域の表面にSiO層が形成されたアルカリ土類−アルミノ硼珪酸ガラスからなる高歪点ガラスからなることを特徴とする請求項1または2に記載の半導体装置。3. The insulating substrate according to claim 1, wherein the insulating substrate is made of a high strain point glass made of an alkaline earth-aluminoborosilicate glass having a SiO 2 layer formed on at least a surface of a region where single crystal Si exists. 4. 13. The semiconductor device according to claim 1. 上記絶縁基板が、バリウム−アルミノ硼珪酸ガラス、アルカリ土類−アルミノ硼珪酸ガラス、硼珪酸ガラス、アルカリ土類−亜鉛−鉛−アルミノ硼珪酸ガラス、アルカリ土類−亜鉛−アルミノ硼珪酸ガラスのうち何れか1つからなることを特徴とする請求項1または2に記載の半導体装置。The insulating substrate may be made of barium-aluminoborosilicate glass, alkaline earth-aluminoborosilicate glass, borosilicate glass, alkaline earth-zinc-lead-aluminoborosilicate glass, or alkaline earth-zinc-aluminoborosilicate glass. The semiconductor device according to claim 1, wherein the semiconductor device comprises any one of the semiconductor devices. 上記半導体装置が、上記絶縁基板上に複数のMOSFET、バイポーラトランジスタ、またはSITからなる集積回路を含むアクティブマトリクス基板であることを特徴とする請求項1または2に記載の半導体装置。3. The semiconductor device according to claim 1, wherein the semiconductor device is an active matrix substrate including an integrated circuit including a plurality of MOSFETs, bipolar transistors, or SITs on the insulating substrate. 上記絶縁基板上に形成されている上記単結晶Si薄膜の領域と上記多結晶Si薄膜の領域とが、0.3μm以上離れていることを特徴とする請求項1または2に記載の半導体装置。3. The semiconductor device according to claim 1, wherein a region of the single-crystal Si thin film formed on the insulating substrate and a region of the polycrystalline Si thin film are separated by 0.3 μm or more. 4. 上記絶縁基板上に形成されている上記単結晶Si薄膜の領域と上記多結晶Si薄膜の領域とが、0.5μm以上離れていることを特徴とする請求項1または2に記載の半導体装置。3. The semiconductor device according to claim 1, wherein a region of the single-crystal Si thin film formed on the insulating substrate and a region of the polycrystalline Si thin film are separated by 0.5 μm or more. 上記異なる領域にそれぞれ形成された同一導電型のトランジスタにおいて、移動度、サブスレショルド係数、閾値のうち少なくとも1つが、上記領域毎に異なることを特徴とする請求項1または2に記載の半導体装置。3. The semiconductor device according to claim 1, wherein at least one of mobility, a sub-threshold coefficient, and a threshold value of each of the transistors of the same conductivity type formed in each of the different regions is different for each of the regions. 上記異なる領域にそれぞれ形成された集積回路において、ゲート長、ゲート酸化膜の膜厚、電源電圧、ロジックレベルのうち少なくとも1つが、上記領域毎に異なることを特徴とする請求項1または2に記載の半導体装置。3. The integrated circuit formed in each of the different regions, wherein at least one of a gate length, a gate oxide film thickness, a power supply voltage, and a logic level is different for each of the regions. Semiconductor device. 上記異なる領域にそれぞれ形成された集積回路は、加工ルールが上記領域毎に異なることを特徴とする請求項1または2に記載の半導体装置。3. The semiconductor device according to claim 1, wherein the integrated circuit formed in each of the different regions has a different processing rule for each of the regions. 上記単結晶Si薄膜の膜厚が概ね600nm以下であることを特徴とする請求項1または2に記載の半導体装置。3. The semiconductor device according to claim 1, wherein the single-crystal Si thin film has a thickness of about 600 nm or less. 上記単結晶Si薄膜の膜厚が100nm以下であることを特徴とする請求項1または2に記載の半導体装置。3. The semiconductor device according to claim 1, wherein the single-crystal Si thin film has a thickness of 100 nm or less. 絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とが形成された半導体装置の製造方法において、
絶縁基板表面にSiO膜および非晶質Si膜を順次堆積する工程と、
上記非晶質Si膜を加熱し、多結晶Si層を成長させ、多結晶Si薄膜を形成する工程と、
上記多結晶Si薄膜の所定の領域をエッチング除去する工程と、
予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、上記エッチング除去した領域の一部または概ね全領域を覆う所定の形状に切断する工程と、
上記絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、
上記切断した単結晶Si基板を、水素イオンを注入した側の面を上記エッチング除去した領域に室温で密着させることで、上記両基板を接合する工程と、
熱処理することにより、上記水素イオン注入部を境に劈開剥離し、上記絶縁基板上に単結晶Si薄膜を形成する工程とを含むことを特徴とする半導体装置の製造方法。
In a method of manufacturing a semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed on an insulating substrate,
Sequentially depositing a SiO 2 film and an amorphous Si film on the surface of the insulating substrate;
Heating the amorphous Si film, growing a polycrystalline Si layer, and forming a polycrystalline Si thin film;
Etching and removing a predetermined region of the polycrystalline Si thin film;
The surface is oxidized or an oxide film is deposited in advance to form a SiO 2 film on the surface, and a single crystal Si substrate having a hydrogen ion implanted portion implanted with hydrogen ions of a predetermined concentration at a predetermined depth is subjected to the above etching. A step of cutting into a predetermined shape covering a part or substantially the entire area of the removed area,
Washing the insulating substrate and the single-crystal Si substrate and activating the surfaces of both substrates;
A step of bonding the cut single-crystal Si substrate to the region on which hydrogen ions have been implanted at room temperature by bringing the surface on which hydrogen ions are implanted into contact with the etched region,
Forming a single-crystal Si thin film on the insulating substrate by heat treatment to cause cleavage at the hydrogen ion-implanted portion as a boundary.
絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とが形成された半導体装置の製造方法において、
絶縁基板表面にSiO膜および非晶質Si膜を順次堆積する工程と、
上記非晶質Si膜を加熱し、多結晶Si層を成長させ、多結晶Si薄膜を形成する工程と、
所定の領域の上記多結晶Si薄膜をエッチング除去するとともに、同じ領域の上記SiO膜の厚さ方向における一部をエッチング除去する工程と、
予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、上記エッチング除去した領域の一部または概ね全領域を覆う所定の形状に切断する工程と、
上記絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、
上記切断した単結晶Si基板を、水素イオンを注入した側の面を上記エッチング除去した領域に室温で密着させることで、上記両基板を接合する工程と、
熱処理することにより、上記水素イオン注入部を境に劈開剥離し、上記絶縁基板上に単結晶Si薄膜を形成する工程とを含むことを特徴とする半導体装置の製造方法。
In a method of manufacturing a semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed on an insulating substrate,
Sequentially depositing a SiO 2 film and an amorphous Si film on the surface of the insulating substrate;
Heating the amorphous Si film, growing a polycrystalline Si layer, and forming a polycrystalline Si thin film;
Etching and removing a portion of the polycrystalline Si thin film in a predetermined region in a thickness direction of the SiO 2 film in the same region;
The surface is oxidized or an oxide film is deposited in advance to form a SiO 2 film on the surface, and a single crystal Si substrate having a hydrogen ion implanted portion implanted with hydrogen ions of a predetermined concentration at a predetermined depth is subjected to the above etching. A step of cutting into a predetermined shape covering a part or substantially the entire area of the removed area,
Washing the insulating substrate and the single-crystal Si substrate and activating the surfaces of both substrates;
A step of bonding the cut single-crystal Si substrate to the region on which hydrogen ions have been implanted at room temperature by bringing the surface on which hydrogen ions are implanted into contact with the etched region,
Forming a single-crystal Si thin film on the insulating substrate by heat treatment to cause cleavage at the hydrogen ion-implanted portion as a boundary.
絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とが形成された半導体装置の製造方法において、
絶縁基板表面にSiO膜を堆積する工程と、
予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、所定の形状に切断する工程と、
上記絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、
上記切断した単結晶Si基板を、水素イオンを注入した側の面を上記絶縁基板のSiO膜側表面における所定の位置に室温で密着させ接合する工程と、
熱処理することにより、上記水素イオン注入部を境に劈開剥離し、上記絶縁基板上に単結晶Si薄膜を形成する工程と、
上記絶縁基板上に絶縁膜および非晶質Si膜を順次堆積する工程と、
上記非晶質Si膜を加熱し、多結晶Si層を成長させ、多結晶Si薄膜を形成する工程とを含むことを特徴とする半導体装置の製造方法。
In a method of manufacturing a semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed on an insulating substrate,
Depositing a SiO 2 film on the surface of the insulating substrate;
A SiO 2 film is formed on the surface by oxidizing the surface or depositing an oxide film in advance, and a single crystal Si substrate having a hydrogen ion implanted portion implanted with hydrogen ions of a predetermined concentration at a predetermined depth is mounted on a predetermined crystal. Cutting into a shape,
Washing the insulating substrate and the single-crystal Si substrate and activating the surfaces of both substrates;
Bonding the cut single-crystal Si substrate by bonding the surface of the side into which hydrogen ions have been implanted to a predetermined position on the SiO 2 film-side surface of the insulating substrate at room temperature;
A step of forming a single-crystal Si thin film on the insulating substrate by performing a heat treatment so as to cleave and separate at the hydrogen ion implanted portion;
Sequentially depositing an insulating film and an amorphous Si film on the insulating substrate;
Heating the amorphous Si film, growing a polycrystalline Si layer, and forming a polycrystalline Si thin film.
絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とが形成された半導体装置の製造方法において、
絶縁基板表面にSiO膜を堆積する工程と、
所定の領域の上記SiO膜の厚さ方向における一部をエッチング除去する工程と、
予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、上記エッチング除去した領域の一部または概ね全領域を覆う所定の形状に切断する工程と、
上記絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、
上記切断した単結晶Si基板における水素イオンを注入した側の面を、上記エッチング除去した領域に室温で密着させ接合する工程と、
熱処理することにより、上記水素イオン注入部を境に劈開剥離し、単結晶Si薄膜を形成する工程と、
上記絶縁基板上に絶縁膜および非晶質Si膜を順次堆積する工程と、
上記非晶質Si膜を加熱し、多結晶Si層を成長させ、多結晶Si薄膜を形成する工程とを含むことを特徴とする半導体装置の製造方法。
In a method of manufacturing a semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed on an insulating substrate,
Depositing a SiO 2 film on the surface of the insulating substrate;
Etching and removing a part of the predetermined region in the thickness direction of the SiO 2 film;
The surface is oxidized or an oxide film is deposited in advance to form a SiO 2 film on the surface, and a single crystal Si substrate having a hydrogen ion implanted portion implanted with hydrogen ions of a predetermined concentration at a predetermined depth is subjected to the above etching. A step of cutting into a predetermined shape covering a part or substantially the entire area of the removed area,
Washing the insulating substrate and the single-crystal Si substrate and activating the surfaces of both substrates;
Bonding the surface of the cut single-crystal Si substrate on the side where hydrogen ions have been implanted to the region where the etching has been removed at room temperature,
A step of forming a single-crystal Si thin film by performing a heat treatment to cleave and separate at the hydrogen ion implanted portion;
Sequentially depositing an insulating film and an amorphous Si film on the insulating substrate;
Heating the amorphous Si film, growing a polycrystalline Si layer, and forming a polycrystalline Si thin film.
絶縁基板上に多結晶Si薄膜と単結晶Si薄膜とが形成された半導体装置の製造方法において、
絶縁基板表面に第1SiO膜、非晶質Si膜、および、第2SiO膜を順次堆積する工程と、
上記第2SiO膜の所定の領域をエッチング除去することで上記非晶質Si膜の一部を露出させる工程と、
上記露出した非晶質Si膜を酸化して酸化膜を形成し、該酸化膜上に酢酸Ni水溶液をスピンコートする工程と、
上記非晶質Si膜を加熱し、メタルアシストにより結晶成長が促進された多結晶Si層を成長させ、多結晶Si薄膜を形成する工程と、
上記第2SiO膜と上記酸化膜とを除去する工程と、
上記多結晶Si層の所定の領域をエッチング除去する工程と、
予め表面を酸化あるいは酸化膜を堆積することで表面にSiO膜を形成し、かつ所定の深さに所定の濃度の水素イオンを注入した水素イオン注入部を有する単結晶Si基板を、上記エッチング除去した領域の一部または概ね全領域を覆う所定の形状に切断する工程と、
上記絶縁基板と単結晶Si基板とを洗浄し該両基板の表面を活性化する工程と、
上記切断した単結晶Si基板を、水素イオンを注入した側の面を上記エッチング除去した領域に室温で密着させることで、上記両基板を接合する工程と、
熱処理することにより、上記水素イオン注入部を境に劈開剥離し、上記絶縁基板上に単結晶Si薄膜を形成する工程とを含むことを特徴とする半導体装置の製造方法。
In a method of manufacturing a semiconductor device in which a polycrystalline Si thin film and a single-crystal Si thin film are formed on an insulating substrate,
The 1SiO 2 film on the surface of the insulating substrate, an amorphous Si film, and a step of sequentially depositing a first 2SiO 2 film,
Exposing a portion of the amorphous Si film by etching and removing a predetermined region of the second SiO 2 film;
Oxidizing the exposed amorphous Si film to form an oxide film, and spin coating an aqueous solution of Ni acetate on the oxide film;
Heating the amorphous Si film, growing a polycrystalline Si layer whose crystal growth is promoted by metal assist, and forming a polycrystalline Si thin film;
Removing the second SiO 2 film and the oxide film;
Etching and removing a predetermined region of the polycrystalline Si layer;
The surface is oxidized or an oxide film is deposited in advance to form a SiO 2 film on the surface, and a single crystal Si substrate having a hydrogen ion implanted portion implanted with hydrogen ions of a predetermined concentration at a predetermined depth is subjected to the above etching. A step of cutting into a predetermined shape covering a part or substantially the entire area of the removed area,
Washing the insulating substrate and the single-crystal Si substrate and activating the surfaces of both substrates;
A step of bonding the cut single-crystal Si substrate to the region on which hydrogen ions have been implanted at room temperature by bringing the surface on which hydrogen ions are implanted into contact with the etched region,
Forming a single-crystal Si thin film on the insulating substrate by heat treatment to cause cleavage at the hydrogen ion-implanted portion as a boundary.
300℃以上650℃以下の1段階または多段階の温度ステップにより、上記熱処理をすることを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。18. The method of manufacturing a semiconductor device according to claim 13, wherein the heat treatment is performed in one or multiple temperature steps of 300 ° C. or more and 650 ° C. or less. 上記多結晶Si層を成長させるときに、上記非晶質Si膜にNi、Pt、Sn、Pdの内少なくとも1つを添加することを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。18. The method according to claim 13, wherein when growing the polycrystalline Si layer, at least one of Ni, Pt, Sn, and Pd is added to the amorphous Si film. Manufacturing method of a semiconductor device. レーザー照射によって、上記単結晶Si基板の水素イオン注入部の温度をSiから水素が離脱する温度以上に昇温することにより、上記単結晶Si基板を水素イオン注入部を境に劈開剥離する工程を行うことを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。The step of cleaving the single crystal Si substrate at the hydrogen ion implanted portion by raising the temperature of the hydrogen ion implanted portion of the single crystal Si substrate to a temperature at which hydrogen is released from Si by laser irradiation or more. The method of manufacturing a semiconductor device according to claim 13, wherein the method is performed. 概ね700℃以上のピーク温度を含むランプアニールを行うことにより、上記単結晶Si基板を水素イオン注入部を境に剥離することを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法The semiconductor according to any one of claims 13 to 17, wherein the single crystal Si substrate is separated at a hydrogen ion implanted portion by performing lamp annealing including a peak temperature of approximately 700 ° C or higher. Device manufacturing method 上記絶縁基板上に上記多結晶Si薄膜と上記単結晶Si薄膜とが形成された後、
等方性プラズマエッチングまたはウエットエッチングにより上記単結晶Si薄膜表面の損傷層をエッチング除去する工程と、
上記多結晶Si薄膜と上記単結晶Si薄膜をエッチングにより島状にパターン化する工程と、
上記多結晶Si薄膜および上記単結晶Si薄膜上全面にエッチバック用SiO膜を堆積後、異方性エッチングにより、上記エッチバック用SiO膜の一部を残して、または膜厚全部をエッチングバックする工程と、
SiO膜を堆積することにより、ゲート絶縁膜を形成する工程とをさらに含むことを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。
After the polycrystalline Si thin film and the single crystal Si thin film are formed on the insulating substrate,
Etching and removing the damaged layer on the surface of the single crystal Si thin film by isotropic plasma etching or wet etching;
A step of patterning the polycrystalline Si thin film and the single crystal Si thin film in an island shape by etching;
After depositing an etch-back SiO 2 film over the entire surface of the polycrystalline Si thin film and the single-crystal Si thin film, anisotropic etching is performed to etch a portion of the etch-back SiO 2 film or to etch the entire film thickness. Backing up,
18. The method according to claim 13, further comprising: forming a gate insulating film by depositing an SiO 2 film.
上記絶縁基板上に上記多結晶Si薄膜と上記単結晶Si薄膜とが形成された後、
等方性プラズマエッチングまたはウエットエッチングにより上記単結晶Si薄膜表面の損傷層をエッチング除去する工程と、
上記多結晶Si薄膜と上記単結晶Si薄膜をエッチングにより島状にパターン化する工程と、
上記多結晶Si薄膜および上記単結晶Si薄膜上全面にエッチバック用SiO膜を堆積後、更に樹脂平坦化膜を全面に塗布する工程と、
異方性エッチングにより、上記樹脂平坦化膜の全部と上記エッチバック用SiO膜の一部とをエッチングバックする工程と、
SiO膜を堆積することにより、ゲート絶縁膜を形成する工程とをさらに含むことを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。
After the polycrystalline Si thin film and the single crystal Si thin film are formed on the insulating substrate,
Etching and removing the damaged layer on the surface of the single crystal Si thin film by isotropic plasma etching or wet etching;
A step of patterning the polycrystalline Si thin film and the single crystal Si thin film in an island shape by etching;
Depositing an etch-back SiO 2 film over the entire surface of the polycrystalline Si thin film and the single-crystal Si thin film, and further applying a resin planarization film over the entire surface;
Etching back all of the resin flattening film and part of the etch back SiO 2 film by anisotropic etching;
18. The method according to claim 13, further comprising: forming a gate insulating film by depositing an SiO 2 film.
上記絶縁基板上に形成した上記単結晶Si薄膜と上記多結晶Si薄膜をエッチングにより島状にパターン化してMOSトランジスタを形成し、N型MOSトランジスタおよびP型MOSトランジスタのソースおよびドレイン領域の少なくとも一部に、概ね1015/cm以上5×1015/cm以下のPイオンを注入する工程とをさらに含むことを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。The single crystal Si thin film and the polycrystalline Si thin film formed on the insulating substrate are patterned into an island shape by etching to form a MOS transistor, and at least one of source and drain regions of an N-type MOS transistor and a P-type MOS transistor is formed. 18. The semiconductor device according to claim 13, further comprising a step of implanting P + ions of approximately 10 15 / cm 2 or more and 5 × 10 15 / cm 2 or less into the portion. Manufacturing method. 上記単結晶Si薄膜の膜厚が上記多結晶Si薄膜の膜厚と概ね等しいことを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。18. The method of manufacturing a semiconductor device according to claim 13, wherein the thickness of the single-crystal Si thin film is substantially equal to the thickness of the polycrystalline Si thin film. 単結晶Si基板の表面に形成予め形成されたSiO膜の膜厚が、200nm以上であることを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。The method for manufacturing a semiconductor device according to any one of claims 13 to 17, wherein the thickness of the SiO 2 film formed in advance on the surface of the single crystal Si substrate is 200 nm or more. 単結晶Si基板の表面に形成予め形成されたSiO膜の膜厚が、300nm以上であることを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。18. The method of manufacturing a semiconductor device according to claim 13, wherein the thickness of the SiO 2 film formed on the surface of the single-crystal Si substrate in advance is 300 nm or more. 上記単結晶Si薄膜の最大寸法が10cm以下であることを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。18. The method according to claim 13, wherein a maximum size of the single crystal Si thin film is 10 cm or less. 上記単結晶Si薄膜の最大寸法が5cm以下であることを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。18. The method according to claim 13, wherein a maximum size of the single-crystal Si thin film is 5 cm or less. 上記単結晶Si薄膜は、上記絶縁基板との規格化された線膨張の差が、概ね室温以上600℃以下の温度範囲において、約250ppm以下であることを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。18. The single-crystal Si thin film according to claim 13, wherein a difference in normalized linear expansion from the insulating substrate is about 250 ppm or less in a temperature range of about room temperature to 600 ° C. 9. The method for manufacturing a semiconductor device according to claim 1. 上記水素イオン注入部に注入する水素イオンのドーズ量は、1016/cm以上であることを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。18. The method according to claim 13, wherein a dose of hydrogen ions implanted into the hydrogen ion implanted portion is 10 16 / cm 2 or more. 上記水素イオン注入部に注入する水素イオンのドーズ量は、概ね3×1016/cmであることを特徴とする請求項13ないし17の何れか1項に記載の半導体装置の製造方法。Dose of hydrogen ions to be implanted into the hydrogen ion implantation section is generally a method of manufacturing a semiconductor device according to any one of claims 13 to 17, characterized in that a 3 × 10 16 / cm 2.
JP2002280036A 2002-03-26 2002-09-25 Semiconductor device and method of manufacturing the same Pending JP2004119636A (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP2002280036A JP2004119636A (en) 2002-09-25 2002-09-25 Semiconductor device and method of manufacturing the same
US10/377,875 US7119365B2 (en) 2002-03-26 2003-03-04 Semiconductor device and manufacturing method thereof, SOI substrate and display device using the same, and manufacturing method of the SOI substrate
TW092105894A TWI235486B (en) 2002-03-26 2003-03-18 Semiconductor device and manufacturing method thereof, SOI substrate and display device using the same, and manufacturing method of the SOI substrate
KR10-2003-0018183A KR100532557B1 (en) 2002-03-26 2003-03-24 Semiconductor device and manufacturing method thereof, soi substrate and display device using the same, and manufacturing method of the soi substrate
FR0303706A FR2837980B1 (en) 2002-03-26 2003-03-26 SEMICONDUCTOR DEVICE AND ITS MANUFACTURING METHOD, SOI-TYPE SUBSTRATE FOR THIS DEVICE AND ITS MANUFACTURING METHOD, AND DISPLAY DEVICE USING SUCH A SUBSTRATE
CNB031085326A CN1276512C (en) 2002-03-26 2003-03-26 Semiconductor device and mfg method, SOI substrate and mfg method, and display device thereof
US11/502,598 US7619250B2 (en) 2002-03-26 2006-08-11 Semiconductor device and manufacturing method thereof, SOI substrate and display device using the same, and manufacturing method of the SOI substrate
US12/574,029 US7884367B2 (en) 2002-03-26 2009-10-06 Semiconductor device and manufacturing method thereof, SOI substrate and display device using the same, and manufacturing method of the SOI substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002280036A JP2004119636A (en) 2002-09-25 2002-09-25 Semiconductor device and method of manufacturing the same

Publications (1)

Publication Number Publication Date
JP2004119636A true JP2004119636A (en) 2004-04-15

Family

ID=32274872

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002280036A Pending JP2004119636A (en) 2002-03-26 2002-09-25 Semiconductor device and method of manufacturing the same

Country Status (1)

Country Link
JP (1) JP2004119636A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006120796A1 (en) * 2005-05-11 2006-11-16 Sharp Kabushiki Kaisha Semiconductor device and method for manufacture thereof, and liquid crystal display device
JP2007079431A (en) * 2005-09-16 2007-03-29 Toshiba Matsushita Display Technology Co Ltd Array substrate for display device, manufacturing method therefor, and display device using same
JP2009534837A (en) * 2006-04-21 2009-09-24 コーニング インコーポレイテッド Semiconductor on glass insulator fabricated using an improved thinning process
WO2009157369A1 (en) * 2008-06-25 2009-12-30 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
WO2010055857A1 (en) * 2008-11-12 2010-05-20 信越化学工業株式会社 Soi substrate manufacturing method
CN113924382A (en) * 2020-03-11 2022-01-11 昭和电工株式会社 Corrosion-resistant member

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006120796A1 (en) * 2005-05-11 2006-11-16 Sharp Kabushiki Kaisha Semiconductor device and method for manufacture thereof, and liquid crystal display device
US8232213B2 (en) 2005-05-11 2012-07-31 Sharp Kabushiki Kaisha Semiconductor device and manufacturing method thereof, and liquid crystal display device
JP2007079431A (en) * 2005-09-16 2007-03-29 Toshiba Matsushita Display Technology Co Ltd Array substrate for display device, manufacturing method therefor, and display device using same
JP2009534837A (en) * 2006-04-21 2009-09-24 コーニング インコーポレイテッド Semiconductor on glass insulator fabricated using an improved thinning process
WO2009157369A1 (en) * 2008-06-25 2009-12-30 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
US8198173B2 (en) 2008-06-25 2012-06-12 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
WO2010055857A1 (en) * 2008-11-12 2010-05-20 信越化学工業株式会社 Soi substrate manufacturing method
JP2010141305A (en) * 2008-11-12 2010-06-24 Shin-Etsu Chemical Co Ltd Method for manufacturing soi substrate
US8563401B2 (en) 2008-11-12 2013-10-22 Shin-Etsu Chemical Co., Ltd. Method for fabricating SOI substrate
CN113924382A (en) * 2020-03-11 2022-01-11 昭和电工株式会社 Corrosion-resistant member
CN113924382B (en) * 2020-03-11 2024-04-12 株式会社力森诺科 Corrosion resistant member

Similar Documents

Publication Publication Date Title
JP4794810B2 (en) Manufacturing method of semiconductor device
KR100532557B1 (en) Semiconductor device and manufacturing method thereof, soi substrate and display device using the same, and manufacturing method of the soi substrate
JP4540359B2 (en) Semiconductor device and manufacturing method thereof
JP4651924B2 (en) Thin film semiconductor device and method for manufacturing thin film semiconductor device
JP2003282885A (en) Semiconductor device and its fabricating method
US6919238B2 (en) Silicon on insulator (SOI) transistor and methods of fabrication
TW595003B (en) Thin-film transistor and method for manufacturing same
TW546846B (en) Thin film transistor and method for manufacturing the same
WO2009084311A1 (en) Semiconductor device, substrate with single-crystal semiconductor thin film and methods for manufacturing same
JP2004165600A (en) Single-crystal silicon substrate, semiconductor device and manufacturing method therefor
WO2006117900A1 (en) Process for producing semiconductor device and semiconductor device
KR100725247B1 (en) Semiconductor device, producing method of semiconductor substrate, and producing method of semiconductor device
WO2003023866A1 (en) Thin film semiconductor device and method for fabricating the same
JP4885123B2 (en) Semiconductor device and manufacturing method thereof
WO2009084312A1 (en) Semiconductor device, substrate with single-crystal semiconductor thin film and methods for manufacturing same
JP2006203250A (en) Manufacturing method of three dimensional semiconductor device
JP2004119636A (en) Semiconductor device and method of manufacturing the same
JP2010141246A (en) Method of manufacturing semiconductor device
JP3347340B2 (en) Method for manufacturing thin film transistor
JP2005056917A (en) Process for fabricating semiconductor device
JP2005026472A (en) Manufacturing method of semiconductor device
JP2003051600A (en) Thin-film transistor and manufacturing method therefor
JPH05166839A (en) Semiconductor device and its manufacture
JP2006191125A (en) Manufacturing method for soi wafer
JP4076930B2 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090203

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090331

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090331

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090929