JP2003060008A - Treatment apparatus, apparatus and method for transfer - Google Patents

Treatment apparatus, apparatus and method for transfer

Info

Publication number
JP2003060008A
JP2003060008A JP2002146187A JP2002146187A JP2003060008A JP 2003060008 A JP2003060008 A JP 2003060008A JP 2002146187 A JP2002146187 A JP 2002146187A JP 2002146187 A JP2002146187 A JP 2002146187A JP 2003060008 A JP2003060008 A JP 2003060008A
Authority
JP
Japan
Prior art keywords
forming unit
layer forming
transfer
processing
unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002146187A
Other languages
Japanese (ja)
Inventor
Minoru Matsushita
実 松下
Yasushi Odajima
保志 小田島
Toshikazu Kumai
寿和 熊井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2002146187A priority Critical patent/JP2003060008A/en
Publication of JP2003060008A publication Critical patent/JP2003060008A/en
Pending legal-status Critical Current

Links

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a treatment apparatus whose treatment efficiency is high and which can manufacture a high-quality semiconductor device, and to provide an apparatus and a method for a transfer which are used for the treatment apparatus. SOLUTION: The treatment apparatus is provided with a substrate-container mounting base on which a substrate container housing a plurality of substrates to be treated can be mounted, a first transfer chamber whose inside can be maintained at a first atmospheric pressure, a first group of treatment units which are arranged around the first transfer chamber and by which the substrates to be treated are treated under the first atmospheric pressure, a first transfer arm which is arranged and installed inside the first transfer chamber and by which the substrates to be treated are transferred, a second transfer chamber which is installed to be adjacent to the first transfer chamber and whose inside can be maintained at a second atmospheric pressure, a second group of treatment units which are arranged and installed around the second transfer chamber, and by which the substrates to be treated are treated under the second atmospheric pressure and a second transfer arm which is arranged and installed in the second transfer chamber. Two or more of the first transfer arm and/or the second transfer arm exist.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置に用い
るシリコンウエハなどの被処理基体を処理する処理装
置、そのような処理装置に用いられる移載装置、移載方
法に係り、さらに詳細には、被処理基体表面に各種薄膜
を形成する処理装置、そのような処理装置に用いられる
移載装置および移載方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a processing apparatus for processing a substrate to be processed such as a silicon wafer used in a semiconductor device, a transfer apparatus and a transfer method used in such a processing apparatus, and more specifically, to a transfer method. The present invention relates to a processing apparatus for forming various thin films on the surface of a substrate to be processed, a transfer apparatus used for such a processing apparatus, and a transfer method.

【0002】[0002]

【従来の技術】従来から、半導体装置を製造する方法と
して、シリコンウエハ(以下、単に「ウエハ」とい
う。)の表面に酸化膜、窒化膜、酸窒化膜などの各種の
薄膜を所定の断面形状となるように形成する方法が広く
用いられており、このような各種の薄膜をウエハ上に形
成するには、CVDなどの装置を用いるのが一般的であ
る。さらにウエハ上に複数の薄膜層を多段に積層する方
法としては、複数基のCVDユニットをひとつの移載室
で連結した、いわゆるマルチチャンバ型の製造装置を用
いる方法が知られている。図28は典型的なマルチチャ
ンバ型の処理装置300の概略構成を示した平面図であ
る。
2. Description of the Related Art Conventionally, as a method of manufacturing a semiconductor device, various thin films such as an oxide film, a nitride film, and an oxynitride film are formed on a surface of a silicon wafer (hereinafter simply referred to as “wafer”) in a predetermined cross-sectional shape. Is widely used, and a device such as CVD is generally used to form such various thin films on a wafer. Further, as a method of stacking a plurality of thin film layers in multiple stages on a wafer, a method using a so-called multi-chamber type manufacturing apparatus in which a plurality of CVD units are connected in one transfer chamber is known. FIG. 28 is a plan view showing a schematic configuration of a typical multi-chamber type processing apparatus 300.

【0003】このマルチチャンバ型処理装置300で
は、装置のほぼ中心に配設された移載室301の外周に
複数の成膜用の処理ユニット310〜315が放射状に
配設されており、移載室301の内部には移載アーム3
03,304が配設されている。この処理装置300で
は、未処理のウエハWが複数枚収容されたキャリアカセ
ットCを載置台305の上にセットして起動すると、サ
ブアーム306がキャリアカセットC内のウエハWを取
り出してロードロック室307内に一旦載置し、次いで
移載アーム303がウエハWを受け取って移載室301
内に搬入し、所定の順序で各処理ユニット310〜31
5と移載室301との間でウエハWを出し入れ、処理し
て複数の薄膜を形成するようになっている。
In this multi-chamber type processing apparatus 300, a plurality of film forming processing units 310 to 315 are radially arranged on the outer periphery of a transfer chamber 301 which is arranged substantially at the center of the apparatus, and is transferred. The transfer arm 3 is provided inside the chamber 301.
03, 304 are provided. In this processing apparatus 300, when the carrier cassette C containing a plurality of unprocessed wafers W is set on the mounting table 305 and activated, the sub arm 306 takes out the wafer W in the carrier cassette C and loads the load lock chamber 307. Once, and then the transfer arm 303 receives the wafer W and receives it from the transfer chamber 301.
Each of the processing units 310 to 31 is carried in in a predetermined order.
The wafer W is taken in and out between the transfer chamber 5 and the transfer chamber 301 and processed to form a plurality of thin films.

【0004】[0004]

【発明が解決しようとする課題】ところで最近の半導体
装置では積層する薄膜の数が増え、また、薄膜の厚さも
薄いものが要求されている。このように薄膜の数が増え
ると上記のような処理ユニットを六基備えた6チャンバ
型の処理装置300でも全ての薄膜を一台のマルチチャ
ンバ300で形成することはできない。そのため、処理
装置300のような6チャンバ型処理装置を複数台並べ
ておき、一台目の処理装置での成膜が完了したら一旦ウ
エハWを取り出して隣接する処理装置に再び搬入して後
続の成膜処理を行なう方法が採られている。
By the way, in recent semiconductor devices, the number of thin films to be laminated is increasing, and the thin films are required to be thin. When the number of thin films increases in this way, it is not possible to form all thin films in one multi-chamber 300 even in the 6-chamber type processing apparatus 300 having six processing units as described above. Therefore, a plurality of 6-chamber type processing devices such as the processing device 300 are arranged side by side, and once the film formation in the first processing device is completed, the wafer W is once taken out and again loaded into the adjacent processing device and the subsequent processing is performed. A method of performing membrane treatment is adopted.

【0005】しかし、成膜途中のウエハWを処理雰囲気
から外気に晒すと、ウエハW表面に酸化膜が生じるた
め、一度酸化膜を削り取る工程が必要となり、生産効率
が低下するという問題がある。さらにより薄い薄膜が必
要となるため、処理雰囲気からウエハWを取り出すと、
ウエハW表面の薄膜が劣化し、半導体装置の品質低下を
招くという問題もある。さらに、二台のマルチチャンバ
型処理装置300を並べると装置の占有面積が増大し設
備費、ひいては最終製品の製造コストを増大させるとい
う問題がある。
However, if the wafer W during film formation is exposed to the outside air from the processing atmosphere, an oxide film is formed on the surface of the wafer W, so that a step of removing the oxide film once is required, and there is a problem that the production efficiency is reduced. Since a thinner thin film is required, when the wafer W is taken out of the processing atmosphere,
There is also a problem that the thin film on the surface of the wafer W is deteriorated and the quality of the semiconductor device is deteriorated. Further, if two multi-chamber type processing apparatuses 300 are arranged side by side, there is a problem that the area occupied by the apparatuses increases and the equipment cost and eventually the manufacturing cost of the final product increase.

【0006】本発明は上記従来の問題を解決するために
なされた発明である。すなわち本発明は、処理効率が高
く、高品質の半導体装置が製造できる処理装置、そのよ
うな処理装置に用いられる移載装置および移載方法を提
供することを目的とする。
The present invention is an invention made to solve the above conventional problems. That is, it is an object of the present invention to provide a processing apparatus having high processing efficiency and capable of manufacturing a high quality semiconductor device, a transfer apparatus and a transfer method used for such a processing apparatus.

【0007】[0007]

【課題を解決するための手段】上記の課題を解決するた
め、本発明に係る処理装置は、複数の被処理基体を収容
する基体容器を載置可能な基体容器載置台と、前記基体
容器載置台に隣設され、内部を第1の気圧に維持し得る
第1の移載室と、前記第1の移載室の周囲に配設され、
前記第1の気圧下で被処理基体を処理する第1の処理ユ
ニット群と、前記第1の移載室内に配設され、被処理基
体を移載する第1の移載アームと、前記第1の移載室に
隣設され、内部を第2の気圧に維持し得る第2の移載室
と、前記第2の移載室の周囲に配設され、前記第2の気
圧下で被処理基体を処理する第2の処理ユニット群と、
前記第2の移載室に配設された第2の移載アームとを具
備し、前記第1の移載アームおよび/または前記第2の
移載アームは、2以上存在することを特徴とする。
In order to solve the above-mentioned problems, a processing apparatus according to the present invention comprises a substrate container mounting table on which a substrate container containing a plurality of substrates to be processed can be mounted, and the substrate container mounting table. A first transfer chamber that is adjacent to the table and can maintain the inside at a first atmospheric pressure; and a first transfer chamber that is arranged around the first transfer chamber.
A first processing unit group that processes the substrate to be processed under the first atmospheric pressure; a first transfer arm that is disposed in the first transfer chamber and transfers the substrate to be processed; A second transfer chamber that is adjacent to the first transfer chamber and can maintain the inside at a second atmospheric pressure; and a second transfer chamber that is disposed around the second transfer chamber and that is exposed under the second atmospheric pressure. A second processing unit group for processing the processing substrate;
A second transfer arm disposed in the second transfer chamber, wherein two or more first transfer arms and / or second transfer arms are present. To do.

【0008】また、本発明に係る処理装置は、複数の被
処理基体を収容する基体容器を載置する基体容器載置台
と、前記基体容器載置台に隣設され、内部を所定の気圧
に維持し得る移載室と、前記移載室の周囲に配設され、
前記所定の気圧下で被処理基体を処理する、窒化チタン
層形成ユニット、窒化タンタル層形成ユニット、および
窒化タングステン層形成ユニットからなる群から選択さ
れる一の処理ユニットと、チタン層形成ユニットと、タ
ングステン層形成ユニットとを各二基以上と、前記移載
室の周囲に配設され、前記所定の気圧下で前記被処理基
体を処理する一または二以上の予備洗浄処理ユニット
と、前記移載室内に配設され、被処理基体を移載する三
基以上の移載アームとを具備することを特徴とする。
Further, the processing apparatus according to the present invention is provided with a base container mounting table on which a base container for accommodating a plurality of substrates to be processed is mounted, and a base container mounting table adjacent to the base container mounting table to maintain the inside at a predetermined atmospheric pressure. And a transfer chamber that can be disposed around the transfer chamber,
Processing the substrate to be processed under the predetermined atmospheric pressure, one processing unit selected from the group consisting of a titanium nitride layer forming unit, a tantalum nitride layer forming unit, and a tungsten nitride layer forming unit; and a titanium layer forming unit, Two or more tungsten layer forming units, one or more pre-cleaning processing units disposed around the transfer chamber for processing the substrate to be processed under the predetermined atmospheric pressure, and the transfer unit. It is characterized in that it is provided with three or more transfer arms which are arranged in the chamber and transfer the substrate to be processed.

【0009】また、本発明に係る処理装置は、複数の被
処理基体を収容する基体容器を載置する基体容器載置台
と、前記基体容器載置台に隣接され、内部を所定の気圧
に維持し得る移載室と、前記移載室の周囲に配設され、
前記所定の圧力下で被処理基体を処理する、窒化タンタ
ル層形成ユニット、窒化チタン層形成ユニット、窒化タ
ングステン層形成ユニットからなる群から選択される一
の処理ユニットと、タンタル層形成ユニットと、銅層形
成ユニットとを各二基以上と、前記移載室の周囲に配設
され、前記所定の気圧下で前記被処理基体を処理する一
または二以上の予備洗浄処理ユニットと、前記移載室内
に配設され、被処理基体を移載する三基以上の移載アー
ムとを具備することを特徴とする。
Further, the processing apparatus according to the present invention is adjacent to the base container mounting table on which the base container for accommodating a plurality of substrates to be processed is mounted, and is maintained adjacent to the base container mounting table at a predetermined atmospheric pressure. A transfer chamber to be obtained, and arranged around the transfer chamber,
One processing unit selected from the group consisting of a tantalum nitride layer forming unit, a titanium nitride layer forming unit, and a tungsten nitride layer forming unit, which processes the substrate to be processed under the predetermined pressure, a tantalum layer forming unit, and copper. Two or more layer forming units, one or more pre-cleaning processing units disposed around the transfer chamber for processing the substrate to be processed under the predetermined atmospheric pressure, and the transfer chamber. And three or more transfer arms for transferring the substrate to be processed.

【0010】また、本発明に係る処理装置は、複数の被
処理基体を収容する基体容器を載置する基体容器載置台
と、前記基体容器載置台に隣接され、内部を所定の気圧
に維持し得る移載室と、前記移載室の周囲に配設され、
前記所定の圧力下で被処理基体を処理する、予備洗浄処
理ユニット、アルミナ層形成ユニット、ジルコニウムオ
キサイド層形成ユニット、ジルコニウムシリケイト層形
成ユニット、ハフニウムオキサイド層形成ユニット、ハ
フニウムシリケイト層形成ユニット、イットリウムオキ
サイド層形成ユニット、イットリウムシリケイト層形成
ユニット、ランタンオキサイド層形成ユニット、ランタ
ンシリケイト層形成ユニット、酸化膜形成ユニット、窒
化膜形成ユニット、マンガン膜形成ユニット、ニオブ層
形成ユニット、アルミニウム層形成ユニット、モリブデ
ン層形成ユニット、ジルコニウム層形成ユニット、バナ
ジウム層形成ユニット、コバルト層形成ユニット、レニ
ウム層形成ユニット、イリジウム層形成ユニット、白金
層形成ユニット、ルテニウムオキサイド層形成ユニッ
ト、アニール処理ユニット、タングステン層形成ユニッ
トからなる群から選択される処理ユニットと、前記移載
室内に配設され、被処理基体を移載する三基以上の移載
アームとを具備することを特徴とする。
Further, the processing apparatus according to the present invention is adjacent to the base container mounting table on which the base container for accommodating a plurality of substrates to be processed is mounted, and the inside of the base container mounting table is maintained at a predetermined atmospheric pressure. A transfer chamber to be obtained, and arranged around the transfer chamber,
A pre-cleaning processing unit, an alumina layer forming unit, a zirconium oxide layer forming unit, a zirconium silicate layer forming unit, a hafnium oxide layer forming unit, a hafnium silicate layer forming unit, a yttrium oxide layer for treating a substrate to be treated under the predetermined pressure. Forming unit, yttrium silicate layer forming unit, lanthanum oxide layer forming unit, lanthanum silicate layer forming unit, oxide film forming unit, nitride film forming unit, manganese film forming unit, niobium layer forming unit, aluminum layer forming unit, molybdenum layer forming unit , A zirconium layer forming unit, a vanadium layer forming unit, a cobalt layer forming unit, a rhenium layer forming unit, an iridium layer forming unit, a platinum layer forming unit, A processing unit selected from the group consisting of a tenium oxide layer forming unit, an annealing processing unit, and a tungsten layer forming unit, and three or more transfer arms arranged in the transfer chamber for transferring the substrate to be processed. It is characterized by including.

【0011】本発明によれば、移載室の周囲に多数の処
理ユニットを配設したので、処理速度が向上する処理装
置を提供することが可能となる。また、圧力環境の異な
る処理を移載室を介して連続的に行なうことができ、被
処理基体を大気にさらす必要がないので高品質の処理が
できる。
According to the present invention, since a large number of processing units are arranged around the transfer chamber, it is possible to provide a processing apparatus having an improved processing speed. In addition, since treatments with different pressure environments can be continuously performed through the transfer chamber, and it is not necessary to expose the substrate to be treated to the atmosphere, high quality treatment can be performed.

【0012】また、本発明に係る移載装置は、伸縮およ
び旋回可能なアームと、前記アームの先端側に設けら
れ、前記伸縮の方向線に対して非対称の形状の被処理基
体支持部材とを具備することを特徴とする。
Further, the transfer apparatus according to the present invention comprises an arm that can be expanded and contracted and swung, and a substrate support member to be processed which is provided on the tip side of the arm and is asymmetric with respect to the expansion and contraction direction line. It is characterized by having.

【0013】本発明によれば、上記の処理装置に用いて
移載装置間の被処理基体の移載を直接に行なうことがで
き、処理装置としての処理効率向上に寄与する。
According to the present invention, the substrate to be processed can be directly transferred between the transfer devices by using the above processing device, which contributes to the improvement of the processing efficiency of the processing device.

【0014】また、本発明に係る移載方法は、それぞれ
伸縮および旋回が可能で隣接して配置された2つの移載
アームであって前記移載アームそれぞれは他方の移載ア
ームに向かう方向に凹凸が存在し得る被処理基体支持部
材を有する前記移載アーム間での被処理基体の移載方法
であって、一方の前記移載アームの前記被処理基体支持
部材を他方の前記移載アームの前記旋回のほぼ中心に向
けて伸張した状態におき、かつ、前記移載アームそれぞ
れの前記被処理基体支持部材の前記凹凸が互い違いに位
置するように、他方の前記移載アームの前記被処理基体
支持部材を一方の前記移載アームの前記旋回の中心から
外した方向に向かって伸張した状態におくステップと、
前記状態において被処理基体を前記移載アーム間で移載
するステップとを具備することを特徴とする。
In the transfer method according to the present invention, there are two transfer arms which are respectively extendable and retractable and arranged adjacent to each other, and each transfer arm is directed toward the other transfer arm. A method of transferring a substrate to be processed between the transfer arms having a substrate supporting member to be processed that may have unevenness, wherein the substrate supporting member to be processed of one of the transfer arms is the other transfer arm. Of the transfer arm of the other transfer arm so that the protrusions and recesses of the base support member of the transfer arm are alternately arranged so that the protrusions and recesses of the other transfer arm are extended toward substantially the center of the rotation. Placing the substrate support member in a state of being extended in a direction away from the center of rotation of the one transfer arm,
In the above state, the substrate to be processed is transferred between the transfer arms.

【0015】また、本発明に係る移載方法は、それぞれ
伸縮および旋回が可能で隣接して配置された2つの移載
アームであって前記移載アームそれぞれは他方の移載ア
ームに向かう方向に凹凸が存在し得る被処理基体支持部
材を有する前記移載アーム間での被処理基体の移載方法
であって、前記移載アームそれぞれの前記被処理基体支
持部材の前記凹凸が互い違いに位置するように、一方の
前記移載アームの前記被処理基体支持部材を他方の前記
移載アームの前記旋回の中心から外した方向に向かって
伸張した状態におき、かつ、他方の前記移載アームの前
記被処理基体支持部材を一方の前記移載アームの前記旋
回の中心から外した方向に向かって伸張した状態におく
ステップと、前記状態において被処理基体を前記移載ア
ーム間で移載するステップとを具備することを特徴とす
る。
Further, the transfer method according to the present invention includes two transfer arms which are respectively extendable and retractable and arranged adjacent to each other, and each transfer arm is directed toward the other transfer arm. A method of transferring a substrate to be processed between the transfer arms having a substrate support member to be processed that may have irregularities, wherein the irregularities of the substrate support member to be processed of each of the transfer arms are positioned alternately. As described above, the processed substrate supporting member of one of the transfer arms is set in a state of being extended in a direction deviated from the center of the rotation of the other transfer arm, and the transfer arm of the other transfer arm is Placing the substrate support member in a state of being extended in a direction away from the center of rotation of one of the transfer arms; and transferring the substrate to be processed between the transfer arms in the state. Characterized by comprising a step.

【0016】本発明によれば、移載装置間の被処理基体
の移載を直接に行なうことができ、処理装置としての処
理効率向上に寄与する。
According to the present invention, the substrate to be processed can be directly transferred between the transfer devices, which contributes to the improvement of the processing efficiency of the processing device.

【0017】なお、本願では、特に断りのない「処理ユ
ニット」には、被処理基板に対して何らかの物理的、化
学的変化をもたらす作用を発揮するもののみならず、例
えば、被処理基体の膜質、膜厚、パーティクルなどの各
種寸法計測や検査を行うものも含む。
In the present application, the "processing unit" not specifically mentioned is not only one that exerts an action of causing some physical or chemical change to the substrate to be processed, but also, for example, the film quality of the substrate to be processed. Including those that measure and inspect various dimensions such as film thickness and particles.

【0018】[0018]

【発明の実施の形態】本発明の実施態様として、前記第
1または第2の処理ユニット群は、超臨界洗浄ユニッ
ト、リアクティブイオンエッチユニット、および、スパ
ッタエッチングユニットからなる群から選択される一ま
たは二以上の処理ユニットからなる。
BEST MODE FOR CARRYING OUT THE INVENTION As an embodiment of the present invention, the first or second processing unit group is selected from the group consisting of a supercritical cleaning unit, a reactive ion etching unit, and a sputter etching unit. Or it consists of two or more processing units.

【0019】また、実施態様として、前記第1または第
2の処理ユニット群は、チタン層形成ユニット、窒化チ
タン層形成ユニット、タングステン層形成ユニット、タ
ンタル層形成ユニット、窒化タンタル層形成ユニット、
窒化タングステン層形成ユニット、銅層形成ユニット、
アルミナ層形成ユニット、ジルコニウムオキサイド層形
成ユニット、ジルコニウムシリケイト層形成ユニット、
ハフニウムオキサイド層形成ユニット、ハフニウムシリ
ケイト層形成ユニット、イットリウムオキサイド層形成
ユニット、イットリウムシリケイト層形成ユニット、ラ
ンタンオキサイド層形成ユニット、ランタンシリケイト
層形成ユニット、酸化膜形成ユニット、窒化膜形成ユニ
ット、マンガン膜形成ユニット、ニオブ層形成ユニッ
ト、アルミニウム層形成ユニット、モリブデン層形成ユ
ニット、ジルコニウム層形成ユニット、バナジウム層形
成ユニット、コバルト層形成ユニット、レニウム層形成
ユニット、イリジウム層形成ユニット、白金層形成ユニ
ット、ルテニウムオキサイド層形成ユニット、および、
アニール処理ユニットからなる群から選択される一また
は二以上のユニットである。
Further, as an embodiment, the first or second processing unit group includes a titanium layer forming unit, a titanium nitride layer forming unit, a tungsten layer forming unit, a tantalum layer forming unit, a tantalum nitride layer forming unit,
Tungsten nitride layer forming unit, copper layer forming unit,
Alumina layer forming unit, zirconium oxide layer forming unit, zirconium silicate layer forming unit,
Hafnium oxide layer forming unit, Hafnium silicate layer forming unit, Yttrium oxide layer forming unit, Yttrium silicate layer forming unit, Lanthanum oxide layer forming unit, Lanthanum silicate layer forming unit, Oxide film forming unit, Nitride film forming unit, Manganese film forming unit , Niobium layer forming unit, aluminum layer forming unit, molybdenum layer forming unit, zirconium layer forming unit, vanadium layer forming unit, cobalt layer forming unit, rhenium layer forming unit, iridium layer forming unit, platinum layer forming unit, ruthenium oxide layer forming Unit and
It is one or more units selected from the group consisting of annealing units.

【0020】また、実施態様として、前記第1の気圧と
前記第2の気圧とは異なる気圧である。
Further, as an embodiment, the first atmospheric pressure and the second atmospheric pressure are different from each other.

【0021】また、実施態様として、前記第1または第
2の処理ユニット群は、チタン層形成ユニットと、窒化
チタン層形成ユニット、窒化タンタル層形成ユニット、
および窒化タングステン層形成ユニットからなる群から
選択される一のユニットとを有し、前記チタン層形成ユ
ニットの数と、窒化チタン層形成ユニット、窒化タンタ
ル層形成ユニット、および窒化タングステン層形成ユニ
ットからなる前記群から選択される一の前記ユニットの
数との比率が、1:1,1:2,2:3,または1:3
である。
As an embodiment, the first or second processing unit group includes a titanium layer forming unit, a titanium nitride layer forming unit, a tantalum nitride layer forming unit,
And a unit selected from the group consisting of a tungsten nitride layer forming unit, the number of the titanium layer forming units, and a titanium nitride layer forming unit, a tantalum nitride layer forming unit, and a tungsten nitride layer forming unit. The ratio to the number of one unit selected from the group is 1: 1, 1: 2, 2: 3, or 1: 3.
Is.

【0022】また、実施態様として、前記第1または第
2の処理ユニット群は、タンタル層形成ユニットと、窒
化タンタル層形成ユニット、窒化チタン層形成ユニッ
ト、および窒化タングステン層形成ユニットからなる群
から選択される一のユニットとを有し、前記タンタル層
形成ユニットの数と、窒化タンタル層形成ユニット、窒
化チタン層形成ユニット、および窒化タングステン層形
成ユニットからなる前記群から選択される一の前記ユニ
ットの数との比率が、1:1,1:2,1:3,2:
3,2:1,3:2,または、3:1である。
As an embodiment, the first or second processing unit group is selected from the group consisting of a tantalum layer forming unit, a tantalum nitride layer forming unit, a titanium nitride layer forming unit, and a tungsten nitride layer forming unit. And one unit selected from the group consisting of the tantalum layer forming unit, the tantalum nitride layer forming unit, the titanium nitride layer forming unit, and the tungsten nitride layer forming unit. The ratio with the number is 1: 1, 1: 2, 1: 3, 2:
It is 3,2: 1, 3: 2, or 3: 1.

【0023】また、実施態様として、前記第2の移載室
の周囲に配設され、処理後の被処理基体を直接搬出する
ための被処理基体搬出部をさらに具備する。
Further, as an embodiment, the apparatus further comprises a processed substrate unloading section which is arranged around the second transfer chamber and which directly carries out the processed substrate after processing.

【0024】また、実施態様として、前記第1の移載ア
ームおよび/または前記第2の移載アームは、フロッグ
レッグ形状を有する。
Further, as an embodiment, the first transfer arm and / or the second transfer arm has a frog leg shape.

【0025】また、実施態様として、前記第1の移載室
と前記基体容器載置台とを連結する第1のロードロック
室と、前記第1の移載室と前記第2の移載室とを連結す
る第2のロードロック室とをさらに具備する。
Further, as an embodiment, a first load lock chamber connecting the first transfer chamber and the substrate container mounting table, the first transfer chamber and the second transfer chamber. And a second load lock chamber for connecting the above.

【0026】また、実施態様として、前記第1のロード
ロック室および/または前記第2のロードロック室は、
被処理基体の検査モジュールを兼ねる。
As an embodiment, the first load lock chamber and / or the second load lock chamber are
Also serves as an inspection module for the substrate to be processed.

【0027】また、実施態様として、前記第1の処理ユ
ニット群または前記第2の処理ユニット群に含まれる処
理ユニットのうち少なくとも一つの処理ユニットが、被
処理基体の検査モジュールである。
Further, as an embodiment, at least one of the processing units included in the first processing unit group or the second processing unit group is an inspection module for a substrate to be processed.

【0028】また、実施態様として、前記移載室は、前
記移載アームのうち少なくとも二基のものがアクセス可
能な複数の被処理基体中継所を有する。
Further, as an embodiment, the transfer chamber has a plurality of processing substrate relay stations to which at least two of the transfer arms are accessible.

【0029】また、実施態様として、前記ユニットの少
なくとも一つが他の前記ユニットに対して上下方向に配
設されている。
As an embodiment, at least one of the units is vertically arranged with respect to the other units.

【0030】また、実施態様として、前記第1の処理ユ
ニット群または前記第2の処理ユニット群に属する処理
ユニットの少なくとも一は、台面を有し前記台面に被処
理基体を載置可能な載置台と、前記載置台の前記台面上
に出没可能に設けられた被処理基体載置・除去用の複数
の支持ピンとを有し、前記複数の支持ピンの中心位置
は、前記載置台の中心位置からずれている。
Further, as an embodiment, at least one of the processing units belonging to the first processing unit group or the second processing unit group has a table surface, and a processing table on which a substrate to be processed can be mounted. And a plurality of support pins for mounting / removing the substrate to be processed provided so as to be able to project and retract on the table surface of the mounting table, and the center position of the plurality of support pins is from the center position of the mounting table. Deviated.

【0031】また、実施態様として、前記第1の移載ア
ームおよび/または前記第2の移載アームは、伸縮およ
び旋回が可能であり、かつ、前記第1の処理ユニット群
および前記第2の処理ユニット群に属する処理ユニット
のうち最も近い位置に配置されるものの正面に対して前
記旋回の中心がずれている。
Further, as an embodiment, the first transfer arm and / or the second transfer arm are capable of expanding and contracting and rotating, and the first processing unit group and the second processing unit group. The center of the turning is deviated from the front surface of the processing unit that is arranged at the closest position among the processing units belonging to the processing unit group.

【0032】また、実施態様として、前記第1の移載ア
ームおよび/または前記第2の移載アームは、伸縮およ
び旋回が可能であり、かつ、前記伸縮の方向線に対して
非対称の形状の被処理基体支持部材を有する。
Further, as an embodiment, the first transfer arm and / or the second transfer arm are extendable and retractable, and have a shape asymmetric with respect to the direction of extension and contraction. It has a substrate support member to be treated.

【0033】また、実施態様として、前記第1の処理ユ
ニット群または前記第2の処理ユニット群に属する処理
ユニットの少なくとも一は、台面を有し前記台面に被処
理基体を載置可能な載置台と、前記載置台の前記台面上
に出没可能に設けられた被処理基体載置・除去用の複数
の支持ピンとを有し、前記複数の支持ピンは、前記非対
称の形状の被処理基体支持部材に応じて被処理基体支持
部材に干渉しないように前記載置台に配置される。
Further, as an embodiment, at least one of the processing units belonging to the first processing unit group or the second processing unit group has a table surface, and a mounting table capable of mounting a substrate to be processed on the table surface. And a plurality of support pins for mounting / removing the substrate to be processed, which are provided on the table surface of the mounting table so as to be retractable, and the plurality of support pins have the asymmetrically shaped substrate support member for processing. Accordingly, it is arranged on the mounting table so as not to interfere with the substrate support member to be treated.

【0034】また、実施態様として、前記複数の支持ピ
ンは、その中心位置が前記載置台の中心位置からずれて
いる。
Further, as an embodiment, the center positions of the plurality of support pins are displaced from the center position of the mounting table.

【0035】また、実施態様として、前記第1の移載ア
ームおよび/または前記第2の移載アームの前記被処理
基体支持部材は、すべてほぼ同一の形状である。
Further, as an embodiment, all the base members to be processed of the first transfer arm and / or the second transfer arm have substantially the same shape.

【0036】また、実施態様として、前記移載装置は、
伸縮および旋回可能な第2のアームと、前記第2のアー
ムの先端側に設けられた第2の被処理基体支持部材とを
有する移載機構をさらに具備し、前記移載機構の前記第
2のアームの旋回の中心位置および前記アームの旋回の
中心位置は、ともに固定されている。
Further, as an embodiment, the transfer device is
The transfer mechanism further includes a second arm that is extendable and retractable and rotatable, and a second substrate support member that is provided on a tip end side of the second arm. The center position of rotation of the arm and the center position of rotation of the arm are both fixed.

【0037】また、実施態様として、前記移載装置は、
前記アームおよび前記第2のアームの前記伸縮により被
処理基体が直接受け渡し可能なように前記アームおよび
前記第2のアームの前記旋回の中心が配置されている。
As an embodiment, the transfer device is
The center of rotation of the arm and the second arm is arranged so that the substrate to be processed can be directly transferred by the expansion and contraction of the arm and the second arm.

【0038】以下では本発明の実施の形態について図面
を参照しながら説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0039】(第1の実施形態)図1は本実施形態に係
る処理装置1の平面図である。図1に示すように、本実
施形態に係る処理装置1では、ウエハWを複数枚、例え
ば25枚収納できる、容器としてのキャリアカセットC
を載置するための基体容器載置台10と、その奥側に配
設された第1の移載室30と、さらに第1の移載室30
の奧側に第2の移載室50が配設されている。
(First Embodiment) FIG. 1 is a plan view of a processing apparatus 1 according to this embodiment. As shown in FIG. 1, in the processing apparatus 1 according to the present embodiment, a carrier cassette C as a container capable of storing a plurality of wafers W, for example, 25 wafers.
The base container mounting table 10 for mounting the substrate, the first transfer chamber 30 disposed on the back side thereof, and the first transfer chamber 30.
The second transfer chamber 50 is provided on the inner side of the.

【0040】第1の移載室30の周囲には第1の処理ユ
ニット群60,70が配設され、第2の移載室50の周
囲には第2の処理ユニット群80,90,100,11
0,120,130,140,および150が配設され
ている。前記第1の移載室30と載置台10とは第1の
ロードロック室20,20を介して連結されており、第
1の移載室30と第2の移載室50とは第2のロードロ
ック室40,40を介して連結されている。
A first processing unit group 60, 70 is arranged around the first transfer chamber 30, and a second processing unit group 80, 90, 100 is arranged around the second transfer chamber 50. , 11
0, 120, 130, 140, and 150 are arranged. The first transfer chamber 30 and the mounting table 10 are connected via the first load lock chambers 20 and 20, and the first transfer chamber 30 and the second transfer chamber 50 are connected to each other. The load lock chambers 40, 40 are connected to each other.

【0041】載置台10の上にはキャリアカセットCを
複数個、例えば4個セットできるようになっており、載
置台10と第1のロードロック室20,20との間には
サブアーム21が配設され、キャリアカセットCと第1
のロードロック室20,20との間でウエハWの搬出入
をするようになっている。
A plurality of carrier cassettes C, for example, four carrier cassettes C can be set on the mounting table 10, and a sub-arm 21 is arranged between the mounting table 10 and the first load lock chambers 20, 20. Installed with the carrier cassette C and the first
The wafer W is loaded into and unloaded from the load lock chambers 20 and 20.

【0042】第1の移載室30の中央付近には「フロッ
グレッグアーム」と呼ばれるフロッグレッグ形状を備え
た移載アーム31が配設されている。ここで、「フロッ
グレッグ形状」の移載アームとは、全体として5辺から
なり、中央の1辺を関節で接続された2本のアームの2
組で支持した形状を有する移載アームをいい、上記2本
のアームが、向かい合う2本のアームとともに伸縮、回
転(旋回)を行なう(後述する図9各図、図10各図参
照)。なお、フロッグレッグアームは、図1に示すよう
に移載アーム31ひとつにつき、背中合わせに2つ設け
ることができる。その場合には、各フロッグレッグアー
ムに用いられる上記2本のアームにうち一方はそれらの
フロッグレッグアームで兼用することができる。
Near the center of the first transfer chamber 30, a transfer arm 31 having a frog leg shape called a "frog leg arm" is arranged. Here, the "frog leg-shaped" transfer arm is composed of 5 sides as a whole, and is composed of 2 arms that are jointed on one side at the center.
It refers to a transfer arm having a shape supported by a set, and the two arms perform expansion / contraction and rotation (turning) together with the two facing arms (see FIGS. 9 and 10 below). As shown in FIG. 1, two frog leg arms can be provided back to back for each transfer arm 31. In that case, one of the two arms used for each frog leg arm can be used as the frog leg arm.

【0043】本実施形態において、この移載アーム31
は、上記中央の一辺に相当する位置に配設されたウエハ
保持部材31a,31bを直線運動または旋回運動させ
る直線・旋回運動機構を構成する。なお、この移載アー
ムの上記中央の一辺にはウエハ保持部材が一つに限らず
二つ配設されていてもよく、保持部を二つ配設する場合
には、この二つの保持部を例えば180度背中合わせに
配設し、専用の駆動モータをつけておき、反転自在な構
造のものを用いることができる。
In this embodiment, this transfer arm 31
Constitutes a linear / rotational movement mechanism for linearly or rotationally moving the wafer holding members 31a, 31b arranged at a position corresponding to one side of the center. It should be noted that the number of wafer holding members is not limited to one and may be two on one side of the center of the transfer arm. When two holding portions are arranged, the two holding portions are not required. For example, it is possible to use a reversible structure in which they are arranged 180 degrees back to back and a dedicated drive motor is attached.

【0044】本実施形態の処理装置1では、第1の移載
室30の周囲の第1の処理ユニット群として、予備洗浄
ユニット60および70が配設されており、第2の移載
室50の周囲の第2の処理ユニット群としては、手前側
から奥側に向って移載室50の左右両側に1基ずつ、計
2基のCVDユニット80および90、同じく移載室5
0の左右両側に2基ずつ、計4基のALDユニット10
0,110,120および130がそれぞれ配設され、
一番奥に2基のCVDユニット140および150が配
設されている。予備洗浄ユニットの例としては、超臨界
流体を利用した超臨界洗浄ユニットのほか、反応性ガス
により洗浄するリアクティブイオンエッチユニット、ス
パッタリングエッチングにより物理的に洗浄するスパッ
タエッチングユニットなどの既知の洗浄ユニットを挙げ
ることができる。
In the processing apparatus 1 of this embodiment, the preliminary cleaning units 60 and 70 are provided as a first processing unit group around the first transfer chamber 30, and the second transfer chamber 50 is provided. As the second processing unit group in the periphery of, one CVD unit 80 and 90 in total are provided on the left and right sides of the transfer chamber 50 from the front side to the back side.
4 ALD units, 2 on each side of 0
0, 110, 120 and 130 are respectively arranged,
Two CVD units 140 and 150 are arranged at the innermost position. Examples of the preliminary cleaning unit include a supercritical cleaning unit that uses a supercritical fluid, a known cleaning unit such as a reactive ion etching unit that cleans with a reactive gas, and a sputter etching unit that physically cleans by sputtering etching. Can be mentioned.

【0045】第2の移載室50内部には複数の第2の移
載アーム41,42,43が配設されており、これら第
2の移載アーム41〜43同士の間ではウエハWを直接
受け渡ししてもよく、あるいは、第2の移載室50内に
配設された一または二以上の移載部(図示せず:移載ア
ームのうち少なくとも二基のものがアクセス可能な被処
理基体中継所)を経由してウエハWの受け渡しをしても
よい。
Inside the second transfer chamber 50, a plurality of second transfer arms 41, 42, 43 are arranged, and the wafer W is held between these second transfer arms 41-43. It may be delivered directly, or one or more transfer parts (not shown: at least two transfer arms of the transfer arms) arranged in the second transfer chamber 50 can be accessed. The wafer W may be delivered via a processing substrate relay station).

【0046】第2の処理ユニット群のうち、最も手前側
に配設されている2基のCVDユニット80および90
はチタン(Ti)層形成用のCVDユニットであり、そ
の奥に配設された4基のALDユニット100〜130
は窒化チタン(TiN)層形成用のALDユニットで
り、一番奥に配設されたCVDユニット140および1
50はタングステン(W)層形成用のCVDユニットで
ある。ALDとは、Atomic Layer Dep
ositionの略である。チタン層や窒化チタン層、
タングステン層の形成には、スパッタリングユニットを
用いることもできる。
Of the second processing unit group, the two CVD units 80 and 90 arranged closest to each other.
Is a CVD unit for forming a titanium (Ti) layer, and four ALD units 100 to 130 arranged behind the CVD unit.
Is an ALD unit for forming a titanium nitride (TiN) layer, and the CVD units 140 and
Reference numeral 50 is a CVD unit for forming a tungsten (W) layer. ALD is Atomic Layer Dep
Abbreviation of position. Titanium layer or titanium nitride layer,
A sputtering unit can also be used for forming the tungsten layer.

【0047】さらに、処理ユニット群の一部として検査
モジュール、例えば、膜質、膜厚、パーティクル、寸法
計測の各々または複数の検査を行うモジュールを搭載す
ることもできる。また、これらの検査を行なう機構を内
蔵した処理ユニットを搭載させることも可能である。ま
た、ロードロック室20または40内に上記検査モジュ
ールを内蔵させることもできる。
Further, as a part of the processing unit group, an inspection module, for example, a module for inspecting each of film quality, film thickness, particles, dimension measurement or a plurality of inspections can be mounted. It is also possible to mount a processing unit having a built-in mechanism for performing these inspections. Further, the inspection module may be built in the load lock chamber 20 or 40.

【0048】また、第2の処理ユニット群のうちの一部
の処理ユニットに代えてロードロック室を設け、このロ
ードロック室に接続して基体容器載置台10を含む基体
の搬出手段を設けるようにしてもよい。これによれば、
処理後の被処理基体を移載室50から直接に搬出するこ
とができる。
Further, a load lock chamber is provided in place of a part of the processing units of the second processing unit group, and a substrate unloading means including the substrate container mounting table 10 is provided in connection with the load lock chamber. You may According to this
The processed substrate can be directly carried out from the transfer chamber 50.

【0049】図2は本実施形態に係る予備洗浄ユニット
60の垂直断面図である。本実施形態に係る予備洗浄ユ
ニット60は、超臨界状態の二酸化炭素を用いてウエハ
Wなどの被処理基体の表面を洗浄する装置である。この
予備洗浄ユニット60では密閉可能な箱型の処理室60
1内に超臨界状態の二酸化炭素を導入するための導入部
603が配設されている。二酸化炭素は二酸化炭素源6
04から導入され、コンプレッサ607により加圧され
るとともに、熱交換器608により加熱され、超臨界状
態となり、導入部603を介して処理室601内に導入
される。この超臨界に達した二酸化炭素により、サセプ
タ602上に載置されたウエハW表面が洗浄される。処
理室601に導入された二酸化炭素は洗浄反応後の副生
成物とともに排気口606により排出される。
FIG. 2 is a vertical sectional view of the preliminary cleaning unit 60 according to this embodiment. The pre-cleaning unit 60 according to the present embodiment is a device that cleans the surface of a substrate to be processed such as the wafer W using carbon dioxide in a supercritical state. In this preliminary cleaning unit 60, a box-shaped processing chamber 60 that can be sealed
An introduction part 603 for introducing carbon dioxide in a supercritical state is provided in the inside of the unit 1. Carbon dioxide is a carbon dioxide source 6
04, pressurized by the compressor 607, heated by the heat exchanger 608, brought into a supercritical state, and introduced into the processing chamber 601 through the introduction unit 603. The surface of the wafer W placed on the susceptor 602 is cleaned by the carbon dioxide that has reached the supercritical state. The carbon dioxide introduced into the processing chamber 601 is discharged from the exhaust port 606 together with the by-product after the cleaning reaction.

【0050】図2中、サセプタ602の右側の処理室6
01壁面にはウエハWを出し入れするための開口部60
1aが設けられており、この開口部601aの開閉はゲ
ートバルブ605を図中上下に移動させて行なう。図2
中、ゲートバルブ605のさらに右側にはウエハWを搬
送する第1の移載アーム(図示省略)が隣設されてお
り、第1の移載アームが開口部601aを介して処理室
601内に出入りしてサセプタ602上にウエハWを載
置したり、処理後のウエハWを処理室601から搬出す
るようになっている。
In FIG. 2, the processing chamber 6 on the right side of the susceptor 602.
01 An opening 60 for loading and unloading the wafer W on the wall surface
1a is provided, and opening / closing of the opening 601a is performed by moving the gate valve 605 up and down in the figure. Figure 2
A first transfer arm (not shown) for transferring the wafer W is adjacently provided on the further right side of the gate valve 605, and the first transfer arm enters the processing chamber 601 through the opening 601a. The wafer W is loaded and unloaded and placed on the susceptor 602, and the processed wafer W is unloaded from the processing chamber 601.

【0051】図3は本実施形態に係るCVDユニット8
0を模式的に示した垂直断面図である。図3に示すよう
に、CVDユニット80の処理室801は例えばアルミ
ニウム等により気密可能な構造に形成されている。処理
室801は図示しない加熱機構や冷却機構を備えてい
る。処理室801には上部中央にガスを導入するガス導
入管802が接続され、処理室801内とガス導入管8
02とが連通されている。また、ガス導入管802はガ
ス供給源803に接続されている。そして、ガス供給源
803からガス導入管802にガスが供給され、ガス導
入管802を介して処理室801内にガスが導入されて
いる。このガスには、薄膜形成の原料となる各種のガス
が用いられ、必要な場合には不活性ガスがキャリアガス
として用いられている。
FIG. 3 shows the CVD unit 8 according to this embodiment.
It is the vertical cross section which showed 0 typically. As shown in FIG. 3, the processing chamber 801 of the CVD unit 80 is formed in an airtight structure, for example, of aluminum. The processing chamber 801 includes a heating mechanism and a cooling mechanism (not shown). A gas introduction pipe 802 for introducing a gas is connected to the center of the upper portion of the processing chamber 801, and the inside of the processing chamber 801 and the gas introduction pipe 8
02 is communicated with. Further, the gas introduction pipe 802 is connected to the gas supply source 803. Then, the gas is supplied from the gas supply source 803 to the gas introduction pipe 802, and the gas is introduced into the processing chamber 801 through the gas introduction pipe 802. As this gas, various gases which are raw materials for forming a thin film are used, and an inert gas is used as a carrier gas when necessary.

【0052】処理室801の下部には、処理室801内
のガスを排気するガス排気管804が接続され、ガス排
気管804は真空ポンプ等からなる図示しない排気手段
に接続されている。そしてこの排気手段により処理室8
01内のガスがガス排気管804から排気され、処理室
801内が所望の圧力に設定されている。また処理室8
01の下部には、ウエハWを載置するサセプタ805が
配置されている。本実施の形態では、ウエハWとほぼ同
径大の図示しない静電チャックによりウエハWがサセプ
タ805上に載置されている。このサセプタ805には
図示しない熱源手段が内設されており、サセプタ805
上に載置されたウエハWの処理面を所望の温度に調整で
きるようになっている。
A gas exhaust pipe 804 for exhausting the gas in the process chamber 801 is connected to the lower portion of the process chamber 801, and the gas exhaust pipe 804 is connected to an exhaust means (not shown) such as a vacuum pump. And the processing chamber 8 is provided by this exhaust means.
The gas inside 01 is exhausted from the gas exhaust pipe 804, and the inside of the processing chamber 801 is set to a desired pressure. The processing room 8
A susceptor 805 on which the wafer W is placed is arranged under the 01. In the present embodiment, the wafer W is placed on the susceptor 805 by an electrostatic chuck (not shown) having a diameter substantially the same as that of the wafer W. The susceptor 805 has a heat source means (not shown) internally provided.
The processing surface of the wafer W placed on it can be adjusted to a desired temperature.

【0053】図3中、サセプタ805の右側の処理室8
01壁面にはウエハWを出し入れするための開口部80
1aが設けられており、この開口部801aの開閉はゲ
ートバルブ806を図中上下に移動させて行なう。図3
中、ゲートバルブ806のさらに右側にはウエハWを搬
送する第2の移載アーム(図示省略)が隣設されてお
り、第2の移載アームが開口部801aを介して処理室
801内に出入りしてサセプタ805上にウエハWを載
置したり、処理後のウエハWを処理室801から搬出す
るようになっている。サセプタ805の上方にはシャワ
ー部材としてのシャワーヘッド807が配設されてい
る。このシャワーヘッド807はサセプタ805とガス
導入管802との間の空間を区画するように形成されて
おり、例えばアルミニウム等から作られている。
In FIG. 3, the processing chamber 8 on the right side of the susceptor 805.
01 Opening 80 for loading and unloading the wafer W on the wall surface
1a is provided, and opening / closing of the opening 801a is performed by moving the gate valve 806 up and down in the figure. Figure 3
A second transfer arm (not shown) for transferring the wafer W is adjacently provided on the further right side of the gate valve 806, and the second transfer arm enters the processing chamber 801 through the opening 801a. The wafer W is loaded and unloaded and placed on the susceptor 805, and the processed wafer W is unloaded from the processing chamber 801. A shower head 807 as a shower member is arranged above the susceptor 805. The shower head 807 is formed so as to define a space between the susceptor 805 and the gas introduction pipe 802, and is made of, for example, aluminum.

【0054】シャワーヘッド807は、その上部中央に
ガス導入管802のガス出口802aが位置するように
形成され、処理室801内に導入されたガスがそのまま
処理室801内に配設されたシャワーヘッド807内に
導入されている。
The shower head 807 is formed such that the gas outlet 802a of the gas introduction pipe 802 is located at the center of the upper portion of the shower head 807, and the gas introduced into the processing chamber 801 is directly disposed in the processing chamber 801. It is installed in 807.

【0055】上記は、CVD反応の励起エネルギーとし
て、熱を用いる例を説明したが、プラズマ放電を励起エ
ネルギーとして用いてもよい。
In the above, the example in which heat is used as the excitation energy of the CVD reaction has been described, but plasma discharge may be used as the excitation energy.

【0056】図4は本実施形態に係るALDユニット1
00を模式的に示した垂直断面図である。ALDユニッ
ト100の構造は上記CVDユニットからシャワーヘッ
ドを除去し、チャンバー容積を小さくしたこと以外は上
記CVDユニットとほぼ同じ構造であり、処理時に処理
室内に導入する処理ガスをパルス状に導入し、排気する
ことを繰り返す点が最大の相違点である。処理ガスをパ
ルス状に導入し、排気する操作を繰り返すことにより、
成膜を一層ずつ原子レベルで行うことができる。
FIG. 4 shows the ALD unit 1 according to this embodiment.
It is a vertical cross-sectional view schematically showing 00. The structure of the ALD unit 100 is almost the same as that of the above-mentioned CVD unit except that the shower head is removed from the above-mentioned CVD unit and the chamber volume is made small, and the processing gas introduced into the processing chamber at the time of processing is introduced in a pulse shape, The biggest difference is that exhausting is repeated. By introducing the processing gas in a pulse shape and repeating the operation of exhausting it,
Deposition can be done layer by layer at the atomic level.

【0057】次に本実施形態に係る処理装置1を用いて
半導体装置を製造する際の工程について説明する。図5
は本実施形態に係る処理装置1を用いて半導体装置を製
造するときのフローチャートであり、図6(a)〜図6
(e)は製造途中の半導体装置の状態を示した垂直断面
図である。
Next, steps for manufacturing a semiconductor device using the processing apparatus 1 according to this embodiment will be described. Figure 5
6 is a flowchart when manufacturing a semiconductor device using the processing apparatus 1 according to the present embodiment, and FIG.
(E) is a vertical cross-sectional view showing a state of the semiconductor device during manufacturing.

【0058】まず、載置台10の上に25枚の未処理の
ウエハWを収納したキャリアカセットCをセットして処
理装置1を起動すると、サブアーム21がキャリアカセ
ットC内にアクセスして未処理のウエハWを取り出し、
第1のロードロック室20内に載置して載置台10側の
ゲートバルブ(図示省略)を閉じる。次いで第1のロー
ドロック室20内の気圧を第1の移載室30とほぼ同じ
気圧にまで上昇させ、第1のロードロック室20の移載
室側のゲートバルブ(図示省略)を開ける。この第1の
ロードロック室20内に第1の移載アーム31がアクセ
スして第1のロードロック室20内のウエハWを取り出
し、予備洗浄ユニット(超臨界洗浄ユニット)60内に
搬入する。予備洗浄前のウエハWは図6(a)に示した
ように、下地11上面に絶縁層12、例えばSiO
が所定のパターン状に形成されており、この絶縁層12
が形成されたウエハW全面が自然酸化膜13で覆われて
いる。
First, when the carrier cassette C accommodating 25 unprocessed wafers W is set on the mounting table 10 and the processing apparatus 1 is started, the sub-arm 21 accesses the carrier cassette C and the unprocessed wafers are processed. Take out the wafer W,
It is placed in the first load lock chamber 20 and the gate valve (not shown) on the placing table 10 side is closed. Next, the atmospheric pressure in the first load lock chamber 20 is raised to almost the same atmospheric pressure as that of the first transfer chamber 30, and the gate valve (not shown) on the transfer chamber side of the first load lock chamber 20 is opened. The first transfer arm 31 accesses the first load lock chamber 20 to take out the wafer W from the first load lock chamber 20 and carry it into the preliminary cleaning unit (supercritical cleaning unit) 60. As shown in FIG. 6A, the wafer W before the preliminary cleaning has an insulating layer 12, for example, a SiO 2 layer formed in a predetermined pattern on the upper surface of the underlayer 11.
The entire surface of the wafer W on which is formed is covered with the natural oxide film 13.

【0059】予備洗浄ユニット60のゲートバルブ60
5を閉じて密閉し、加熱、加圧し所定状態に到達したら
超臨界状態の二酸化炭素(CO)を供給する。
Gate valve 60 of the preliminary cleaning unit 60
5 is closed and sealed, heated and pressurized, and when a predetermined state is reached, carbon dioxide (CO 2 ) in a supercritical state is supplied.

【0060】超臨界状態に達した二酸化炭素の溶解作用
により、ウエハW全面を覆っていた自然酸化膜13が除
去される(図6(b))。このとき絶縁層12上の開口
部12aを介してウエハW表面に露出した下地11の表
面11aには酸化膜が残留している。
The natural oxide film 13 covering the entire surface of the wafer W is removed by the dissolving action of carbon dioxide that has reached the supercritical state (FIG. 6B). At this time, the oxide film remains on the surface 11a of the underlayer 11 exposed on the surface of the wafer W through the opening 12a on the insulating layer 12.

【0061】次に予備洗浄ユニット60内への二酸化炭
素の供給を停止して第1の移載アーム31をアクセスさ
せ、予備洗浄の終了したウエハWを取り出し、第2のロ
ードロック室40の第1の移載室側のゲートバルブ(図
示省略)を開けて第2のロードロック室40内にウエハ
Wを搬入する。この状態で第2のロードロック室40の
ゲートバルブを全て閉じて密閉し、第2のロードロック
室40内の気圧を第2の移載室50と同程度まで低下さ
せる。次いで第2のロードロック室40の第2の移載室
側のゲートバルブを開けて第2の移載アーム41をアク
セスさせて第2のロードロック室40からウエハWを取
り出し、チタン層形成用のCVDユニット80内に搬入
する。この状態でCVDユニット80のゲートバルブ8
06を閉じて密閉し、所定の温度、圧力条件下でウエハ
Wにチタン(Ti)層を形成する(ステップ2)。
Next, the supply of carbon dioxide into the preliminary cleaning unit 60 is stopped, the first transfer arm 31 is accessed, the wafer W for which the preliminary cleaning has been completed is taken out, and the wafer W in the second load lock chamber 40 is removed. The gate valve (not shown) on the transfer chamber No. 1 side is opened, and the wafer W is loaded into the second load lock chamber 40. In this state, all the gate valves of the second load lock chamber 40 are closed and hermetically closed, and the atmospheric pressure in the second load lock chamber 40 is reduced to the same level as that of the second transfer chamber 50. Next, the gate valve on the second transfer chamber side of the second load lock chamber 40 is opened to access the second transfer arm 41, and the wafer W is taken out from the second load lock chamber 40 to form the titanium layer. It is carried into the CVD unit 80 of. In this state, the gate valve 8 of the CVD unit 80
06 is closed and sealed, and a titanium (Ti) layer is formed on the wafer W under predetermined temperature and pressure conditions (step 2).

【0062】このときウエハW表面では、チタン(T
i)の還元作用により、上述したウエハWに露出した下
地11に付着している残留酸化膜が除去され、下地表面
が現れる。さらにこのままチタン(Ti)層形成処理を
続けると、図6(c)に示したように、露出した下地表
面11a上にチタン(Ti)層14が形成され、下地表
面の電気特性が改善される。
At this time, titanium (T
By the reducing action of i), the residual oxide film attached to the underlayer 11 exposed on the wafer W is removed, and the underlayer surface appears. If the titanium (Ti) layer forming process is further continued in this state, as shown in FIG. 6C, the titanium (Ti) layer 14 is formed on the exposed underlying surface 11a, and the electrical characteristics of the underlying surface are improved. .

【0063】所定のチタン(Ti)層14の形成が完了
したら、チタン層形成ガスの供給を停止し、ゲートバル
ブ806を開けてCVDユニット80内に第2の移載ア
ーム41をアクセスさせてウエハWを取り出し、中央の
第2の移載アーム42に引き渡し、さらに奥側に配設さ
れたALDユニット100〜130のいずれか、例えば
ALDユニット100内に搬入する。ここでも前記CV
D処理と同様に所定条件下で処理ガスを供給することに
より、ウエハW最上層のチタン(Ti)層14上に、図
6(d)に示すようにウエハW表面に窒化チタン(Ti
N)層15を形成する(ステップ3)。
When the formation of the predetermined titanium (Ti) layer 14 is completed, the supply of the titanium layer forming gas is stopped, the gate valve 806 is opened, and the second transfer arm 41 is accessed in the CVD unit 80 to access the wafer. W is taken out, handed over to the second transfer arm 42 at the center, and carried into any one of the ALD units 100 to 130 arranged further inside, for example, the ALD unit 100. Again, the CV
By supplying a processing gas under a predetermined condition similarly to the D process, the titanium (Ti) layer 14 on the uppermost titanium (Ti) layer of the wafer W is deposited on the surface of the wafer W as shown in FIG. 6D.
N) Form layer 15 (step 3).

【0064】窒化チタン(TiN)層15の形成が完了
したら、窒化チタン層形成ガスの供給を停止し、ゲート
バルブ1006を開けてALDユニット100内に第2
の移載アーム42をアクセスさせてウエハWを取り出
し、一番奥の第2の移載アーム43に引渡してさらに奥
側に配設されたCVDユニット140または150、例
えばCVDユニット140内に搬入する。ここでも前記
CVD処理と同様に所定条件下で処理ガスを供給するこ
とにより、ウエハW最上層の窒化チタン(TiN)層1
5の表面にタングステン(W)を成膜させて、図6
(e)に示すようにウエハW表面にタングステン(W)
層16を形成する(ステップ4)。
When the formation of the titanium nitride (TiN) layer 15 is completed, the supply of the titanium nitride layer forming gas is stopped, the gate valve 1006 is opened, and the second layer in the ALD unit 100 is opened.
The transfer arm 42 is accessed to take out the wafer W, the wafer W is transferred to the innermost second transfer arm 43, and the wafer W is carried into the CVD unit 140 or 150 disposed further on the inner side, for example, the CVD unit 140. . Here, as in the case of the CVD process, the process gas is supplied under a predetermined condition so that the uppermost titanium nitride (TiN) layer 1 of the wafer W is formed.
6 is formed by depositing tungsten (W) on the surface of FIG.
As shown in (e), tungsten (W) is formed on the surface of the wafer W.
Form layer 16 (step 4).

【0065】こうして自然酸化膜13の除去からタング
ステン(W)層16の形成までの工程が完了したら、第
2の移載アーム43〜41、第2のロードロック室4
0、第1の移載室30、第1のロードロック室20を経
て再びキャリアカセットC内に収容する。
When the steps from the removal of the natural oxide film 13 to the formation of the tungsten (W) layer 16 are completed, the second transfer arms 43 to 41 and the second load lock chamber 4 are completed.
0, the first transfer chamber 30, and the first load-lock chamber 20 and then stored again in the carrier cassette C.

【0066】このように、本実施形態に係る処理装置1
では、処理時の気圧の異なる処理ユニット群をロードロ
ック室を介して連結したので、高圧条件で行なう洗浄処
理から低圧条件で行なう成膜処理まで処理装置1からウ
エハWを一度も取り出すことなく、首尾一貫して連続的
に処理することかできるので、処理速度が高く、製造コ
ストを低減化することができる。
As described above, the processing apparatus 1 according to the present embodiment
Then, since the processing unit groups having different atmospheric pressures at the time of processing are connected through the load lock chamber, it is possible to perform the cleaning process performed under the high pressure condition to the film forming process performed under the low pressure condition without taking out the wafer W from the processing apparatus 1 even once. Since the processing can be performed continuously and consistently, the processing speed is high and the manufacturing cost can be reduced.

【0067】特に本実施形態に係る処理装置1では、第
2の処理ユニット群を構成する8基の処理ユニットのう
ち、窒化チタン(TiN)層形成用のALDユニット
を、100,110,120,および130の4基備え
ているので、CVDユニットより処理速度の遅いALD
ユニットの処理時間が処理時間全体の律速段階となるの
を防止できる。すなわち、複数枚のウエハWを連続処理
する場合において、CVD処理の後にALD処理を行な
う場合、CVD処理の完了したウエハWを2基のALD
ユニットに振り分けて処理することにより、長い処理時
間を必要とするALDユニットが処理の律速となること
を防ぐことができ、第2の処理ユニット群全体での処理
時間を短縮化することができる。
Particularly, in the processing apparatus 1 according to the present embodiment, among the eight processing units forming the second processing unit group, the ALD unit for forming the titanium nitride (TiN) layer is 100, 110, 120, ALD, which has a slower processing speed than the CVD unit because it has four units
The processing time of the unit can be prevented from being the rate-determining step of the entire processing time. That is, in the case where a plurality of wafers W are continuously processed, when the ALD process is performed after the CVD process, the two wafers W that have undergone the CVD process are ALD processed.
By distributing the processing to the units, it is possible to prevent the ALD unit, which requires a long processing time, from being the rate-determining process, and it is possible to shorten the processing time of the entire second processing unit group.

【0068】また、成膜途中で処理装置からウエハWを
取り出す必要がないので、製造途中の膜が酸化したり劣
化することがなく、高品質の膜が得られる。また、処理
装置の面積効率と一連の処理速度とをそれぞれ計算して
従来のものと比較すると、それぞれ面積効率で25%、
処理速度で50%従来の処理装置を用いた場合より省ス
ペース化、短時間化を図ることができると分かった。こ
の結果を図7と図8のグラフに示す。
Further, since it is not necessary to take out the wafer W from the processing apparatus during the film formation, the film during the production is not oxidized or deteriorated, and a high quality film can be obtained. Further, when the area efficiency of the processing apparatus and the series of processing speeds are respectively calculated and compared with the conventional one, the area efficiency is 25%,
It was found that the space saving and the time reduction can be achieved as compared with the case of using the conventional processing device with the processing speed of 50%. The results are shown in the graphs of FIGS. 7 and 8.

【0069】ここで、移載装置としての移載アーム41
(42、43、31)についてさらに説明する。図9
(a)は、移載アーム41の構成例を示す模式的な平面
図である。同図に示すように、移載アーム41は、回転
中心部材401と、回転中心部材401の中心に水平回
転自在に支持されたアーム402、403と、アーム4
02、403の他端に水平回転自在に接続・支持された
アーム404、405と、アーム404、405の他端
両者に点規制され接続されたウエハ支持部材(ウエハ保
持部材)406とを有する。アーム402、403は、
回転中心部材401に支持された部位(以下では、この
部位を単に中心という。)を中心に必要に応じて回転駆
動される。
Here, a transfer arm 41 as a transfer device.
(42, 43, 31) will be further described. Figure 9
FIG. 7A is a schematic plan view showing a configuration example of the transfer arm 41. As shown in the figure, the transfer arm 41 includes a rotation center member 401, arms 402 and 403 supported horizontally about the rotation center member 401, and an arm 4.
02 and 403 have arms 404 and 405 horizontally connected and supported to the other ends, and a wafer support member (wafer holding member) 406 point-regulated and connected to both ends of the arms 404 and 405. The arms 402 and 403 are
If necessary, it is rotationally driven around a portion supported by the rotation center member 401 (hereinafter, this portion is simply referred to as the center).

【0070】なお、アーム402、403の中心より外
側の端部には、もう一組のアーム(アーム404、40
5に相当するアーム)とウエハ支持部材(ウエハ支持部
材406に相当するウエハ支持部材)とを、アーム40
4、405、ウエハ支持部材406と背中合わせに設け
るようにしてもよい(図1においては、この場合が図示
されている)。
At the ends outside the centers of the arms 402 and 403, another pair of arms (arms 404 and 40) is provided.
5) and a wafer supporting member (wafer supporting member corresponding to the wafer supporting member 406) are connected to the arm 40.
4, 405 and the wafer supporting member 406 may be provided back to back (this case is shown in FIG. 1).

【0071】図9(b)は、図9(a)の状態からアー
ム402、403が互いに図上側で近づくように回転し
た場合を示している。これにより、アーム404、40
5は、図示するように中心から突き出され、その端部の
ウエハ支持部材406が中心から放射方向に移動する。
また、図9(c)は、図9(a)の状態からアーム40
2、403が互いに図下側で近づくように回転した場合
を示している。これにより、アーム404、405は、
図示するように中心に近づき、その端部のウエハ支持部
材406も中心に近づく。
FIG. 9B shows a case where the arms 402 and 403 are rotated so as to approach each other on the upper side of the drawing from the state of FIG. 9A. Thereby, the arms 404, 40
5 is projected from the center as shown in the drawing, and the wafer support member 406 at the end thereof is moved in the radial direction from the center.
Further, FIG. 9C shows the arm 40 from the state of FIG. 9A.
2 shows a case in which 2 and 403 rotate so as to approach each other on the lower side of the figure. As a result, the arms 404 and 405 are
As shown in the drawing, the wafer support member 406 at the end of the wafer support member 406 approaches the center and approaches the center.

【0072】以上より、アーム402とアーム403と
が対称的に回転移動すると、ウエハ支持部材406は回
転中心部材401の中心から放射状に直線運動すること
がわかる。
From the above, it is understood that when the arms 402 and 403 are rotationally moved symmetrically, the wafer support member 406 linearly moves radially from the center of the rotation center member 401.

【0073】図10(a)は、図9(a)の状態からア
ーム402、403が同方向(右回転方向)に回転した
場合を一点鎖線で示す図である。図10(b)は、図9
(b)の状態からアーム402、403が同方向(右回
転方向)に回転した場合を一点鎖線で示す図である。図
10(c)は、図9(c)の状態からアーム402、4
03が同方向(右回転方向)に回転した場合を一点鎖線
で示す図である。
FIG. 10A is a diagram showing by a chain line when the arms 402 and 403 rotate in the same direction (right rotation direction) from the state of FIG. 9A. FIG. 10 (b) is shown in FIG.
It is a figure which shows the case where the arms 402 and 403 rotate in the same direction (right rotation direction) from the state of (b) with a dashed-dotted line. FIG. 10C shows the arms 402, 4 from the state of FIG. 9C.
3 is a diagram showing a case where 03 rotates in the same direction (clockwise rotation direction) by a chain line. FIG.

【0074】これらから、アーム402とアーム403
とが同一の方向に回転移動すると、ウエハ支持部材40
6は、回転中心部材401を中心に回転(すなわち旋
回)することがわかる。また、図9各図および図10各
図より、この旋回と上記の放射方向の直線移動とは独立
して行なうことができることがわかる。
From these, the arm 402 and the arm 403 are
When and move rotationally in the same direction, the wafer support member 40
It can be seen that No. 6 rotates (that is, turns) around the rotation center member 401. Further, it can be seen from FIGS. 9 and 10 that the turning and the linear movement in the radial direction can be performed independently.

【0075】なお、ウエハ支持部材406の平面形状
は、例えば、図示するように“U”字形である。これ
は、処理ユニットへのウエハの出し入れの際、ウエハ載
置台上に出没する上下方向可動の支持ピンとウエハ支持
部材406との干渉を避けるためである。
The plane shape of the wafer support member 406 is, for example, a "U" shape as shown in the drawing. This is to avoid interference between the wafer support member 406 and the vertically movable support pins that project and retract on the wafer mounting table when the wafer is loaded into and unloaded from the processing unit.

【0076】図11は、移載アーム同士によるウエハの
受け渡し例を説明する図である。図11において、一方
の移載アームには、符号の末尾に“a”を付し、もう一
方の移載アームには、符号の末尾に“b”を付してあ
る。
FIG. 11 is a view for explaining an example of wafer transfer between transfer arms. In FIG. 11, one transfer arm is suffixed with “a” and the other transfer arm is suffixed with “b”.

【0077】同図に示すように、移載アームから隣りの
移載アームにウエハ407を受け渡す場合には、ウエハ
支持部材406aとウエハ支持部材406bとが接触し
ないように互いに相手方移載アームに対する向きを傾け
る。そして、例えば受け渡される側の移載アームが上下
方向に下から上に移動することにより、ウエハ407
は、支持される移載アームが変更される。これにより、
移載アーム同士が干渉することなくウエハ407の受け
渡しを完了することができる。なお、上記のように傾け
ずに、ウエハ407の受け渡しを行おうとするとウエハ
支持部材406aとウエハ支持部材406bとが当然接
触するが、これは、移載アームとして互いに同形であり
かつそれぞれが左右対称の形状だからである。
As shown in the figure, when the wafer 407 is transferred from the transfer arm to the adjacent transfer arm, the wafer support member 406a and the wafer support member 406b are not contacted with each other with respect to the other transfer arm. Tilt. Then, for example, the transfer arm on the delivery side moves in the vertical direction from the bottom to the top so that the wafer 407
The supported transfer arm is changed. This allows
The transfer of the wafer 407 can be completed without the transfer arms interfering with each other. When the wafer 407 is transferred without tilting as described above, the wafer support members 406a and 406b naturally come into contact with each other, but they have the same shape as the transfer arms and are symmetrical to each other. Because of the shape of.

【0078】また、上記では、「互いに相手方移載アー
ムに対する向きを傾ける」と説明したが、少なくともい
ずれかが相手方移載アームに対する向きを少し傾けるよ
うにすれば、移載アーム同士が干渉を避けてウエハ40
7の受け渡しを行なうことができる。
In the above description, it is described that "the two transfer arms are inclined with respect to each other". However, if at least one of the transfer arms is slightly inclined, the transfer arms are prevented from interfering with each other. Wafer 40
7 can be handed over.

【0079】図12は、移載アームと処理ユニットとの
関係を説明する図である。図11で説明したように移載
アーム同士の干渉を避けてウエハ407の受け渡しを行
なうと、必然的にウエハ407とウエハ支持部材406
との支持位置関係は、非対称なものになる(図11参
照)。したがって、処理ユニットとの関係においては、
非対称に支持されたウエハ407を不都合なく処理ユニ
ットに出し入れすることが必要となる。特にその場合問
題となるのは、処理ユニット内の載置台に出没可能に設
けられる受け渡し用の支持ピンとの位置関係である。
FIG. 12 is a diagram for explaining the relationship between the transfer arm and the processing unit. When the wafer 407 is transferred while avoiding the interference between the transfer arms as described with reference to FIG. 11, the wafer 407 and the wafer supporting member 406 are inevitably obtained.
The supporting positional relationship with and becomes asymmetric (see FIG. 11). Therefore, in relation to the processing unit,
It is necessary that the asymmetrically supported wafer 407 be taken in and out of the processing unit without any inconvenience. Particularly, in that case, a problem is a positional relationship with a support pin for delivery, which is provided on a mounting table in the processing unit so as to be retractable.

【0080】図12(a)は、処理ユニットの載置台4
08に設ける受け渡し用の支持ピン409aをウエハ支
持部材406と干渉しないように載置台408の中心に
寄せたものである。このようにすれば、ウエハ407に
対してウエハ支持部材406の支持位置が非対称であっ
ても支持ピン409aとの干渉はなくなりかつ支持ピン
409aによるウエハ407の上下移動も支障なく行な
うことができる。
FIG. 12A shows the mounting table 4 of the processing unit.
The support pins 409 a for transfer provided on the mounting base 08 are placed near the center of the mounting table 408 so as not to interfere with the wafer supporting member 406. In this way, even if the wafer support member 406 is asymmetrically supported with respect to the wafer 407, the interference with the support pins 409a is eliminated, and the vertical movement of the wafer 407 by the support pins 409a can be performed without any trouble.

【0081】図12(b)は、処理ユニットの載置台4
08に設ける受け渡し用の支持ピン409bをウエハ支
持部材406と干渉しないように載置台408の中心か
らずらせて配置したものである。このようにしても、ウ
エハ支持部材406と支持ピン409aとの干渉はなく
なりかつ支持ピン409bによるウエハ407の上下移
動も支障なく行なうことができる。
FIG. 12B shows the mounting table 4 of the processing unit.
The transfer support pins 409b provided on the base plate 08 are arranged so as to be offset from the center of the mounting table 408 so as not to interfere with the wafer support member 406. Even in this case, the interference between the wafer support member 406 and the support pins 409a is eliminated, and the vertical movement of the wafer 407 by the support pins 409b can be performed without any trouble.

【0082】図12(c)は、処理ユニットの載置台4
08に設ける受け渡し用の支持ピン409cをウエハ支
持部材406と干渉しないように載置台408のへり近
くに配置したものである。このようにしても、ウエハ支
持部材406と支持ピン409aとの干渉はなくなりか
つ支持ピン409cによるウエハ407の上下移動も支
障なく行なうことができる。
FIG. 12C shows the mounting table 4 of the processing unit.
The transfer support pins 409c provided on the mounting base 08 are arranged near the edge of the mounting table 408 so as not to interfere with the wafer support member 406. Even in this case, the interference between the wafer support member 406 and the support pin 409a is eliminated, and the vertical movement of the wafer 407 by the support pin 409c can be performed without any trouble.

【0083】図13(a)、図13(b)、図13
(c)は、それぞれ、図12(a)、図12(b)、図
12(c)と同様の考えで構成したものであって、かつ
処理ユニットの位置(したがって載置台408Aの位置
も)を、ウエハ407に対するウエハ支持部材406の
支持位置非対称分だけ移動させて構成(すなわち、処理
ユニットのうち当該移載アームに最も近い位置に配置さ
れるものの真正面に対して移載アームの旋回の中心がず
れている)したものである(したがって、処理ユニット
に対してアクセスする場合の移載アームの向きは、図示
するように処理ユニットに対してほぼ直角になる)。こ
のような処理ユニットの配置によれば、移載アームと処
理ユニットの関係においては、ウエハ407に対するウ
エハ支持部材406の支持位置非対称分を考慮すること
なく、ウエハ407の出し入れができる。
13 (a), 13 (b) and 13
FIG. 12C shows a configuration similar to that of FIGS. 12A, 12B, and 12C, and the position of the processing unit (and thus the position of the mounting table 408A). Is moved by an amount corresponding to the asymmetrical support position of the wafer support member 406 with respect to the wafer 407 (that is, the center of rotation of the transfer arm with respect to the front face of the processing unit which is arranged at the position closest to the transfer arm). (Therefore, the orientation of the transfer arm when accessing the processing unit is substantially perpendicular to the processing unit as shown). With such an arrangement of the processing units, in the relationship between the transfer arm and the processing units, the wafer 407 can be taken in and out without taking into account the asymmetric support position of the wafer supporting member 406 with respect to the wafer 407.

【0084】図14は、移載アーム同士による別のウエ
ハの受け渡し例を説明する図である。図14において、
一方の移載アームには、符号の末尾に“a”を付し、も
う一方の移載アームには、符号の末尾に“b”を付して
ある。
FIG. 14 is a diagram for explaining an example of transferring another wafer between the transfer arms. In FIG.
One transfer arm is suffixed with "a", and the other transfer arm is suffixed with "b".

【0085】同図に示すように、この形態では、移載ア
ームの設けられるウエハ支持部材406Aa、406A
bの形状が、移載アームとしての中心線(伸縮の方向
線)について非対称とされている。このような形状のウ
エハ支持部材406Aa、406Abによれば、移載ア
ームとして相手方移載アームにまっすぐ向かって近づけ
ても、図示するように接触を避けることができる。そし
て、例えば受け渡される側の移載アームが上下方向に下
から上に移動することにより、ウエハ407は、支持さ
れる移載アームが変更される。これにより、移載アーム
同士が干渉することなくウエハ407の受け渡しを完了
することができる。この形態では、隣接する移載アーム
は移載アームとして同形であるが、それらそれぞれが左
右非対称の形状であることにより、上記のように両者の
干渉を避けることができるものである。
As shown in the figure, in this embodiment, wafer supporting members 406Aa and 406A provided with transfer arms are provided.
The shape of b is asymmetric with respect to the center line (extending / contracting direction line) of the transfer arm. According to the wafer supporting members 406Aa and 406Ab having such a shape, even if the wafer supporting members 406Aa and 406Ab are brought closer to the counterpart transfer arm as a transfer arm, the contact can be avoided as shown in the figure. Then, for example, the transfer arm supported on the wafer 407 is changed by moving the transfer arm on the delivery side from the bottom to the top in the vertical direction. As a result, the transfer of the wafer 407 can be completed without the transfer arms interfering with each other. In this form, the adjoining transfer arms have the same shape as the transfer arms, but since each of them has a laterally asymmetrical shape, it is possible to avoid the interference between the two as described above.

【0086】なお、このようなウエハ407受け渡しの
際の干渉を避けるためには、他に、隣接する移載アーム
について異形とする方法も採り得る。例えば、一方を
“U”字形のウエハ支持部材とし、他方を“W”字形の
ウエハ支持部材とするなどである。“U”と“W”とで
あれば凹凸が互い違いになるからである。
Incidentally, in order to avoid the interference at the time of transferring the wafer 407, it is also possible to adopt a method in which the adjacent transfer arms have different shapes. For example, one is a "U" shaped wafer support member and the other is a "W" shaped wafer support member. This is because if “U” and “W”, the irregularities are staggered.

【0087】また、隣接する移載アームが移載アームと
して異形であって、少なくともいずれかが左右非対称の
形状であっても、両者の干渉を避けることは可能であ
る。例えば、一方を左右対称の形状(例えば図9各図に
示す形状)とし、他方を移載アームとしての中心線につ
いて非対称とする場合である。
Even if the adjoining transfer arms have different shapes as the transfer arms, and at least one of them has a bilaterally asymmetrical shape, it is possible to avoid the interference between the two. For example, there is a case where one of them has a bilaterally symmetric shape (for example, the shape shown in each drawing of FIG. 9) and the other has an asymmetric shape with respect to the center line of the transfer arm.

【0088】図15(a)、(b)、(c)は、図14
に示した移載アームの場合について、移載アームと処理
ユニットとの関係を説明する図である。図14で説明し
たような移載アーム同士の干渉を避ける形状のウエハ支
持部材406Aの場合、やはり、処理ユニット内の載置
台に出没可能に設けられる受け渡し用の支持ピンとウエ
ハ支持部材406Aとの位置関係を問題とする必要があ
る。これは、ウエハ支持部材406Aとウエハ407と
の支持位置関係としても、図14に示すように非対称だ
からである。
FIGS. 15 (a), 15 (b) and 15 (c) correspond to FIG.
FIG. 6 is a diagram illustrating a relationship between a transfer arm and a processing unit in the case of the transfer arm shown in FIG. In the case of the wafer support member 406A having a shape that avoids the interference between the transfer arms as described in FIG. 14, the position of the transfer support pin and the wafer support member 406A that are provided so as to be retractable on the mounting table in the processing unit. Relationships need to be an issue. This is because the supporting positional relationship between the wafer supporting member 406A and the wafer 407 is asymmetric as shown in FIG.

【0089】図15(a)は、処理ユニットの載置台4
08に設ける受け渡し用の支持ピン409aをウエハ支
持部材406Aと干渉しないように載置台408の中心
に寄せたものである。このようにすれば、ウエハ407
に対してウエハ支持部材406Aの支持位置が非対称で
あっても支持ピン409aとの干渉はなくなりかつ支持
ピン409aによるウエハ407の上下移動も支障なく
行なうことができる。
FIG. 15A shows the mounting table 4 of the processing unit.
A support pin 409a for transfer provided on 08 is placed near the center of the mounting table 408 so as not to interfere with the wafer support member 406A. In this way, the wafer 407
On the other hand, even if the supporting position of the wafer supporting member 406A is asymmetric, the interference with the supporting pin 409a is eliminated, and the vertical movement of the wafer 407 by the supporting pin 409a can be performed without any trouble.

【0090】図15(b)は、処理ユニットの載置台4
08に設ける受け渡し用の支持ピン409bをウエハ支
持部材406Aと干渉しないように載置台408の中心
からずらせて配置したものである。このようにしても、
ウエハ支持部材406Aと支持ピン409bとの干渉は
なくなりかつ支持ピン409bによるウエハ407の上
下移動も支障なく行なうことができる。
FIG. 15B shows the mounting table 4 of the processing unit.
The transfer support pins 409b provided on the mounting base 08 are arranged so as to be offset from the center of the mounting table 408 so as not to interfere with the wafer support member 406A. Even with this,
The interference between the wafer support member 406A and the support pin 409b is eliminated, and the vertical movement of the wafer 407 by the support pin 409b can be performed without any trouble.

【0091】図15(c)は、処理ユニットの載置台4
08に設ける受け渡し用の支持ピン409cをウエハ支
持部材406Aと干渉しないように載置台408のへり
近くに配置したものである。このようにしても、ウエハ
支持部材406Aと支持ピン409cとの干渉はなくな
りかつ支持ピン409cによるウエハ407の上下移動
も支障なく行なうことができる。
FIG. 15C shows the mounting table 4 of the processing unit.
The support pins 409c for transfer provided on the mounting base 08 are arranged near the edge of the mounting table 408 so as not to interfere with the wafer supporting member 406A. Even in this case, the interference between the wafer support member 406A and the support pin 409c is eliminated, and the vertical movement of the wafer 407 by the support pin 409c can be performed without any trouble.

【0092】以上では、移載アーム同士による直接のウ
エハの受け渡しを説明したが、周知のように中継台(形
状は台状には限られないので、その意味では被処理基体
中継所)を介して間接にウエハを、移載アームから隣り
の移載アームに受け渡すようにしてももちろんよい。
In the above, the direct transfer of the wafer by the transfer arms has been described. However, as is well known, a relay table (the shape is not limited to a trapezoid, and in that sense, a substrate relay station for processing) is used. Of course, the wafer may be indirectly transferred from the transfer arm to the adjacent transfer arm.

【0093】(第2の実施形態)以下、本発明の第2の
実施形態について説明する。図16は本実施形態に係る
処理装置2の平面図である。図16に示すように、本実
施形態に係る処理装置2では、ウエハWを収納するキャ
リアカセットCを載置する基体容器載置台10と、その
奥側に配設された第1の移載室30と、さらに第1の移
載室30の奥側に第2の移載室50が配設されている。
(Second Embodiment) The second embodiment of the present invention will be described below. FIG. 16 is a plan view of the processing device 2 according to this embodiment. As shown in FIG. 16, in the processing apparatus 2 according to the present embodiment, the base container mounting table 10 on which the carrier cassette C that stores the wafer W is mounted, and the first transfer chamber disposed on the back side thereof. 30 and further a second transfer chamber 50 is provided on the back side of the first transfer chamber 30.

【0094】第1の移載室30の周囲に配設された第1
の処理ユニット群は、左右に2基配設された予備洗浄処
理ユニット160、170と、これらの予備洗浄処理ユ
ニット160,170の奥側に設けられた2基のCVD
ユニット180、190とを有する。このうち予備洗浄
処理ユニット160,170は低真空下で運転するリア
クティブクリーンユニットであり、CVDユニット18
0、190は窒化タンタル(TaN)層を形成するCV
Dユニットである。なお、符号32は移載アームであ
る。
The first transfer chamber 30 is arranged around the first transfer chamber 30.
The two processing unit groups are the pre-cleaning processing units 160 and 170 arranged on the left and right, and the two CVD units provided on the inner side of these pre-cleaning processing units 160 and 170.
The units 180 and 190 are included. Of these, the preliminary cleaning processing units 160 and 170 are reactive clean units that operate under a low vacuum, and the CVD unit 18
0 and 190 are CVs that form a tantalum nitride (TaN) layer
It is a D unit. Reference numeral 32 is a transfer arm.

【0095】一方、第2の移載室50の周囲に配設され
た第2の処理ユニット群は全てスパッタリングユニット
であり、手前側の2基がタンタル(Ta)層を形成する
ためのスパッタリングユニット200および210であ
り、奥側の2基が銅(Cu)層を形成するためのスパッ
タリングユニット220および230であり、これら4
基のスパッタリングユニット200,210,220お
よび230は全て高真空下で運転する処理ユニットであ
る。
On the other hand, the second processing unit group disposed around the second transfer chamber 50 is a sputtering unit, and the two units on the front side are sputtering units for forming a tantalum (Ta) layer. 200 and 210, and two groups on the back side are sputtering units 220 and 230 for forming a copper (Cu) layer.
The base sputtering units 200, 210, 220 and 230 are all processing units operating under high vacuum.

【0096】図17は本実施形態に係る予備洗浄ユニッ
ト160の垂直断面図である。本実施形態に係る予備洗
浄ユニット160は、リアクティブクリーンユニットで
あり、洗浄ガスを用いてウエハWなどの被処理基体の表
面を洗浄する装置である。
FIG. 17 is a vertical sectional view of the preliminary cleaning unit 160 according to this embodiment. The preliminary cleaning unit 160 according to this embodiment is a reactive clean unit, and is an apparatus that cleans the surface of a substrate to be processed such as the wafer W using a cleaning gas.

【0097】水素ガス(H)、ハロゲン含有ガス(N
)等の洗浄ガスはキャリアガスとともに、ガス供給
源1604より処理室1601に導入される。そして洗
浄ガスは、上部電極1603にて励起活性化される。こ
れにより、サセプタ1602上のウエハWなどの被処理
基体の表面が化学反応を利用して洗浄される。なお、図
17ではプラズマを発生させるための上部電極1603
を処理室1601内に配置しているが、処理室1601
の外側にコイルを配置してもよいし、励起活性種を処理
室の外側から導入してもよい。また、下部電極を配置す
ることにより励起活性種を被処理体上に誘引してもよい
し、被処理体上のダメージを軽減するために下部電極を
配設しなくてもよい。なお、符号1606は、ウエハW
を出し入れするゲートバルブである。
Hydrogen gas (H 2 ) and halogen-containing gas (N
The cleaning gas such as F 3 ) is introduced into the processing chamber 1601 from the gas supply source 1604 together with the carrier gas. Then, the cleaning gas is excited and activated by the upper electrode 1603. As a result, the surface of the substrate to be processed such as the wafer W on the susceptor 1602 is cleaned by utilizing the chemical reaction. Note that in FIG. 17, an upper electrode 1603 for generating plasma is used.
Is disposed in the processing chamber 1601.
The coil may be arranged outside the chamber, or the excited active species may be introduced from outside the processing chamber. Further, by arranging the lower electrode, the excited active species may be attracted onto the object to be processed, or the lower electrode may not be arranged in order to reduce damage on the object to be processed. The reference numeral 1606 indicates the wafer W.
It is a gate valve that moves in and out.

【0098】図18は本実施形態に係るスパッタリング
ユニット200を模式的に示した垂直断面図である。図
18に示すように、このスパッタリングユニット200
の処理室2001は密閉可能な構造になっており、放電
用ガスを処理室2001内に導入して高真空状態に維持
できるようになっている。
FIG. 18 is a vertical sectional view schematically showing the sputtering unit 200 according to this embodiment. As shown in FIG. 18, this sputtering unit 200
The processing chamber 2001 has a structure that can be hermetically sealed, and discharge gas can be introduced into the processing chamber 2001 to maintain a high vacuum state.

【0099】スパッタリング室2001の中央底部には
基板ホルダ2002が配設されており、この上にウエハ
Wを載置して処理する。基板ホルダ2002の上側には
ターゲット2003が電極2004を介して保持されて
おり、高真空下で電極2004から印加された電圧によ
り放電用ガスが励起され、この励起された正のイオンが
ターゲット表面に衝突し、ターゲットの原子が外部に放
出し、ウエハW表面に堆積させることによりウエハW表
面に所望材料の層を形成するようになっている。なお、
符号2005はウエハWを出し入れするゲートバルブで
ある。
A substrate holder 2002 is provided at the center bottom of the sputtering chamber 2001, and a wafer W is placed on the substrate holder 2002 for processing. A target 2003 is held on the upper side of the substrate holder 2002 via an electrode 2004, the discharge gas is excited by a voltage applied from the electrode 2004 under high vacuum, and the excited positive ions are emitted to the target surface. Upon collision, target atoms are emitted to the outside and deposited on the surface of the wafer W to form a layer of a desired material on the surface of the wafer W. In addition,
Reference numeral 2005 is a gate valve for loading and unloading the wafer W.

【0100】本実施形態では、タンタル(Ta)をター
ゲットに用いたタンタル(Ta)層形成用のスパッタリ
ングユニット200および210と、銅(Cu)をター
ゲットに用いた銅(Cu)層形成用のスパッタリングユ
ニット220および230を第2の処理ユニットとして
搭載している。
In this embodiment, sputtering units 200 and 210 for forming a tantalum (Ta) layer using tantalum (Ta) as a target and sputtering for forming a copper (Cu) layer using copper (Cu) as a target. The units 220 and 230 are mounted as the second processing unit.

【0101】次に、本実施形態に係る処理装置2を用い
て半導体装置を製造する際の工程について説明する。図
19は、本実施形態に係る処理装置2を用いて半導体装
置を製造するときのフローチャートであり、図20は、
製造途中の半導体装置の状態を示した垂直断面図であ
る。
Next, steps for manufacturing a semiconductor device using the processing apparatus 2 according to this embodiment will be described. 19 is a flowchart for manufacturing a semiconductor device using the processing apparatus 2 according to the present embodiment, and FIG.
FIG. 8 is a vertical cross-sectional view showing a state of the semiconductor device during manufacturing.

【0102】まず、基体容器載置台10の上に未処理ウ
エハWを複数枚収納したキャリアカセットCをセットし
て処理装置2を起動すると、サブアーム21がキャリア
カセットC内にアクセスして未処理のウエハWを取り出
し、第1のロードロック室20内に載置して載置台側の
ゲートバルブ(図示省略)を閉じる。次いで第1のロー
ドロック室20内の気圧を第1の移載室30とほぼ同じ
気圧にまで低下させ、第1のロードロック室20の移載
室側のゲートバルブを開ける。
First, when the carrier cassette C accommodating a plurality of unprocessed wafers W is set on the base container mounting table 10 and the processing apparatus 2 is started, the sub-arm 21 accesses the carrier cassette C and the unprocessed wafers are unprocessed. The wafer W is taken out, placed in the first load lock chamber 20, and the gate valve (not shown) on the mounting table side is closed. Next, the atmospheric pressure in the first load lock chamber 20 is reduced to almost the same atmospheric pressure as that of the first transfer chamber 30, and the gate valve on the transfer chamber side of the first load lock chamber 20 is opened.

【0103】この第1のロードロック室20内に第1の
移載アーム31がアクセスして第1のロードロック室2
0内のウエハWを取り出し、予備洗浄ユニット(リアク
ティブクリーンユニット)160内に搬入する。予備洗
浄前のウエハWは図20(a)に示したように、銅(C
u)製の下地配線層11A上面に絶縁層12A、例えば
SiO層が所定のパターン状に形成されており、この
絶縁層12Aが形成されたウエハW全面が自然酸化膜1
3Aで覆われている。
The first transfer arm 31 accesses the inside of the first load lock chamber 20 to access the first load lock chamber 2
The wafer W in 0 is taken out and carried into the preliminary cleaning unit (reactive clean unit) 160. As shown in FIG. 20A, the wafer W before the pre-cleaning is made of copper (C
An insulating layer 12A, for example, a SiO 2 layer is formed in a predetermined pattern on the upper surface of the underlying wiring layer 11A made of u). The entire surface of the wafer W on which the insulating layer 12A is formed is the natural oxide film 1
It is covered with 3A.

【0104】予備洗浄ユニット160のゲートバルブ1
606を閉じて密閉し、所定の圧力、温度状態に到達し
たら洗浄ガスを供給する。高周波電源等により励起活性
された洗浄ガスは、ウエハW表面の自然酸化膜13Aと
化学反応することにより、図20(b)に示すように、
ウエハW全面を覆っていた自然酸化膜13Aが除去され
る(ステップ1)。なお、スパッタエッチの場合には、
一定の圧力、温度条件下に維持されることにより予備洗
浄ユニット160内の処理ガスがサセプタ上のウエハW
表面に衝突してウエハW表面を洗浄する。このとき、処
理ガス分子がウエハW表面に衝突し、図20(b)に示
すように、ウエハW全面を覆っていた自然酸化膜13A
が除去される。
Gate valve 1 of pre-cleaning unit 160
606 is closed and sealed, and when a predetermined pressure and temperature are reached, a cleaning gas is supplied. The cleaning gas excited and activated by the high-frequency power source or the like chemically reacts with the natural oxide film 13A on the surface of the wafer W, and as shown in FIG.
The native oxide film 13A covering the entire surface of the wafer W is removed (step 1). In the case of sputter etching,
The processing gas in the preliminary cleaning unit 160 is kept under a constant pressure and temperature condition so that the processing gas in the pre-cleaning unit 160 is transferred to the wafer W on the susceptor.
The surface of the wafer W is cleaned by colliding with the surface. At this time, the processing gas molecules collide with the surface of the wafer W, and as shown in FIG. 20B, the natural oxide film 13A covering the entire surface of the wafer W.
Are removed.

【0105】次に予備洗浄ユニット160内への洗浄ガ
スの供給を停止し、予備洗浄ユニット160のゲートバ
ルブ1606を開けて第1の移載アーム31をアクセス
させ、予備洗浄の終了したウエハWを取り出し、さらに
奧側に配設された第1の移載アーム32に引渡す。この
とき、第1の移載アーム31から32へ直接引き渡して
もよく、第1の移載室30内に配設された一または二以
上の移載部(中継台)を経由して引き渡してもよい。
Next, the supply of the cleaning gas into the preliminary cleaning unit 160 is stopped, the gate valve 1606 of the preliminary cleaning unit 160 is opened to access the first transfer arm 31, and the wafer W for which the preliminary cleaning has been completed is It is taken out and further transferred to the first transfer arm 32 arranged on the back side. At this time, it may be directly delivered to the first transfer arms 31 to 32, or may be delivered via one or two or more transfer units (relay stand) arranged in the first transfer chamber 30. Good.

【0106】しかる後に予備洗浄ユニット160の奥側
に隣設されたCVDユニットまたはALDユニット18
0内に第1の移載アーム32を進入させてサセプタ上に
ウエハWを載置する。CVDユニットまたはALDユニ
ットのゲートバルブを閉じて所定の条件下に処理ガスを
供給し、ウエハW表面に所望の材料層、本実施形態では
窒化タンタル(TaN)層14Aを形成する(ステップ
2)。この工程で図20(c)に示したようにウエハW
表面に窒化タンタル(TaN)層14Aが形成される。
Thereafter, the CVD unit or the ALD unit 18 adjacently provided on the back side of the preliminary cleaning unit 160.
The first transfer arm 32 is moved into the space 0, and the wafer W is mounted on the susceptor. A gate valve of the CVD unit or the ALD unit is closed and a processing gas is supplied under a predetermined condition to form a desired material layer, which is a tantalum nitride (TaN) layer 14A in this embodiment, on the surface of the wafer W (step 2). In this step, as shown in FIG.
A tantalum nitride (TaN) layer 14A is formed on the surface.

【0107】次いでCVDユニットまたはALDユニッ
トのゲートバルブを開け、CVDユニットまたはALD
ユニット180内に第1の移載アーム32がアクセスし
て窒化タンタル(TaN)層14Aの形成が完了したウ
エハWを取り出し、第2のロードロック室40の第1の
搬送室側のゲートバルブ(図示省略)を開けて、この中
にウエハWを載置する。この状態で第2のロードロック
室40の第1の搬送室側のゲートバルブを全て閉じて密
閉し、第2のロードロック室40内の気圧を第2の移載
室50と同程度まで低下させる。
Then, the gate valve of the CVD unit or the ALD unit is opened, and the CVD unit or the ALD unit is opened.
The first transfer arm 32 accesses the inside of the unit 180 to take out the wafer W on which the tantalum nitride (TaN) layer 14A has been formed, and the gate valve (on the first transfer chamber side of the second load lock chamber 40) (Not shown) is opened, and the wafer W is placed therein. In this state, all the gate valves on the first transfer chamber side of the second load lock chamber 40 are closed and hermetically closed, and the air pressure inside the second load lock chamber 40 is reduced to the same level as that of the second transfer chamber 50. Let

【0108】次いで第2のロードロック室40の第2の
移載室側のゲートバルブを開けて第2の移載アーム41
をアクセスさせて第2のロードロック室40からウエハ
Wを取り出し、タンタル(Ta)層形成用のスパッタリ
ングユニット200内に搬入する。この状態でスパッタ
リングユニット200のゲートバルブ2005を閉じて
密閉し、所定の温度、圧力条件下でウエハWにタンタル
(Ta)層15Aを形成する(ステップ3)。このとき
ウエハW表面では、窒化タンタル(TaN)層14Aの
表面にタンタル(Ta)層15Aが形成され(図20
(d))、次に形成する配線層である銅(Cu)層のシ
ード層16Aの密着性と濡れ性とが改善される。
Next, the gate valve on the second transfer chamber side of the second load lock chamber 40 is opened to open the second transfer arm 41.
To access the wafer W from the second load lock chamber 40 and carry it into the sputtering unit 200 for forming a tantalum (Ta) layer. In this state, the gate valve 2005 of the sputtering unit 200 is closed and sealed, and the tantalum (Ta) layer 15A is formed on the wafer W under a predetermined temperature and pressure condition (step 3). At this time, on the surface of the wafer W, the tantalum (Ta) layer 15A is formed on the surface of the tantalum nitride (TaN) layer 14A (FIG. 20).
(D)) The adhesion and wettability of the seed layer 16A of the copper (Cu) layer, which is the wiring layer to be formed next, are improved.

【0109】所定のタンタル(Ta)層15Aの形成が
完了したら、処理ガスの供給と電圧の印加とを停止し、
スパッタリングユニット200のゲートバルブ2005
を開けてスパッタリングユニット200内に第2の移載
アーム41をアクセスさせてウエハWを取り出し、さら
に奥側に配設された銅(Cu)層形成用のスパッタリン
グユニット220内に搬入する。
When the formation of the predetermined tantalum (Ta) layer 15A is completed, the supply of the processing gas and the application of the voltage are stopped,
Gate valve 2005 of sputtering unit 200
Then, the second transfer arm 41 is accessed in the sputtering unit 200 to take out the wafer W, and the wafer W is carried into the sputtering unit 220 for forming a copper (Cu) layer disposed on the back side.

【0110】ここでも前記スパッタリングユニット20
0と同様に所定条件下で処理ガスの供給と電圧の印加と
をすることにより、ウエハW最上層のタンタル(Ta)
層15A上に銅を堆積させて、図20(e)に示すよう
に、ウエハW表面にシード層としての銅(Cu)層16
Aを形成する(ステップ4)。こうして自然酸化膜13
Aの除去から銅(Cu)層16Aの形成工程まで完了し
たら、第2の移載アーム41、第2のロードロック室4
0、第1の移載室30、第1の移載アーム32および3
1第1のロードロック室20を経て再びキャリアカセッ
トC内に収容する。
Here again, the sputtering unit 20
As in the case of 0, by supplying the processing gas and applying the voltage under the predetermined condition, the tantalum (Ta) of the uppermost layer of the wafer W is obtained.
Copper is deposited on the layer 15A to form a copper (Cu) layer 16 as a seed layer on the surface of the wafer W as shown in FIG.
Form A (step 4). Thus, the natural oxide film 13
When the steps from the removal of A to the formation of the copper (Cu) layer 16A are completed, the second transfer arm 41, the second load lock chamber 4
0, first transfer chamber 30, first transfer arms 32 and 3
(1) It is housed in the carrier cassette C again via the first load lock chamber 20.

【0111】このように、本実施形態に係る処理装置2
では、処理時の気圧の異なる処理ユニット群をロードロ
ック室を介して連結したので、低真空下で行なう成膜処
理から高真空下で行なう成膜処理まで処理装置2からウ
エハWを一度も取り出すことなく、首尾一貫して連続的
に処理することができる。したがって、処理速度が高
く、製造コストを低減化することができる。
As described above, the processing apparatus 2 according to this embodiment
Then, since the processing unit groups having different atmospheric pressures at the time of processing are connected through the load lock chamber, the wafer W is once taken out from the processing apparatus 2 from the film forming process performed under the low vacuum to the film forming process performed under the high vacuum. Can be processed consistently and continuously without Therefore, the processing speed is high and the manufacturing cost can be reduced.

【0112】また、成膜途中で処理装置2からウエハW
を取り出す必要がないので、製造途中の膜が酸化した
り、劣化することがなく、高品質の膜が得られる。
During the film formation, the wafer W is removed from the processing apparatus 2.
Since it is not necessary to take out the film, the film in the process of manufacture is not oxidized or deteriorated, and a high quality film can be obtained.

【0113】(第3の実施形態)図21は本発明の第3
の実施形態に係る処理装置3の概略を示した平面図であ
る。本実施形態に係る処理装置3では、前記第1の処理
装置1で予備洗浄ユニット60および70として用いた
超臨界洗浄ユニットの代わりに、処理ガスで洗浄する、
リアクティブイオンエッチユニット61、71を使用す
る。これらのリアクティブイオンエッチユニット61、
71は、後段処理で用いるCVDユニット80,90,
140,150やALDユニット100,110,12
0,130と同じ移載室30Aの周囲に配設する。な
お、符号33、34、35、36は移載アームである。
(Third Embodiment) FIG. 21 shows a third embodiment of the present invention.
FIG. 3 is a plan view showing the outline of the processing device 3 according to the embodiment of FIG. In the processing apparatus 3 according to the present embodiment, instead of the supercritical cleaning unit used as the preliminary cleaning units 60 and 70 in the first processing apparatus 1, cleaning is performed with the processing gas,
The reactive ion etching units 61 and 71 are used. These reactive ion etching units 61,
71 is a CVD unit 80, 90,
140, 150 and ALD units 100, 110, 12
It is arranged around the same transfer chamber 30A as 0 and 130. Note that reference numerals 33, 34, 35 and 36 are transfer arms.

【0114】リアクティブイオンエッチユニット61、
71は減圧下で使用するのでこのようなユニットのレイ
アウトが可能となる。このように本実施形態に係る処理
装置では、一つの移載室30Aの回りに多数の処理ユニ
ットを配設したので、より面積効率の高い処理装置を得
ることができる。
Reactive ion etching unit 61,
Since 71 is used under reduced pressure, such a unit layout is possible. As described above, in the processing apparatus according to the present embodiment, since a large number of processing units are arranged around one transfer chamber 30A, a processing apparatus having higher area efficiency can be obtained.

【0115】(第4の実施形態)図22は本発明の第4
の実施形態に係る処理装置4の概略を示した平面図であ
る。本実施形態に係る処理装置4では、これまで述べた
処理ユニットを組み合わせることにより、図23に示す
フローチャートの処理を連続で行える構成となってい
る。図24(a)〜(f)および図25(a)、(b)
は処理過程のウエハWの垂直断面図である。
(Fourth Embodiment) FIG. 22 shows a fourth embodiment of the present invention.
FIG. 3 is a plan view showing the outline of the processing device 4 according to the embodiment of FIG. The processing apparatus 4 according to the present embodiment has a configuration in which the processing of the flowchart shown in FIG. 23 can be continuously performed by combining the processing units described above. 24 (a) to 24 (f) and FIGS. 25 (a) and 25 (b).
FIG. 6 is a vertical sectional view of the wafer W in the process of processing.

【0116】本実施形態に係る処理装置で処理を行う
と、最初に未処理のウエハWが予備洗浄ユニット240
内に搬入される。この段階ではウエハWの表面には図2
4(a)に示したように、下地11B上に自然酸化膜1
3Bが形成されている。予備洗浄ユニット240内で予
備洗浄処理を行うと(ステップ1)、ウエハW表面の自
然酸化膜13Bが除去されて図24(b)のような状態
になる。
When the processing is performed by the processing apparatus according to the present embodiment, the unprocessed wafer W is first processed in the preliminary cleaning unit 240.
It is brought in. At this stage, the surface of the wafer W is shown in FIG.
As shown in FIG. 4 (a), the natural oxide film 1 is formed on the base 11B.
3B is formed. When the pre-cleaning process is performed in the pre-cleaning unit 240 (step 1), the natural oxide film 13B on the surface of the wafer W is removed and the state shown in FIG. 24 (b) is obtained.

【0117】次いでウエハWをアニ−ル処理ユニット2
50内に移し替え、ここで予備洗浄後の熱処理であるア
ニ−ル処理を行う(ステップ2)。この熱処理工程で
は、フッ素系物質などの残留物19Bが除去される(図
24(c))。次いでアニ−ル処理後のウエハWを界面
酸化膜形成ユニット260内に移し替え、この界面酸化
膜形成ユニット260内でシリコン酸化膜14Bを形成
する(ステップ3、図24(d))。ここで形成する界
面酸化膜14BはウエハW界面の電気特性を向上させる
ためのものである。
Then, the wafer W is annealed to the annealing unit 2
Then, it is transferred to the inside of 50, where an annealing treatment which is a heat treatment after the preliminary cleaning is performed (step 2). In this heat treatment step, the residue 19B such as a fluorine-based substance is removed (FIG. 24 (c)). Then, the wafer W after the annealing treatment is transferred into the interfacial oxide film forming unit 260, and the silicon oxide film 14B is formed in the interfacial oxide film forming unit 260 (step 3, FIG. 24 (d)). The interfacial oxide film 14B formed here is for improving the electrical characteristics of the interface of the wafer W.

【0118】次いで界面酸化膜14B形成後のウエハW
を窒化膜形成ユニット270に移し替え、この窒化膜形
成ユニット270内で界面酸化膜14Bの表面を窒化し
て窒化膜15Bを形成する(ステップ4、図24
(e))。窒化膜15Bは、上下層とのバリア層であ
る。次いで、窒化膜15Bを形成したウエハWをゲート
絶縁膜形成ユニット280内に移し替える。
Next, the wafer W after the interface oxide film 14B is formed
Is transferred to the nitride film forming unit 270, and the surface of the interfacial oxide film 14B is nitrided in the nitride film forming unit 270 to form the nitride film 15B (step 4, FIG. 24).
(E)). The nitride film 15B is a barrier layer for the upper and lower layers. Then, the wafer W on which the nitride film 15B is formed is transferred into the gate insulating film forming unit 280.

【0119】このゲート絶縁膜形成ユニット280とし
ては、アルミナ層形成ユニット、ジルコニウムオキサイ
ド層形成ユニット、ジルコニウムシリケイト層形成ユニ
ット、ハフニウムオキサイド層形成ユニット、ハフニウ
ムシリケイト層形成ユニット、イットリウムオキサイド
層形成ユニット、イットリウムシリケイト層形成ユニッ
ト、ランタンオキサイド層形成ユニット、および、ラン
タンシリケイト層形成ユニットのいずれかが挙げられ
る。このゲート絶縁膜形成ユニットでウエハW表面の窒
化膜15Bの上にゲート絶縁膜16Bを形成する(ステ
ップ5、図24(f))。
The gate insulating film forming unit 280 includes an alumina layer forming unit, a zirconium oxide layer forming unit, a zirconium silicate layer forming unit, a hafnium oxide layer forming unit, a hafnium silicate layer forming unit, a yttrium oxide layer forming unit, a yttrium silicate layer. Any of a layer forming unit, a lanthanum oxide layer forming unit, and a lanthanum silicate layer forming unit is mentioned. In this gate insulating film forming unit, the gate insulating film 16B is formed on the nitride film 15B on the surface of the wafer W (step 5, FIG. 24 (f)).

【0120】ゲート絶縁膜16Bの形成が完了したら、
ウエハWをゲート絶縁膜形成ユニットからアニール処理
ユニット290内に移し替え、この中でゲート絶縁膜1
6Bの熱処理を行う(ステップ6)。このゲート絶縁膜
16Bの熱処理工程でゲート絶縁膜16Bの改質が行な
われる。
After the formation of the gate insulating film 16B is completed,
The wafer W is transferred from the gate insulating film forming unit to the annealing processing unit 290, in which the gate insulating film 1 is transferred.
6B heat treatment is performed (step 6). The gate insulating film 16B is modified in the heat treatment process of the gate insulating film 16B.

【0121】ゲート絶縁膜16Bの熱処理が完了した
ら、処理後のウエハWをアニ−ル処理ユニット290か
らゲート電極バリア膜形成ユニット400に移し替え
る。ここで形成されるゲート電極バリア膜は、ゲート絶
縁膜16Bと次に形成するゲート電極のバリア用であ
る。バリア膜形成ユニット400としては、具体的に
は、マンガン膜形成ユニット、ニオブ層形成ユニット、
アルミニウム層形成ユニット、モリブデン層形成ユニッ
ト、ジルコニウム層形成ユニット、バナジウム層形成ユ
ニット、コバルト層形成ユニット、レニウム層形成ユニ
ット、イリジウム層形成ユニット、白金層形成ユニッ
ト、および、ルテニウムオキサイド層形成ユニットのい
ずれかが挙げられる。このバリア膜形成ユニット400
内でゲート電極バリア膜17Bの形成を行う(ステップ
7、図25(a))。
After the heat treatment of the gate insulating film 16B is completed, the processed wafer W is transferred from the annealing processing unit 290 to the gate electrode barrier film forming unit 400. The gate electrode barrier film formed here is for the barrier of the gate insulating film 16B and the gate electrode to be formed next. As the barrier film forming unit 400, specifically, a manganese film forming unit, a niobium layer forming unit,
Any one of an aluminum layer forming unit, a molybdenum layer forming unit, a zirconium layer forming unit, a vanadium layer forming unit, a cobalt layer forming unit, a rhenium layer forming unit, an iridium layer forming unit, a platinum layer forming unit, and a ruthenium oxide layer forming unit. Is mentioned. This barrier film forming unit 400
The gate electrode barrier film 17B is formed therein (step 7, FIG. 25A).

【0122】ゲート電極バリア膜17Bの形成が完了し
たら、処理後のウエハWをゲート電極形成ユニット41
0内に移し替え、ここでゲート電極18Bの形成を行う
(ステップ8、図25(b))。このゲート電極形成ユ
ニット410は、ゲート電極の成膜を行うユニットであ
り、具体的には、タングステン層形成ユニット、アルミ
ニウム層形成ユニットのいずれかを挙げることができ
る。上記一連の工程が完了したら、処理後のウエハWを
処理装置4から搬出する。
After the formation of the gate electrode barrier film 17B is completed, the processed wafer W is processed into the gate electrode forming unit 41.
Then, the gate electrode 18B is formed here (step 8, FIG. 25B). The gate electrode forming unit 410 is a unit for forming a film of a gate electrode, and specific examples thereof include a tungsten layer forming unit and an aluminum layer forming unit. When the series of steps described above is completed, the processed wafer W is unloaded from the processing apparatus 4.

【0123】本実施形態に係る処理装置4では、上述の
ように8工程もの処理を連続して大気にさらすことなく
処理できるので製造途中の膜が酸化したり、劣化するこ
とがなく、高品質の膜が得られる。さらに、一つの移載
室の回りに多数の処理ユニットを配設したので、より面
積効率の高い処理装置を得ることができる。
In the processing apparatus 4 according to the present embodiment, as described above, the processing of 8 steps can be continuously performed without exposing to the atmosphere, so that the film in the process of manufacture is not oxidized or deteriorated, and high quality is obtained. The film of Further, since a large number of processing units are arranged around one transfer chamber, it is possible to obtain a processing apparatus having higher area efficiency.

【0124】(第5の実施形態)図26は本発明の第5
の実施形態に係る処理装置5の概略構成を示した図であ
り、図26(a)は平面図を、図26(b)は側面図を
それぞれ表わす。本実施形態に係る処理装置5では、前
記第4の処理装置4では移載室50の周囲に配設した処
理ユニット群を、上下二段に分けて配設する。すなわ
ち、移載室50を垂直方向に伸ばし、これに伴い移載ア
ーム33を、回転、伸縮に加えて垂直方向にもやや大き
く昇降可能な構造とする。
(Fifth Embodiment) FIG. 26 shows a fifth embodiment of the present invention.
26A and 26B are diagrams showing a schematic configuration of the processing device 5 according to the embodiment of the present invention, wherein FIG. 26A is a plan view and FIG. 26B is a side view. In the processing apparatus 5 according to the present embodiment, the processing unit group arranged around the transfer chamber 50 in the fourth processing apparatus 4 is arranged in two upper and lower stages. That is, the transfer chamber 50 is extended in the vertical direction, and accordingly, the transfer arm 33 is configured to be able to rotate and expand and contract, and to be moved up and down in the vertical direction slightly.

【0125】移載室50の下段側周囲には、予備洗浄ユ
ニット240、アニール処理ユニット250および界面
酸化膜形成ユニット260の三基のユニットを配設し、
移載室50の上段側には窒化膜形成ユニット270、ゲ
ート絶縁膜形成ユニット280、アニール処理ユニット
290、ゲート電極バリア膜形成ユニット400、ゲー
ト電極形成ユニット410の五基のユニットを配設す
る。
Around the lower side of the transfer chamber 50, three units of a preliminary cleaning unit 240, an annealing processing unit 250 and an interface oxide film forming unit 260 are arranged,
Five units of a nitride film forming unit 270, a gate insulating film forming unit 280, an annealing unit 290, a gate electrode barrier film forming unit 400, and a gate electrode forming unit 410 are arranged on the upper side of the transfer chamber 50.

【0126】さらに、下段側の各ユニットと上段側のユ
ニットとは干渉しないように、下段で隣接する二基のユ
ニットの間の位置に上段側のユニットが位置するような
レイアウトにする。このようなレイアウトにすることに
より、下段側の各ユニットの保守点検などの作業がし易
いという利点が得られる。
Further, the layout is such that the upper unit is located between two adjacent units in the lower stage so that the lower unit and the upper unit do not interfere with each other. With such a layout, there is an advantage that work such as maintenance and inspection of each unit on the lower stage side is easy.

【0127】このように本実施形態に係る処理装置で
は、一つの移載室50の回りに多数の処理ユニットを二
段に分けて配設したので、より面積効率の高い処理装置
を得ることができる。また、それぞれの処理ユニットで
比較的高さの低いユニット、例えばALDユニットを下
段に配置することで、移載アーム33の昇降範囲を最小
化することもでき、さらに、ユニットの上面からのメン
テナンスが不要となる構造にすることにより、上下段の
ユニットを重ねて配置することも可能になる。
As described above, in the processing apparatus according to the present embodiment, since a large number of processing units are arranged in two stages around one transfer chamber 50, a processing apparatus having higher area efficiency can be obtained. it can. Further, by arranging a unit having a relatively low height in each processing unit, for example, an ALD unit in the lower stage, the lifting range of the transfer arm 33 can be minimized, and further maintenance from the upper surface of the unit can be performed. By making the structure unnecessary, it becomes possible to arrange the units in the upper and lower stages in a stacked manner.

【0128】(第6の実施形態)図27は本発明の第6
の実施形態に係る処理装置6の平面図である。本実施形
態に係る処理装置6では、第1の移載室30の周囲に六
基の処理ユニットU1〜U6を配設し、第2の移載室5
0の周囲に六基の処理ユニットU7〜U12を配設し、
一基の処理装置5内に十二基の処理ユニットU1〜U1
2を配設した。このように本実施形態に係る処理装置で
は、一つの移載室の回りに多数の処理ユニットを配設し
たので、より面積効率の高い処理装置を得ることがで
き、さらに、より長い工程を大気にさらすことなく連続
して処理することができるので製造途中の膜が酸化した
り、劣化することがなく、高品質の膜が得られる。
(Sixth Embodiment) FIG. 27 shows a sixth embodiment of the present invention.
It is a top view of the processing apparatus 6 which concerns on embodiment of FIG. In the processing apparatus 6 according to the present embodiment, six processing units U1 to U6 are arranged around the first transfer chamber 30, and the second transfer chamber 5 is provided.
Six processing units U7 to U12 are arranged around 0,
Twelve processing units U1 to U1 in one processing device 5
2 were arranged. As described above, in the processing apparatus according to the present embodiment, since a large number of processing units are arranged around one transfer chamber, a processing apparatus having a higher area efficiency can be obtained, and further, a longer process can be performed in the atmosphere. Since the film can be continuously processed without being exposed to water, a high quality film can be obtained without oxidation or deterioration of the film in the process of production.

【0129】なお、上記の各実施形態では、具体的に半
導体装置の製造工程として、フローチャートで三例示し
た。本処理装置は、上記各実施形態で述べた処理ユニッ
ト以外の、例えば、エッチングユニット、アッシングユ
ニット、イオン注入ユニット、熱処理ユニット、洗浄ユ
ニット、塗布現像ユニット等を用いることにより、他の
工程にも適用できる。さらに、半導体以外の例えばLC
D装置の製造にも適用できる。
In each of the above-mentioned embodiments, three specific steps of manufacturing the semiconductor device are illustrated in the flow charts. The present processing apparatus can be applied to other steps by using, for example, an etching unit, an ashing unit, an ion implantation unit, a heat treatment unit, a cleaning unit, a coating and developing unit other than the processing unit described in each of the above embodiments. it can. Furthermore, for example LC other than semiconductors
It can also be applied to the manufacture of D devices.

【0130】[0130]

【発明の効果】以上詳述したように、本発明によれば、
移載室の周囲に多数の処理ユニットを配設したので、処
理速度が向上し、面積効率の高い処理装置を提供するこ
とが可能となる。また、処理ユニット群を処理環境毎に
配設した複数の処理空間に分け、これらを連結して一つ
の処理装置としたので、処理効率が向上し、品質の高い
半導体装置を製造することができる。
As described in detail above, according to the present invention,
Since a large number of processing units are arranged around the transfer chamber, it is possible to improve the processing speed and provide a processing apparatus with high area efficiency. Further, since the processing unit group is divided into a plurality of processing spaces arranged for each processing environment and these are connected to form one processing device, the processing efficiency is improved and a high quality semiconductor device can be manufactured. .

【図面の簡単な説明】[Brief description of drawings]

【図1】第1の実施形態に係る処理装置の平面図。FIG. 1 is a plan view of a processing device according to a first embodiment.

【図2】第1の実施形態に係る処理装置の予備洗浄ユニ
ットの垂直断面図。
FIG. 2 is a vertical cross-sectional view of a preliminary cleaning unit of the processing apparatus according to the first embodiment.

【図3】第1の実施形態に係る処理装置のCVDユニッ
トの垂直断面図。
FIG. 3 is a vertical cross-sectional view of a CVD unit of the processing apparatus according to the first embodiment.

【図4】第1の実施形態に係る処理装置のALDユニッ
トの垂直断面図。
FIG. 4 is a vertical sectional view of an ALD unit of the processing apparatus according to the first embodiment.

【図5】第1の実施形態に係る処理装置で半導体装置を
製造するときのフローチャート。
FIG. 5 is a flowchart for manufacturing a semiconductor device with the processing apparatus according to the first embodiment.

【図6】第1の実施形態に係る処理装置による半導体装
置の製造プロセスを示す垂直断面図。
FIG. 6 is a vertical cross-sectional view showing the manufacturing process of the semiconductor device by the processing apparatus according to the first embodiment.

【図7】第1の実施形態に係る処理装置の面積効率を従
来型の装置と比較したグラフ。
FIG. 7 is a graph comparing the area efficiency of the processing apparatus according to the first embodiment with that of a conventional apparatus.

【図8】第1の実施形態に係る処理装置の処理速度を従
来型の装置と比較したグラフ。
FIG. 8 is a graph comparing the processing speed of the processing apparatus according to the first embodiment with that of a conventional apparatus.

【図9】第1の実施形態に係る処理装置に適用可能な移
載アームの構成および伸縮動作を模式的に示す図。
FIG. 9 is a diagram schematically showing a configuration and a stretching operation of a transfer arm applicable to the processing device according to the first embodiment.

【図10】図9に示した移載アームの旋回動作を模式的
に示す図。
FIG. 10 is a diagram schematically showing the turning operation of the transfer arm shown in FIG.

【図11】図9、図10に示した移載アーム2台による
被処理基体の受け渡しを説明する図。
FIG. 11 is a view for explaining the transfer of the substrate to be processed by the two transfer arms shown in FIGS. 9 and 10.

【図12】図9、図10に示した移載アームによる処理
ユニットへの被処理基体の搬入・搬出動作を説明する
図。
FIG. 12 is a view for explaining the loading / unloading operation of the substrate to be processed into / from the processing unit by the transfer arm shown in FIGS. 9 and 10;

【図13】図9、図10に示した移載アームによる処理
ユニットへの被処理基体の別の搬入・搬出動作を説明す
る図。
FIG. 13 is a diagram for explaining another loading / unloading operation of the substrate to be processed into / from the processing unit by the transfer arm shown in FIGS. 9 and 10;

【図14】図9、図10に示した移載アームとは異なる
移載アーム2台による被処理基体の受け渡しを説明する
図。
FIG. 14 is a diagram for explaining the transfer of a substrate to be processed by two transfer arms different from the transfer arms shown in FIGS. 9 and 10.

【図15】図14に示した移載アームによる処理ユニッ
トへの被処理基体の搬入・搬出動作を説明する図。
FIG. 15 is a view for explaining the operation of loading / unloading the substrate to be processed into / from the processing unit by the transfer arm shown in FIG. 14;

【図16】第2の実施形態に係る処理装置の平面図。FIG. 16 is a plan view of the processing apparatus according to the second embodiment.

【図17】第2の実施形態に係る処理装置のリアクティ
ブクリーンユニットの垂直断面図。
FIG. 17 is a vertical sectional view of a reactive clean unit of the processing apparatus according to the second embodiment.

【図18】第2の実施形態に係る処理装置のスパッタリ
ングユニットの垂直断面図。
FIG. 18 is a vertical cross-sectional view of the sputtering unit of the processing apparatus according to the second embodiment.

【図19】第2の実施形態に係る処理装置で半導体装置
を製造するときのフローチャート。
FIG. 19 is a flowchart for manufacturing a semiconductor device with the processing apparatus according to the second embodiment.

【図20】第2の実施形態に係る処理装置による半導体
装置の製造プロセスを示す垂直断面図。
FIG. 20 is a vertical cross-sectional view showing the manufacturing process of the semiconductor device by the processing apparatus according to the second embodiment.

【図21】第3の実施形態に係る処理装置の平面図。FIG. 21 is a plan view of the processing device according to the third embodiment.

【図22】第4の実施形態に係る処理装置の平面図。FIG. 22 is a plan view of the processing apparatus according to the fourth embodiment.

【図23】第4の実施形態に係る処理装置を運転したと
きのプロセスフロー図。
FIG. 23 is a process flow diagram when the processing apparatus according to the fourth embodiment is operated.

【図24】第4の実施形態に係る処理装置により製造さ
れる半導体装置の製造プロセスを示す垂直断面図。
FIG. 24 is a vertical cross-sectional view showing the manufacturing process of the semiconductor device manufactured by the processing apparatus according to the fourth embodiment.

【図25】図24の続図であって、第4の実施形態に係
る処理装置により製造される半導体装置の製造プロセス
を示す垂直断面図。
FIG. 25 is a vertical cross-sectional view showing the manufacturing process of the semiconductor device manufactured by the processing apparatus according to the fourth embodiment, which is a continuation view of FIG. 24;

【図26】第5の実施形態に係る処理装置の平面図。FIG. 26 is a plan view of the processing apparatus according to the fifth embodiment.

【図27】第6の実施形態に係る処理装置の平面図。FIG. 27 is a plan view of the processing apparatus according to the sixth embodiment.

【図28】従来型の6チャンバ型処理装置の平面図。FIG. 28 is a plan view of a conventional 6-chamber type processing apparatus.

【符号の説明】[Explanation of symbols]

1…処理装置 2…処理装置 3…処理装置 4…処理
装置 5…処理装置6…処理装置 10…基体容器載置
台 11…下地 11a…下地表面 11A…下地配線
層 11B…下地 12…絶縁層 12a…開口部 1
2A…絶縁層13…自然酸化膜 13A…自然酸化膜
13B…自然酸化膜 14…チタン層 14A…窒化タ
ンタル層 14B…シリコン酸化膜 15…窒化チタン
層15A…タンタル層 15B…窒化膜 16…タング
ステン層 16A…シード層 16B…ゲート絶縁膜
17B…ゲート電極バリア膜 18B…ゲート電極19
B…残留物 20…ロードロック室 21…サブアーム
30…移載室30A…移載室 31、32、33、3
4、35、36…移載アーム 40…ロードロック室
41、42、43…移載アーム 50…移載室 60…
予備洗浄ユニット 61…リアクティブイオンエッチユ
ニット 31a、31b…ウエハ保持部材 80、90
…CVDユニット 100、110、120、130…
ALDユニット 140、150…CVDユニット 1
60、170…予備洗浄ユニット 180、190…C
VDユニット 200、210…スパッタリングユニッ
ト 220、230…スパッタリングユニット 240
…予備洗浄ユニット250…アニール処理ユニット 2
60…界面酸化膜形成ユニット 270…窒化膜形成ユ
ニット 280…ゲート絶縁膜形成ユニット 290…
アニール処理ユニット 400…ゲート電極バリア膜形
成ユニット 401…回転中心部材402、403、4
04、405…アーム 406、406a、406b…
ウエハ支持部材 406A、406Aa、406Ab…
ウエハ支持部材 407…ウエハ 408、408A…
載置台 409a、409b、409c…支持ピン41
0…ゲート電極形成ユニット 601…処理室 601
a…開口部 602…サセプタ 603…導入部 60
4…二酸化炭素源 605…ゲートバルブ606…排気
口 607…コンプレッサ 608…熱交換器 801
…処理室801a…開口部 802…ガス導入管 80
2a…ガス出口 803…ガス供給源 804…ガス排
気管 805…サセプタ 806…ゲートバルブ 80
7…シャワーヘッド 1006…ゲートバルブ 160
1…処理室 1602…サセプタ 1603…上部電極
1604…ガス供給源 1606…ゲートバルブ 2
001…スパッタリング室 2002…基板ホルダ 2
003…ターゲット 2004…電極 2005…ゲー
トバルブ C…キャリアカセット U1、U2、U3、
U4、U5、U6、U7、U8、U9、U10、U1
1、U12…処理ユニット W…ウエハ
DESCRIPTION OF SYMBOLS 1 ... Processing apparatus 2 ... Processing apparatus 3 ... Processing apparatus 4 ... Processing apparatus 5 ... Processing apparatus 6 ... Processing apparatus 10 ... Substrate container mounting base 11 ... Underlayer 11a ... Underground surface 11A ... Underground wiring layer 11B ... Underground 12 ... Insulating layer 12a … Aperture 1
2A ... Insulating layer 13 ... Natural oxide film 13A ... Natural oxide film
13B ... Natural oxide film 14 ... Titanium layer 14A ... Tantalum nitride layer 14B ... Silicon oxide film 15 ... Titanium nitride layer 15A ... Tantalum layer 15B ... Nitride film 16 ... Tungsten layer 16A ... Seed layer 16B ... Gate insulating film
17B ... Gate electrode barrier film 18B ... Gate electrode 19
B ... Residue 20 ... Load lock chamber 21 ... Sub-arm 30 ... Transfer chamber 30A ... Transfer chamber 31, 32, 33, 3
4, 35, 36 ... Transfer arm 40 ... Load lock chamber
41, 42, 43 ... Transfer arm 50 ... Transfer chamber 60 ...
Preliminary cleaning unit 61 ... Reactive ion etching unit 31a, 31b ... Wafer holding member 80, 90
... CVD unit 100, 110, 120, 130 ...
ALD unit 140, 150 ... CVD unit 1
60, 170 ... Preliminary cleaning unit 180, 190 ... C
VD unit 200, 210 ... Sputtering unit 220, 230 ... Sputtering unit 240
… Preliminary cleaning unit 250… Annealing unit 2
60 ... Interface oxide film forming unit 270 ... Nitride film forming unit 280 ... Gate insulating film forming unit 290 ...
Annealing unit 400 ... Gate electrode barrier film forming unit 401 ... Rotation center members 402, 403, 4
04, 405 ... Arms 406, 406a, 406b ...
Wafer support member 406A, 406Aa, 406Ab ...
Wafer support member 407 ... Wafers 408, 408A ...
Tables 409a, 409b, 409c ... Support pins 41
0 ... Gate electrode forming unit 601 ... Processing chamber 601
a ... Opening portion 602 ... Susceptor 603 ... Introduction portion 60
4 ... Carbon dioxide source 605 ... Gate valve 606 ... Exhaust port 607 ... Compressor 608 ... Heat exchanger 801
... Processing chamber 801a ... Opening portion 802 ... Gas introduction pipe 80
2a ... Gas outlet 803 ... Gas supply source 804 ... Gas exhaust pipe 805 ... Susceptor 806 ... Gate valve 80
7 ... Shower head 1006 ... Gate valve 160
1 ... Processing chamber 1602 ... Susceptor 1603 ... Upper electrode 1604 ... Gas supply source 1606 ... Gate valve 2
001 ... Sputtering chamber 2002 ... Substrate holder 2
003 ... Target 2004 ... Electrode 2005 ... Gate valve C ... Carrier cassette U1, U2, U3,
U4, U5, U6, U7, U8, U9, U10, U1
1, U12 ... Processing unit W ... Wafer

───────────────────────────────────────────────────── フロントページの続き (72)発明者 熊井 寿和 東京都港区赤坂五丁目3番6号 TBS放 送センター 東京エレクトロン株式会社内 Fターム(参考) 5F031 CA01 DA01 FA01 FA07 FA11 FA12 FA14 FA15 GA44 GA47 GA50 HA16 HA33 HA37 MA02 MA04 MA13 MA15 MA28 MA32 MA33 NA05 NA09    ─────────────────────────────────────────────────── ─── Continued front page    (72) Inventor Toshikazu Kumai             TBS release, 5-3-6 Akasaka, Minato-ku, Tokyo             Sending Center Tokyo Electron Limited F-term (reference) 5F031 CA01 DA01 FA01 FA07 FA11                       FA12 FA14 FA15 GA44 GA47                       GA50 HA16 HA33 HA37 MA02                       MA04 MA13 MA15 MA28 MA32                       MA33 NA05 NA09

Claims (27)

【特許請求の範囲】[Claims] 【請求項1】 複数の被処理基体を収容する基体容器を
載置可能な基体容器載置台と、 前記基体容器載置台に隣設され、内部を第1の気圧に維
持し得る第1の移載室と、 前記第1の移載室の周囲に配設され、前記第1の気圧下
で被処理基体を処理する第1の処理ユニット群と、 前記第1の移載室内に配設され、被処理基体を移載する
第1の移載アームと、 前記第1の移載室に隣設され、内部を第2の気圧に維持
し得る第2の移載室と、 前記第2の移載室の周囲に配設され、前記第2の気圧下
で被処理基体を処理する第2の処理ユニット群と、 前記第2の移載室に配設された第2の移載アームとを具
備し、 前記第1の移載アームおよび/または前記第2の移載ア
ームは、2以上存在することを特徴とする処理装置。
1. A substrate container mounting table on which a substrate container accommodating a plurality of substrates to be processed can be mounted, and a first transfer which is adjacent to the substrate container mounting table and can maintain the inside at a first atmospheric pressure. A mounting chamber, a first processing unit group disposed around the first transfer chamber and processing the substrate to be processed under the first atmospheric pressure, and disposed in the first transfer chamber. A first transfer arm for transferring the substrate to be processed; a second transfer chamber that is adjacent to the first transfer chamber and can maintain the inside at a second atmospheric pressure; A second processing unit group disposed around the transfer chamber and processing the substrate to be processed under the second atmospheric pressure; and a second transfer arm disposed in the second transfer chamber. 2. The processing apparatus, wherein the first transfer arm and / or the second transfer arm are present in two or more.
【請求項2】 前記第1または第2の処理ユニット群
は、超臨界洗浄ユニット、リアクティブイオンエッチユ
ニット、および、スパッタエッチングユニットからなる
群から選択される一または二以上の処理ユニットからな
ることを特徴とする請求項1に記載の処理装置。
2. The first or second processing unit group includes one or more processing units selected from the group consisting of a supercritical cleaning unit, a reactive ion etching unit, and a sputter etching unit. The processing device according to claim 1, wherein:
【請求項3】 前記第1または第2の処理ユニット群
は、チタン層形成ユニット、窒化チタン層形成ユニッ
ト、タングステン層形成ユニット、タンタル層形成ユニ
ット、窒化タンタル層形成ユニット、窒化タングステン
層形成ユニット、銅層形成ユニット、アルミナ層形成ユ
ニット、ジルコニウムオキサイド層形成ユニット、ジル
コニウムシリケイト層形成ユニット、ハフニウムオキサ
イド層形成ユニット、ハフニウムシリケイト層形成ユニ
ット、イットリウムオキサイド層形成ユニット、イット
リウムシリケイト層形成ユニット、ランタンオキサイド
層形成ユニット、ランタンシリケイト層形成ユニット、
酸化膜形成ユニット、窒化膜形成ユニット、マンガン膜
形成ユニット、ニオブ層形成ユニット、アルミニウム層
形成ユニット、モリブデン層形成ユニット、ジルコニウ
ム層形成ユニット、バナジウム層形成ユニット、コバル
ト層形成ユニット、レニウム層形成ユニット、イリジウ
ム層形成ユニット、白金層形成ユニット、ルテニウムオ
キサイド層形成ユニット、および、アニール処理ユニッ
トからなる群から選択される一または二以上のユニット
であることを特徴とする請求項1に記載の処理装置。
3. The first or second processing unit group includes a titanium layer forming unit, a titanium nitride layer forming unit, a tungsten layer forming unit, a tantalum layer forming unit, a tantalum nitride layer forming unit, a tungsten nitride layer forming unit, Copper layer forming unit, alumina layer forming unit, zirconium oxide layer forming unit, zirconium silicate layer forming unit, hafnium oxide layer forming unit, hafnium silicate layer forming unit, yttrium oxide layer forming unit, yttrium silicate layer forming unit, lanthanum oxide layer forming Unit, lanthanum silicate layer forming unit,
Oxide film forming unit, nitride film forming unit, manganese film forming unit, niobium layer forming unit, aluminum layer forming unit, molybdenum layer forming unit, zirconium layer forming unit, vanadium layer forming unit, cobalt layer forming unit, rhenium layer forming unit, The processing apparatus according to claim 1, which is one or more units selected from the group consisting of an iridium layer forming unit, a platinum layer forming unit, a ruthenium oxide layer forming unit, and an annealing treatment unit.
【請求項4】 前記第1の気圧と前記第2の気圧とは異
なる気圧であることを特徴とする請求項1に記載の処理
装置。
4. The processing apparatus according to claim 1, wherein the first atmospheric pressure and the second atmospheric pressure are different from each other.
【請求項5】 前記第1または第2の処理ユニット群
は、チタン層形成ユニットと、窒化チタン層形成ユニッ
ト、窒化タンタル層形成ユニット、および窒化タングス
テン層形成ユニットからなる群から選択される一のユニ
ットとを有し、 前記チタン層形成ユニットの数と、窒化チタン層形成ユ
ニット、窒化タンタル層形成ユニット、および窒化タン
グステン層形成ユニットからなる前記群から選択される
一の前記ユニットの数との比率が、1:1,1:2,
2:3,または1:3であることを特徴とする請求項1
に記載の処理装置。
5. The first or second processing unit group is one selected from the group consisting of a titanium layer forming unit, a titanium nitride layer forming unit, a tantalum nitride layer forming unit, and a tungsten nitride layer forming unit. A unit, and the ratio of the number of the titanium layer forming unit to the number of the one unit selected from the group consisting of a titanium nitride layer forming unit, a tantalum nitride layer forming unit, and a tungsten nitride layer forming unit. But 1: 1, 1: 2
2. The ratio is 2: 3 or 1: 3.
The processing device according to.
【請求項6】 前記第1または第2の処理ユニット群
は、タンタル層形成ユニットと、窒化タンタル層形成ユ
ニット、窒化チタン層形成ユニット、および窒化タング
ステン層形成ユニットからなる群から選択される一のユ
ニットとを有し、 前記タンタル層形成ユニットの数と、窒化タンタル層形
成ユニット、窒化チタン層形成ユニット、および窒化タ
ングステン層形成ユニットからなる前記群から選択され
る一の前記ユニットの数との比率が、1:1,1:2,
1:3,2:3,2:1,3:2,または、3:1であ
ることを特徴とする請求項1に記載の処理装置。
6. The first or second processing unit group is one selected from the group consisting of a tantalum layer forming unit, a tantalum nitride layer forming unit, a titanium nitride layer forming unit, and a tungsten nitride layer forming unit. A unit, and a ratio of the number of the tantalum layer forming unit to the number of the one unit selected from the group consisting of a tantalum nitride layer forming unit, a titanium nitride layer forming unit, and a tungsten nitride layer forming unit. But 1: 1, 1: 2
The processing apparatus according to claim 1, wherein the processing ratio is 1: 3, 2: 3, 2: 1, 3: 2, or 3: 1.
【請求項7】 前記第2の移載室の周囲に配設され、処
理後の被処理基体を直接搬出するための被処理基体搬出
部をさらに具備することを特徴とする請求項1に記載の
処理装置。
7. The processing substrate unloading unit, which is arranged around the second transfer chamber and is used to directly carry out the processed substrate after processing, is further characterized in that: Processing equipment.
【請求項8】 前記第1の移載アームおよび/または前
記第2の移載アームは、フロッグレッグ形状を有するこ
とを特徴とする請求項1に記載の処理装置。
8. The processing apparatus according to claim 1, wherein the first transfer arm and / or the second transfer arm has a frog leg shape.
【請求項9】 前記第1の移載室と前記基体容器載置台
とを連結する第1のロードロック室と、 前記第1の移載室と前記第2の移載室とを連結する第2
のロードロック室とをさらに具備することを特徴とする
請求項1に記載の処理装置。
9. A first load lock chamber that connects the first transfer chamber and the base container mounting table, and a first load lock chamber that connects the first transfer chamber and the second transfer chamber. Two
The processing apparatus according to claim 1, further comprising:
【請求項10】 前記第1のロードロック室および/ま
たは前記第2のロードロック室は、被処理基体の検査モ
ジュールを兼ねることを特徴とする請求項9に記載の処
理装置。
10. The processing apparatus according to claim 9, wherein the first load lock chamber and / or the second load lock chamber also serves as an inspection module for a substrate to be processed.
【請求項11】 前記第1の処理ユニット群または前記
第2の処理ユニット群に含まれる処理ユニットのうち少
なくとも一つの処理ユニットが、被処理基体の検査モジ
ュールであることを特徴とする請求項1に記載の処理装
置。
11. The at least one processing unit among the processing units included in the first processing unit group or the second processing unit group is an inspection module for a substrate to be processed. The processing device according to.
【請求項12】 複数の被処理基体を収容する基体容器
を載置する基体容器載置台と、 前記基体容器載置台に隣設され、内部を所定の気圧に維
持し得る移載室と、 前記移載室の周囲に配設され、前記所定の気圧下で被処
理基体を処理する、窒化チタン層形成ユニット、窒化タ
ンタル層形成ユニット、および窒化タングステン層形成
ユニットからなる群から選択される一の処理ユニット
と、チタン層形成ユニットと、タングステン層形成ユニ
ットとを各二基以上と、 前記移載室の周囲に配設され、前記所定の気圧下で前記
被処理基体を処理する一または二以上の予備洗浄処理ユ
ニットと、 前記移載室内に配設され、被処理基体を移載する三基以
上の移載アームとを具備することを特徴とする処理装
置。
12. A substrate container mounting table on which a substrate container containing a plurality of substrates to be processed is mounted, a transfer chamber which is adjacent to the substrate container mounting table and can maintain the inside at a predetermined atmospheric pressure, One selected from the group consisting of a titanium nitride layer forming unit, a tantalum nitride layer forming unit, and a tungsten nitride layer forming unit, which is arranged around the transfer chamber and processes the substrate to be processed under the predetermined atmospheric pressure. At least two processing units, at least two titanium layer forming units, and at least two tungsten layer forming units are disposed around the transfer chamber and process the substrate to be processed under the predetermined atmospheric pressure. 2. The processing apparatus comprising: the pre-cleaning processing unit and the three or more transfer arms that are disposed in the transfer chamber and transfer the substrates to be processed.
【請求項13】 複数の被処理基体を収容する基体容器
を載置する基体容器載置台と、 前記基体容器載置台に隣接され、内部を所定の気圧に維
持し得る移載室と、 前記移載室の周囲に配設され、前記所定の圧力下で被処
理基体を処理する、窒化タンタル層形成ユニット、窒化
チタン層形成ユニット、および窒化タングステン層形成
ユニットからなる群から選択される一の処理ユニット
と、タンタル層形成ユニットと、銅層形成ユニットとを
各二基以上と、 前記移載室の周囲に配設され、前記所定の気圧下で前記
被処理基体を処理する一または二以上の予備洗浄処理ユ
ニットと、 前記移載室内に配設され、被処理基体を移載する三基以
上の移載アームとを具備することを特徴とする処理装
置。
13. A substrate container mounting table on which a substrate container containing a plurality of substrates to be processed is mounted, a transfer chamber adjacent to the substrate container mounting table and capable of maintaining the inside at a predetermined atmospheric pressure, One process selected from the group consisting of a tantalum nitride layer forming unit, a titanium nitride layer forming unit, and a tungsten nitride layer forming unit, which is disposed around the mounting chamber and processes the substrate to be processed under the predetermined pressure. A unit, a tantalum layer forming unit, and two or more copper layer forming units, and one or two or more units arranged around the transfer chamber for treating the substrate to be treated under the predetermined atmospheric pressure. A processing apparatus comprising: a pre-cleaning processing unit; and three or more transfer arms arranged in the transfer chamber for transferring substrates to be processed.
【請求項14】 複数の被処理基体を収容する基体容器
を載置する基体容器載置台と、 前記基体容器載置台に隣接され、内部を所定の気圧に維
持し得る移載室と、 前記移載室の周囲に配設され、前記所定の圧力下で被処
理基体を処理する、予備洗浄処理ユニット、アルミナ層
形成ユニット、ジルコニウムオキサイド層形成ユニッ
ト、ジルコニウムシリケイト層形成ユニット、ハフニウ
ムオキサイド層形成ユニット、ハフニウムシリケイト層
形成ユニット、イットリウムオキサイド層形成ユニッ
ト、イットリウムシリケイト層形成ユニット、ランタン
オキサイド層形成ユニット、ランタンシリケイト層形成
ユニット、酸化膜形成ユニット、窒化膜形成ユニット、
マンガン膜形成ユニット、ニオブ層形成ユニット、アル
ミニウム層形成ユニット、モリブデン層形成ユニット、
ジルコニウム層形成ユニット、バナジウム層形成ユニッ
ト、コバルト層形成ユニット、レニウム層形成ユニッ
ト、イリジウム層形成ユニット、白金層形成ユニット、
ルテニウムオキサイド層形成ユニット、アニール処理ユ
ニット、タングステン層形成ユニットからなる群から選
択される処理ユニットと、 前記移載室内に配設され、被処理基体を移載する三基以
上の移載アームとを具備することを特徴とする処理装
置。
14. A substrate container mounting table on which a substrate container containing a plurality of substrates to be processed is mounted, a transfer chamber adjacent to the substrate container mounting table and capable of maintaining the inside at a predetermined atmospheric pressure, A pre-cleaning treatment unit disposed around the mounting chamber for treating the substrate to be treated under the predetermined pressure, an alumina layer forming unit, a zirconium oxide layer forming unit, a zirconium silicate layer forming unit, a hafnium oxide layer forming unit, Hafnium silicate layer forming unit, yttrium oxide layer forming unit, yttrium silicate layer forming unit, lanthanum oxide layer forming unit, lanthanum silicate layer forming unit, oxide film forming unit, nitride film forming unit,
Manganese film forming unit, niobium layer forming unit, aluminum layer forming unit, molybdenum layer forming unit,
Zirconium layer forming unit, vanadium layer forming unit, cobalt layer forming unit, rhenium layer forming unit, iridium layer forming unit, platinum layer forming unit,
A processing unit selected from the group consisting of a ruthenium oxide layer forming unit, an annealing processing unit, and a tungsten layer forming unit; and three or more transfer arms arranged in the transfer chamber for transferring the substrate to be processed. A processing device comprising:
【請求項15】 前記移載室は、前記移載アームのうち
少なくとも二基のものがアクセス可能な複数の被処理基
体中継所を有することを特徴とする請求項12、13、
14のいずれか1項に記載の処理装置。
15. The transfer chamber includes a plurality of substrate transfer stations to be processed which are accessible to at least two transfer arms of the transfer arms.
14. The processing device according to any one of 14.
【請求項16】 前記ユニットの少なくとも一つが他の
前記ユニットに対して上下方向に配設されていることを
特徴とする請求項12、13、14のいずれか1項に記
載の処理装置。
16. The processing apparatus according to claim 12, wherein at least one of the units is vertically arranged with respect to the other units.
【請求項17】 前記第1の処理ユニット群または前記
第2の処理ユニット群に属する処理ユニットの少なくと
も一は、台面を有し前記台面に被処理基体を載置可能な
載置台と、前記載置台の前記台面上に出没可能に設けら
れた被処理基体載置・除去用の複数の支持ピンとを有
し、前記複数の支持ピンの中心位置は、前記載置台の中
心位置からずれていることを特徴とする請求項1に記載
の処理装置。
17. A mounting table, wherein at least one of the processing units belonging to the first processing unit group or the second processing unit group has a table surface and a substrate to be processed can be mounted on the table surface. And a plurality of support pins for mounting / removing the substrate to be processed, which are provided on the table surface of the mounting table so as to be retractable, and the center positions of the plurality of supporting pins are displaced from the center position of the mounting table. The processing device according to claim 1, wherein:
【請求項18】 前記第1の移載アームおよび/または
前記第2の移載アームは、伸縮および旋回が可能であ
り、かつ、前記第1の処理ユニット群/前記第2の処理
ユニット群に属する処理ユニットのうち最も近い位置に
配置されるものの正面に対して前記旋回の中心がずれて
いることを特徴とする請求項1に記載の処理装置。
18. The first transfer arm and / or the second transfer arm is extendable / contractible and rotatable, and the first processing unit group / the second processing unit group is The processing apparatus according to claim 1, wherein a center of the turning is deviated with respect to a front surface of a processing unit belonging to the closest position.
【請求項19】 前記第1の移載アームおよび/または
前記第2の移載アームは、伸縮および旋回が可能であ
り、かつ、前記伸縮の方向線に対して非対称の形状の被
処理基体支持部材を有することを特徴とする請求項1に
記載の処理装置。
19. The substrate support for processing, wherein the first transfer arm and / or the second transfer arm are extendable and retractable and asymmetric with respect to the direction of extension and contraction. The processing apparatus according to claim 1, further comprising a member.
【請求項20】 前記第1の処理ユニット群または前記
第2の処理ユニット群に属する処理ユニットの少なくと
も一は、台面を有し前記台面に被処理基体を載置可能な
載置台と、前記載置台の前記台面上に出没可能に設けら
れた被処理基体載置・除去用の複数の支持ピンとを有
し、前記複数の支持ピンは、前記非対称の形状の被処理
基体支持部材に応じて被処理基体支持部材に干渉しない
ように前記載置台に配置されることを特徴とする請求項
19に記載の処理装置。
20. A mounting table, wherein at least one of the processing units belonging to the first processing unit group or the second processing unit group has a table surface and a substrate to be processed can be mounted on the table surface. A plurality of support pins for mounting / removing the substrate to be processed, which are provided on the table surface of the mounting table so as to be retractable. 20. The processing apparatus according to claim 19, wherein the processing apparatus is arranged on the mounting table so as not to interfere with the processing substrate supporting member.
【請求項21】 前記複数の支持ピンは、その中心位置
が前記載置台の中心位置からずれていることを特徴とす
る請求項20に記載の処理装置。
21. The processing apparatus according to claim 20, wherein the center positions of the plurality of support pins are displaced from the center position of the mounting table.
【請求項22】 前記第1の移載アームおよび/または
前記第2の移載アームの前記被処理基体支持部材は、す
べてほぼ同一の形状であることを特徴とする請求項19
に記載の処理装置。
22. The processed substrate supporting members of the first transfer arm and / or the second transfer arm are all substantially the same in shape.
The processing device according to.
【請求項23】 伸縮および旋回可能なアームと、 前記アームの先端側に設けられ、前記伸縮の方向線に対
して非対称の形状の被処理基体支持部材とを具備するこ
とを特徴とする移載装置。
23. A transfer, comprising: an extendable and retractable arm, and a substrate support member to be processed, which is provided at a tip end side of the arm and has an asymmetrical shape with respect to the extension and contraction direction line. apparatus.
【請求項24】 伸縮および旋回可能な第2のアーム
と、前記第2のアームの先端側に設けられた第2の被処
理基体支持部材とを有する移載機構をさらに具備し、前
記移載機構の前記第2のアームの旋回の中心位置および
前記アームの旋回の中心位置は、ともに固定されている
ことを特徴とする請求項23に記載の移載装置。
24. A transfer mechanism further comprising: a second arm that is extendable / contractible and rotatable, and a second substrate support member to be processed, which is provided on a tip end side of the second arm. 24. The transfer device according to claim 23, wherein a center position of rotation of the second arm and a center position of rotation of the arm of the mechanism are both fixed.
【請求項25】 前記アームおよび前記第2のアームの
前記伸縮により被処理基体が直接受け渡し可能なように
前記アームおよび前記第2のアームの前記旋回の中心が
配置されていることを特徴とする請求項24に記載の移
載装置。
25. The center of rotation of the arm and the second arm is arranged so that the substrate to be processed can be directly transferred by the expansion and contraction of the arm and the second arm. The transfer device according to claim 24.
【請求項26】 それぞれ伸縮および旋回が可能で隣接
して配置された2つの移載アームであって前記移載アー
ムそれぞれは他方の移載アームに向かう方向に凹凸が存
在し得る被処理基体支持部材を有する前記移載アーム間
での被処理基体の移載方法であって、 一方の前記移載アームの前記被処理基体支持部材を他方
の前記移載アームの前記旋回のほぼ中心に向けて伸張し
た状態におき、かつ、前記移載アームそれぞれの前記被
処理基体支持部材の前記凹凸が互い違いに位置するよう
に、他方の前記移載アームの前記被処理基体支持部材を
一方の前記移載アームの前記旋回の中心から外した方向
に向かって伸張した状態におくステップと、 前記状態において被処理基体を前記移載アーム間で移載
するステップとを具備することを特徴とする移載方法。
26. Supporting a substrate to be processed, which is two transfer arms that are respectively extendable and retractable and are arranged adjacent to each other, and each transfer arm may have unevenness in a direction toward the other transfer arm. A method of transferring a substrate to be processed between the transfer arms having a member, wherein the substrate supporting member to be processed of one of the transfer arms is directed to substantially the center of the rotation of the other transfer arm. The transferred substrate supporting member of the other transfer arm is placed in the expanded state, and the unevenness of the processed substrate supporting member of each of the transfer arms is alternately arranged so that the unevenness of the transferred arm is positioned on one of the transfer arms. And a step of transferring the substrate to be processed between the transfer arms in the state where the arm is extended in a direction deviated from the center of rotation of the arm. Method.
【請求項27】 それぞれ伸縮および旋回が可能で隣接
して配置された2つの移載アームであって前記移載アー
ムそれぞれは他方の移載アームに向かう方向に凹凸が存
在し得る被処理基体支持部材を有する前記移載アーム間
での被処理基体の移載方法であって、 前記移載アームそれぞれの前記被処理基体支持部材の前
記凹凸が互い違いに位置するように、一方の前記移載ア
ームの前記被処理基体支持部材を他方の前記移載アーム
の前記旋回の中心から外した方向に向かって伸張した状
態におき、かつ、他方の前記移載アームの前記被処理基
体支持部材を一方の前記移載アームの前記旋回の中心か
ら外した方向に向かって伸張した状態におくステップ
と、 前記状態において被処理基体を前記移載アーム間で移載
するステップとを具備することを特徴とする移載方法。
27. Supporting a substrate to be processed, which is two transfer arms that are respectively extendable and retractable and are arranged adjacent to each other, and each transfer arm may have unevenness in a direction toward the other transfer arm. A method of transferring a substrate to be processed between the transfer arms having a member, wherein one of the transfer arms is arranged such that the irregularities of the substrate to be processed supporting members of the respective transfer arms are positioned alternately. Of the target substrate support member of the other transfer arm is extended in a direction deviated from the center of rotation of the other transfer arm, and the target substrate support member of the other transfer arm is set to one of And a step of placing the transfer arm in a state in which the transfer arm is extended in a direction away from the center of the rotation, and a step of transferring the substrate to be processed between the transfer arms in the state. Transfer method according to symptoms.
JP2002146187A 2001-05-21 2002-05-21 Treatment apparatus, apparatus and method for transfer Pending JP2003060008A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002146187A JP2003060008A (en) 2001-05-21 2002-05-21 Treatment apparatus, apparatus and method for transfer

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2001151640 2001-05-21
JP2001-151640 2001-05-21
JP2002146187A JP2003060008A (en) 2001-05-21 2002-05-21 Treatment apparatus, apparatus and method for transfer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2007203732A Division JP2008034858A (en) 2001-05-21 2007-08-06 Processing apparatus

Publications (1)

Publication Number Publication Date
JP2003060008A true JP2003060008A (en) 2003-02-28

Family

ID=26615448

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002146187A Pending JP2003060008A (en) 2001-05-21 2002-05-21 Treatment apparatus, apparatus and method for transfer

Country Status (1)

Country Link
JP (1) JP2003060008A (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007041599A (en) * 2005-07-29 2007-02-15 Applied Materials Inc Cluster tool and method for process integration in manufacturing photomask
JP2008075135A (en) * 2006-09-21 2008-04-03 Nippon Dempa Kogyo Co Ltd Vacuum treatment device and air opening method
WO2009034869A1 (en) * 2007-09-10 2009-03-19 Tokyo Electron Limited Vacuum processing system and substrate transfer method
JPWO2008069130A1 (en) * 2006-12-05 2010-03-18 芝浦メカトロニクス株式会社 Robot apparatus, processing apparatus including the same, ashing processing system, and ashing processing method
JP2011114013A (en) * 2009-11-24 2011-06-09 Sumitomo Electric Ind Ltd Apparatus and method for manufacturing semiconductor device
JP2012049404A (en) * 2010-08-27 2012-03-08 Ulvac Japan Ltd Vacuum processing device
US10049860B2 (en) 2012-07-04 2018-08-14 Tokyo Electron Limited Substrate processing apparatus
JP2021523554A (en) * 2018-05-15 2021-09-02 エヴァテック・アーゲー Substrate vacuum processing equipment and method

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829471B2 (en) 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
JP2007041599A (en) * 2005-07-29 2007-02-15 Applied Materials Inc Cluster tool and method for process integration in manufacturing photomask
US7838433B2 (en) 2005-07-29 2010-11-23 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
JP2008075135A (en) * 2006-09-21 2008-04-03 Nippon Dempa Kogyo Co Ltd Vacuum treatment device and air opening method
US8434993B2 (en) 2006-12-05 2013-05-07 Shibaura-Mechatronics Corporation Robot apparatus and processing apparatus provided therewith, ashing system, and ashing method
JPWO2008069130A1 (en) * 2006-12-05 2010-03-18 芝浦メカトロニクス株式会社 Robot apparatus, processing apparatus including the same, ashing processing system, and ashing processing method
JP2009062604A (en) * 2007-09-10 2009-03-26 Tokyo Electron Ltd Vacuum treatment system, and method for carrying substrate
WO2009034869A1 (en) * 2007-09-10 2009-03-19 Tokyo Electron Limited Vacuum processing system and substrate transfer method
JP2011114013A (en) * 2009-11-24 2011-06-09 Sumitomo Electric Ind Ltd Apparatus and method for manufacturing semiconductor device
JP2012049404A (en) * 2010-08-27 2012-03-08 Ulvac Japan Ltd Vacuum processing device
US10049860B2 (en) 2012-07-04 2018-08-14 Tokyo Electron Limited Substrate processing apparatus
US10468237B2 (en) 2012-07-04 2019-11-05 Tokyo Electron Limited Substrate processing apparatus
JP2021523554A (en) * 2018-05-15 2021-09-02 エヴァテック・アーゲー Substrate vacuum processing equipment and method
JP7391869B2 (en) 2018-05-15 2023-12-05 エヴァテック・アーゲー Substrate vacuum processing equipment and method

Similar Documents

Publication Publication Date Title
JP4668286B2 (en) Processing equipment
JP3144664B2 (en) Processing device and processing method
JP4912253B2 (en) Substrate transport apparatus, substrate processing apparatus, and substrate transport method
TW201930625A (en) Method of selective film deposition for forming fully self-aligned vias
US11776828B2 (en) Vacuum processing device
JP4916140B2 (en) Vacuum processing system
JP6749225B2 (en) Cleaning method
US20090209095A1 (en) Manufacturing Method for Semiconductor Devices and Substrate Processing Apparatus
KR20150130521A (en) Processing systems and methods for halide scavenging
JPH03274746A (en) Multi-chamber device
US9779978B2 (en) Method of manufacturing semiconductor device and semiconductor manufacturing apparatus
JP4410497B2 (en) Deposition method
JP2003060008A (en) Treatment apparatus, apparatus and method for transfer
JP6294151B2 (en) Deposition method
WO2017209881A1 (en) Dodecadon transfer chamber and processing system having the same
JP2008034858A (en) Processing apparatus
KR20150088888A (en) Apparatus and methods for backside passivation
JP5011355B2 (en) Deposition method
US20040231585A1 (en) Thin film forming method and thin film forming apparatus
JPH05198660A (en) Semiconductor manufacturing equipment
JP7402715B2 (en) How to process wafers
WO2021220839A1 (en) Pvd device
JP6906439B2 (en) Film formation method
JP2024044297A (en) SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD
JP2001347236A (en) Substrate treatment apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040712

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070605

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070806

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071120