JP2003059908A - Method of etching oxide film - Google Patents

Method of etching oxide film

Info

Publication number
JP2003059908A
JP2003059908A JP2001244350A JP2001244350A JP2003059908A JP 2003059908 A JP2003059908 A JP 2003059908A JP 2001244350 A JP2001244350 A JP 2001244350A JP 2001244350 A JP2001244350 A JP 2001244350A JP 2003059908 A JP2003059908 A JP 2003059908A
Authority
JP
Japan
Prior art keywords
etching
gas
oxide film
flow rate
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001244350A
Other languages
Japanese (ja)
Other versions
JP3764858B2 (en
Inventor
Hiroshi Yamauchi
博史 山内
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP2001244350A priority Critical patent/JP3764858B2/en
Publication of JP2003059908A publication Critical patent/JP2003059908A/en
Application granted granted Critical
Publication of JP3764858B2 publication Critical patent/JP3764858B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method for etching an oxide film which can suitably etch the oxide film on a substrate with a high selectivity ratio and can make vertical via holes in the oxide film. SOLUTION: In the method for etching an oxide film, an etching gas containing C5 F8 gases is changed to a plasma state, under which condition an oxide film on a substrate is etched with a flow rate of a CO gas set to 20-90 sccm, thus solving the above problem.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は半導体装置の製造分
野等において適用される酸化膜のエッチング方法に関
し、特にビアホールエッチングにおけるホールの形状不
良やそれによる電気抵抗の増加を抑制することが可能な
酸化膜のエッチング方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an oxide film etching method applied in the field of semiconductor device manufacturing, and more particularly to an oxidation method capable of suppressing a defective shape of a hole in via hole etching and an increase in electric resistance due to the defect. The present invention relates to a film etching method.

【0002】[0002]

【従来の技術】従来、例えば半導体素子の代表的な絶縁
材料であるシリコン酸化膜にビアホールをプラズマ雰囲
気中でエッチングする場合、使用するエッチングガスと
して、H2を添加したCF4+H2の混合ガスや、CHF3
+COの混合ガスなどのH結合を有するCHxy系ガス
とCO2、COとの混合ガスが多く用いられている。
2. Description of the Related Art Conventionally, when a via hole is etched in a plasma atmosphere in a silicon oxide film which is a typical insulating material of a semiconductor device, a mixed gas of CF 4 + H 2 containing H 2 is used as an etching gas. Or CHF 3
A mixed gas of a CH x F y based gas having an H bond such as a mixed gas of + CO and CO 2 or CO is often used.

【0003】例えば、CHF3+COの混合ガスを用い
た場合、対Siにおける選択比(Si基板のエッチング
レートに対するその上に形成されたSiO2膜のエッチ
ングレート比)は、50という高い値が得られている。
また、対多結晶シリコン膜(例えばポリSi基板)にお
ける選択比でも約50という高い値が得られている。
For example, when a mixed gas of CHF 3 + CO is used, a high selection ratio of 50 with respect to Si (the etching rate ratio of the SiO 2 film formed on the etching rate of the Si substrate) is obtained. Has been.
In addition, a high selection ratio of about 50 is obtained for a polycrystalline silicon film (for example, a poly-Si substrate).

【0004】しかしながら、このようなガスを用いた場
合、対SiやポリSiにおける選択比は高いものの、下
地がそれ以外の材質、例えばSiN(シリコンナイトラ
イド)や金属(例えばAl、TiNなど)である場合に
は選択比が極端に低下してしまうという問題があった。
However, when such a gas is used, the underlayer is made of another material such as SiN (silicon nitride) or metal (for example, Al, TiN, etc.), although the selection ratio for Si or polySi is high. In some cases, there was a problem that the selection ratio was extremely lowered.

【0005】例えばエッチングガスとしてCHF3+C
Oの混合ガスを使用した場合、対SiNにおける選択比
はわずかに1.0程度しか得られず、対Alにおける選
択比でも4.0程度しか得られなかった。すなわち、H
を含むエッチングガスを使用し、下地がSiNで、フッ
素ガス系のプラズマ雰囲気中でエッチングを行った場
合、 SiN+CFX++H+→SiFX↑+NHX↑+HC
N↑+NHXF↑ という反応を起こし、下地のSiNに対してもエッチン
グが進行してしまい、対SiNにおける選択比の低下を
招いていた。
For example, CHF 3 + C is used as an etching gas.
When the mixed gas of O was used, the selection ratio with respect to SiN was only about 1.0, and the selection ratio with respect to Al was only about 4.0. That is, H
When etching is performed in a fluorine gas-based plasma atmosphere using an etching gas containing SiN, SiN + CFX ++ H + → SiFX ↑ + NHX ↑ + HC
A reaction of N ↑ + NHXF ↑ occurs, and etching proceeds even to the underlying SiN, resulting in a decrease in the selection ratio with respect to SiN.

【0006】一方、半導体素子のさらなる微細化に伴
い、隣接するゲート(ポリシリコン)どうしの間隔が近
年益々小さくなってきている。このため、コンタクトホ
ール開口形成によるリソグラフィーの寸法精度がますま
す要求されるようになってきているが、従来のエッチン
グガスを用いる場合では、その精度に限界があった。
On the other hand, with the further miniaturization of semiconductor devices, the distance between adjacent gates (polysilicon) has become smaller and smaller in recent years. Therefore, the dimensional accuracy of lithography by forming a contact hole opening is increasingly required, but the accuracy is limited when the conventional etching gas is used.

【0007】すなわち、従来のエッチングガスでは、微
細な間隔のゲートに関連してセルファラインコンタクト
ホールをエッチングにより形成するときに、酸化膜(S
iO 2)と、ポリシリコンゲートを覆う窒化膜(Si3
4)とを厳密に選択してエッチングを行うことが難しか
った。また、エッチング後の反応生成物、例えばフッ化
アルミニウム系化合物(AIFx系)がコンタクトホー
ル側壁に付着するため、エッチング処理後の工程、例え
ばアッシング処理や洗浄処理等の工程において付着物
(フェンスやクラウンと一般に呼ばれている)を除去す
る必要があり、しかもそれを除去することは非常に困難
であった。
That is, in the conventional etching gas,
Self-aligned contacts in relation to closely spaced gates
When forming holes by etching, oxide film (S
iO 2) And a nitride film (Si3N
Four) It is difficult to perform etching by strictly selecting and
It was. In addition, a reaction product after etching, for example, fluorination
Aluminum compound (AIFx type) is contact ho
Since it adheres to the side wall of the tool, the process after the etching process, eg
For example, ashing treatment or cleaning treatment
Remove (commonly called a fence or crown)
Need to be removed and it is very difficult to remove
Met.

【0008】このような問題に対して、特開平6−33
8479号公報では、処理室内に処理ガスを導入して、
処理室内の被処理体に対してエッチングを行う方法にお
いて、処理ガスとして、Hを含まない少なくとも4族と
7族の元素を含有するガスとCOとを含むエッチングガ
スを用いる方法が提案されている[図5(c)]。この
方法によれば、Hを含まないエッチングガスを使用して
いるので、上記反応式のようなNHXを生成する反応は
起こらない。すなわち、SiN膜のNと反応するHがな
いので、酸化膜と下地との選択比が向上する。また、エ
ッチングガスはCOを含んでいるから、下地には下地と
は反応しないカーボンリッチな保護膜が形成される。そ
の結果、例えばエッチングガス成分として7族の元素と
フッ素(F)を用いた場合、保護膜がフッ素等の下地への
付着を防止し、そのブロッキング効果によって下地のエ
ッチングレートを低下させることにより、酸化膜と下地
との選択比が大幅に向上する。
With respect to such a problem, Japanese Patent Laid-Open No. 6-33
In Japanese Patent No. 8479, a processing gas is introduced into the processing chamber,
In the method of etching the object to be processed in the processing chamber, there has been proposed a method of using, as the processing gas, an etching gas containing CO and a gas containing at least elements of Groups 4 and 7 that do not contain H. [FIG.5 (c)]. According to this method, since the etching gas containing no H is used, the reaction for generating NHX as in the above reaction formula does not occur. That is, since there is no H that reacts with N of the SiN film, the selection ratio between the oxide film and the base is improved. Further, since the etching gas contains CO, a carbon-rich protective film that does not react with the base is formed on the base. As a result, for example, when a Group 7 element and fluorine (F) are used as the etching gas component, the protective film prevents adhesion of fluorine or the like to the underlayer, and the blocking effect reduces the etching rate of the underlayer, The selection ratio between the oxide film and the base is greatly improved.

【0009】[0009]

【発明が解決しようとする課題】以上のように、酸化膜
と下地との選択比を確保するという点では、改善がなさ
れてきているが、ビアホールの形状不良やそれによる電
気抵抗の増加に関してはまだ問題が残っている。すなわ
ち、半導体集積回路の微細化によるプラズマの高密度化
や、層間絶縁膜材料としての酸化膜の多様化によって、
プロセスに固有の問題が生じている。例えば、フッ素を
含有するシリコン酸化膜(以下、「FSG膜」という)
にビアホールを形成する場合には、ホール底部において
急激にホール径が減少するような形状不良が発生し、得
られる半導体装置の電気抵抗が増大するという問題があ
った。また、下地に対する酸化膜の選択比が低くなるた
め下地もエッチングされてしまうという問題があった。
As described above, improvements have been made in terms of ensuring the selection ratio between the oxide film and the underlayer, but regarding the defective shape of the via hole and the increase in electrical resistance due to the defect. There are still problems. That is, due to the high density of plasma due to the miniaturization of semiconductor integrated circuits and the diversification of oxide films as interlayer insulating film materials,
There are process-specific problems. For example, a silicon oxide film containing fluorine (hereinafter referred to as "FSG film")
When forming a via hole, there is a problem that a shape defect such that the hole diameter sharply decreases at the bottom of the hole and the electric resistance of the obtained semiconductor device increases. In addition, the selection ratio of the oxide film with respect to the base becomes low, so that the base is also etched.

【0010】[0010]

【課題を解決するための手段】以上のような問題に対し
て、本発明者は、エッチングガスにC58ガス及びCO
ガスを含み、COガスの流量を特定することにより、低
エネルギーフッ素成分がホール側壁に付着するのを抑制
し、かつ酸化膜と下地との選択比を高く維持できること
を見出し、本発明を完成するに至った。
In order to solve the above problems, the present inventor has proposed that the etching gas is C 5 F 8 gas and CO.
By including the gas and specifying the flow rate of the CO gas, it was found that the low energy fluorine component can be prevented from adhering to the side wall of the hole, and the selection ratio between the oxide film and the underlayer can be maintained at a high level, and the present invention is completed. Came to.

【0011】かくして本発明によれば、C58ガスとC
Oガスとを含むエッチングガスを用い、このエッチング
ガスをプラズマ状態にして基板上の酸化膜をエッチング
するにあたり、COガスの流量を20〜90sccmに
することを特徴とする酸化膜のエッチング方法が提供さ
れる。
Thus, according to the present invention, C 5 F 8 gas and C
An etching method for an oxide film is provided, which comprises using an etching gas containing O gas, and setting the flow rate of the CO gas to 20 to 90 sccm when etching the oxide film on the substrate by making the etching gas into a plasma state. To be done.

【0012】[0012]

【発明の実施の形態】以下、本発明を、図1を用いて実
施態様を挙げて説明するが、本発明はこれにより限定さ
れるものではない。
BEST MODE FOR CARRYING OUT THE INVENTION Hereinafter, the present invention will be described with reference to an embodiment with reference to FIG. 1, but the present invention is not limited thereto.

【0013】実施態様 <被エッチング体の製作>先ず、予め素子分離膜2、ソ
ース・ドレイン領域3及びゲート電極4が形成された基
板1上に層間絶縁膜5を形成する。なお、基板は、通
常、半導体装置を製造するために使用される全ての基板
を用いることができ、例えばSi、Ge等の元素半導体
基板、GaAs、ZnSe、シリコンゲルマニウム等の
化合物半導体基板、ガラス基板、プラスチック基板等が
挙げられる。中でも本発明の効果が顕著であることか
ら、Si基板が好ましい。
Embodiment <Production of Etching Object> First, an interlayer insulating film 5 is formed on a substrate 1 on which an element isolation film 2, a source / drain region 3 and a gate electrode 4 are formed in advance. As the substrate, all substrates usually used for manufacturing a semiconductor device can be used, for example, elemental semiconductor substrates such as Si and Ge, compound semiconductor substrates such as GaAs, ZnSe, and silicon germanium, and glass substrates. , Plastic substrates and the like. Above all, the Si substrate is preferable because the effect of the present invention is remarkable.

【0014】また、層間絶縁膜5としては、例えばSi
N膜、SiO2膜、SiOF系膜、SiOC系膜等が挙
げられる。層間絶縁膜5の形成方法は、その材料に併せ
て適宜選択されるが、例えば熱酸化法、CVD法、スパ
ッタリング法、蒸着法等が挙げられる。
As the interlayer insulating film 5, for example, Si
Examples thereof include N film, SiO 2 film, SiOF based film, and SiOC based film. The method for forming the interlayer insulating film 5 is appropriately selected according to the material thereof, and examples thereof include a thermal oxidation method, a CVD method, a sputtering method, and a vapor deposition method.

【0015】次に、層間絶縁膜5上の所定の位置に配線
層7を形成する。配線層7は、例えばCVD法、スパッ
タリング法、蒸着法等によって層間絶縁膜5上全面に導
電性膜を形成し、次いでフォトリソグラフィー及びエッ
チング工程によって所望の形状にパターニングすること
で形成される。導電性膜を構成する材料としては、例え
ば、金、白金、銀、銅、アルミニウム等の金属や、チタ
ン、TiN、タンタル、タングステン等の高融点金属
や、高融点金属とのシリサイド又はポリサイドや、IT
O、SnO2、ZnO等の透明性導電体等などが挙げら
れる。中でも本発明の効果が顕著であることから、Ti
Nが好ましい。なお、配線層7の下には適宜コンタクト
プラグ6が形成される。
Next, the wiring layer 7 is formed at a predetermined position on the interlayer insulating film 5. The wiring layer 7 is formed by forming a conductive film on the entire surface of the interlayer insulating film 5 by, for example, a CVD method, a sputtering method, an evaporation method, or the like, and then patterning it into a desired shape by a photolithography and etching process. Examples of the material forming the conductive film include metals such as gold, platinum, silver, copper, and aluminum, refractory metals such as titanium, TiN, tantalum, and tungsten; silicides or polycides with refractory metals; IT
Examples thereof include transparent conductors such as O, SnO 2 , and ZnO. Above all, since the effect of the present invention is remarkable, Ti
N is preferred. A contact plug 6 is appropriately formed under the wiring layer 7.

【0016】次に、配線層7上に酸化膜8を形成する。
酸化膜8としては、シリコン酸化膜、フッ素を含有する
シリコン酸化膜(FSG膜)、リンを含有するシリコン
酸化膜(PSG膜)、ボロンを含有するシリコン酸化膜
(BSG)、ボロンとリンを含有するシリコン酸化物
(BPSG膜)等が挙げられる。中でも本発明の効果が
顕著であることからFSG膜が好ましい。次に、酸化膜
8上にレジスト層9を形成し、フォトリソグラフィーに
より所定の位置にビアホールパターン10を形成する。
Next, an oxide film 8 is formed on the wiring layer 7.
As the oxide film 8, a silicon oxide film, a silicon oxide film containing fluorine (FSG film), a silicon oxide film containing phosphorus (PSG film), a silicon oxide film containing boron (BSG), containing boron and phosphorus. A silicon oxide (BPSG film) or the like is used. Among them, the FSG film is preferable because the effect of the present invention is remarkable. Next, a resist layer 9 is formed on the oxide film 8 and a via hole pattern 10 is formed at a predetermined position by photolithography.

【0017】<酸化膜のエッチング>次に、上記の工程
で得られたウエーハを2周波式容量結合プラズマエッチ
ング装置の電極上にセットする。この電極は冷却配管を
内蔵し、装置外部に設置されるチラー等の冷却設備から
冷却配管へ適当な冷媒を供給循環させることにより、装
置中のウエーハを所定の温度に維持できるようになって
いる。この実施態様では、電極が20℃に推持されるよ
うにする。なお、エッチング装置としては、2周波式容
量結合プラズマエッチング装置に限定されることなく、
他にもマグネトロンRIE装置、平行平板型プラズマ装
置、平行平板型RIE装置、ECR型プラズマ装置など
を用いることができる。中でも本発明の効果が顕著であ
ることから、2周波式容量結合プラズマエッチング装置
を用いるのが好ましい。
<Etching of Oxide Film> Next, the wafer obtained in the above process is set on the electrodes of a dual frequency capacitively coupled plasma etching apparatus. This electrode has a built-in cooling pipe, and by supplying and circulating an appropriate refrigerant from a cooling device such as a chiller installed outside the device to the cooling pipe, the wafer in the device can be maintained at a predetermined temperature. . In this embodiment, the electrodes are forced to 20 ° C. The etching apparatus is not limited to the dual frequency capacitively coupled plasma etching apparatus,
Besides, a magnetron RIE device, a parallel plate type plasma device, a parallel plate type RIE device, an ECR type plasma device and the like can be used. Above all, it is preferable to use the dual frequency capacitively coupled plasma etching apparatus because the effect of the present invention is remarkable.

【0018】次に、C58、CO、O2及びArを含む
エッチングガスを用いて、ビアホールパターン10に基
づいて酸化膜8をドライエッチングし、所定の個所にビ
アホール11を形成する。エッチングガスは、少なくと
もC58ガス及びCOガスが含まれていれば特に限定さ
れないが、例えば、CF4、C26、C48等のフルオ
ロカーボン系ガスや、Ar、Kr、Xe等の不活性ガス
や、O2などが含まれていてもよい。
Next, the oxide film 8 is dry-etched based on the via hole pattern 10 using an etching gas containing C 5 F 8 , CO, O 2 and Ar to form a via hole 11 at a predetermined position. The etching gas is not particularly limited as long as it contains at least C 5 F 8 gas and CO gas. For example, a fluorocarbon-based gas such as CF 4 , C 2 F 6 , C 4 F 8 or Ar, Kr, Xe is used. It may contain an inert gas such as O 2 or the like.

【0019】COガスの流量は、20〜90sccmで
あり、好ましくは30〜70sccmである。COガス
の流量が20sccmを下回ると、ホール側壁に付着す
るフッ素成分を充分に除去できず、垂直形状のビアホー
ルを形成することができない。また、COガスの流量が
90sccmを上回ると、配線層7(TiN)に対する
酸化膜8(FSG)の選択比が極端に低くなり、配線層
7までもエッチングされてしまうことになる。
The flow rate of CO gas is 20 to 90 sccm, preferably 30 to 70 sccm. If the flow rate of CO gas is less than 20 sccm, the fluorine component adhering to the side wall of the hole cannot be sufficiently removed and a vertical via hole cannot be formed. When the flow rate of CO gas exceeds 90 sccm, the selectivity of the oxide film 8 (FSG) to the wiring layer 7 (TiN) becomes extremely low, and the wiring layer 7 is also etched.

【0020】また、COガスの流量は、エッチングガス
流量の5〜20容量%が好ましく、7.5〜16容量%
がさらに好ましい。COガスの流量が、エッチングガス
流量の5容量%を下回ると、ホール側壁に付着するフッ
素成分を充分に除去できず、垂直形状のビアホールを形
成することができないという点で好ましくない。また、
20容量%を上回ると、配線層7(TiN)に対する酸
化膜8(FSG)の選択比が極端に低くなるという点で
好ましくない。
The flow rate of CO gas is preferably 5 to 20% by volume of the etching gas flow rate, and more preferably 7.5 to 16% by volume.
Is more preferable. If the flow rate of the CO gas is less than 5% by volume of the flow rate of the etching gas, the fluorine component attached to the side wall of the hole cannot be sufficiently removed, and the vertical via hole cannot be formed, which is not preferable. Also,
When it exceeds 20% by volume, the selection ratio of the oxide film 8 (FSG) to the wiring layer 7 (TiN) becomes extremely low, which is not preferable.

【0021】エッチングガスにO2ガスを含ませる場
合、O2ガスの流量は、特に限定されないが、カーボン
系の堆積物を効果的に取り除き、ビアホールが垂直状に
形成されやすいことから、例えば10〜20sccm程
度が好ましい。
When O 2 gas is included in the etching gas, the flow rate of O 2 gas is not particularly limited, but carbon-based deposits are effectively removed and via holes are easily formed in a vertical shape. It is preferably about 20 sccm.

【0022】この実施態様でのエッチング条件は、C5
8流量を16sccm、CO流量を50sccm、O2
流量を17sccm、Ar流量を330sccm、ガス
圧を15mTorr、上部電極パワーを1800W、下
部電極パワーを1800Wにする。なお、各ガスの流量
及びガス混合比率は、制御装置及びマスフロー・コント
ローラにより調整される。
The etching conditions in this embodiment are C 5
F 8 flow rate 16 sccm, CO flow rate 50 sccm, O 2
The flow rate is 17 sccm, the Ar flow rate is 330 sccm, the gas pressure is 15 mTorr, the upper electrode power is 1800 W, and the lower electrode power is 1800 W. The flow rate of each gas and the gas mixture ratio are adjusted by the control device and the mass flow controller.

【0023】上記エッチングでは、C58の放電解離に
よりプラズマ中に生成するFラジカルによるラジカル反
応がCFx+、C+等のイオンによりアシストされる機
構でエッチングが進行し、FSG膜はSiFx、C
2、COFなどの形で除去される。このときのエッチ
ング速度は約600nm/分程度である。
In the above etching, the radical reaction due to the F radicals generated in the plasma due to the discharge dissociation of C 5 F 8 progresses by a mechanism in which ions such as CFx +, C + assist the etching, and the FSG film has SiFx, C
It is removed in the form of O 2 , COF and the like. The etching rate at this time is about 600 nm / min.

【0024】エッチング終了後、ウエーハをプラズマア
ッシング装置に移設し、通常のO2プラズマ・アッシン
グの条件にてレジストパターンを除去する。除去の機構
は主として、燃焼及び加熱による分解によるものであ
る。
After the etching is completed, the wafer is transferred to a plasma ashing apparatus, and the resist pattern is removed under the usual O 2 plasma ashing condition. The mechanism of removal is primarily by decomposition by combustion and heating.

【0025】以上の工程により、酸化膜8に、垂直形状
のビアホール11を形成することができる。なお、下地
の配線層7(TiN)に対する酸化膜8(FSG)の選
択比は50という高い値が得られる。
Through the above steps, the vertical via hole 11 can be formed in the oxide film 8. A high selection ratio of 50 is obtained for the oxide film 8 (FSG) with respect to the underlying wiring layer 7 (TiN).

【0026】図3は、上記のエッチング条件のうち、C
Oガスの流量のみを0sccmから100sccmまで
変化させた場合の、配線層7(TiN)に対する酸化膜
8(FSG)の選択比の変化をプロットしたグラフを示
したものである。このグラフによれば、COガス流量が
0sccmの場合は選択比は約20と低く、かつホール
形状は図2(b)に示すように垂直形状ではなくホールボ
トム付近で急激にホール径が減少するような形状とな
る。COガスを添加することによってホールの形状は改
善され[図2(a)]、COガスの流量が約50sccm
のときに選択比は50程度となり、極大値を示す。さら
にCOガスの流量が増えると、選択比は減少し、100
sccmに達した場合は選択比は10以下となり、配線
層7もエッチングされてしまうことになる。
FIG. 3 shows C among the above etching conditions.
6 is a graph showing changes in the selection ratio of the oxide film 8 (FSG) to the wiring layer 7 (TiN) when only the flow rate of O gas is changed from 0 sccm to 100 sccm. According to this graph, when the CO gas flow rate is 0 sccm, the selection ratio is as low as about 20 and the hole shape is not a vertical shape as shown in FIG. It becomes the shape. The shape of the hole was improved by adding CO gas [Fig. 2 (a)], and the flow rate of CO gas was about 50 sccm.
At that time, the selection ratio is about 50, which is the maximum value. When the flow rate of CO gas further increases, the selection ratio decreases to 100
When it reaches sccm, the selection ratio becomes 10 or less, and the wiring layer 7 is also etched.

【0027】図4は、COガスの流量変化に対する処理
室内での元素の発光分光スペクトルを測定し、C(51
6.5nm)、F(687.5nm)及びCO(483
nm)の発光強度、並びにカーボン(C)とフッ素
(F)との発光強度の比(C/F)についてプロットし
たものである。
FIG. 4 is a graph showing C (51
6.5 nm), F (687.5 nm) and CO (483)
nm) and the ratio (C / F) of the emission intensities of carbon (C) and fluorine (F).

【0028】COガス流量が30〜70sccmでは、
Cの発光強度は0.6〜0.66程度であり、Fの発光
強度は0.105〜0.108程度であり、COの発光
強度は0.28〜0.4程度であり、C/F比は、6〜
6.3である。各発光強度は、COガス流量の増加に伴
い減少し、C/F比もわずかに減少している。なお、C
Oガス流量が増加しているにもかかわらずCOの発光強
度が減少しているのは、プラズマ中ではCOガスの一部
がCとOとに解離し、そこで生成されるOが酸化膜と下
地との選択比を下げることが原因であると考えられる。
When the CO gas flow rate is 30 to 70 sccm,
The emission intensity of C is about 0.6 to 0.66, the emission intensity of F is about 0.105 to 0.108, the emission intensity of CO is about 0.28 to 0.4, and C / F ratio is 6 ~
It is 6.3. Each emission intensity decreases as the CO gas flow rate increases, and the C / F ratio also slightly decreases. Note that C
The emission intensity of CO decreases despite the increase of the O gas flow rate because a part of the CO gas is dissociated into C and O in the plasma, and O generated there forms an oxide film. It is considered that this is because the selection ratio to the base is lowered.

【0029】以上のように、C58ガスとCOガスとを
含み、COガスの流量が20〜90sccmであるエッ
チングガスを用いることによって、基板上の酸化膜を高
い選択比で良好にエッチングすることができ、酸化膜に
垂直形状のビアホールを形成することができる。
As described above, by using the etching gas containing the C 5 F 8 gas and the CO gas, and the flow rate of the CO gas is 20 to 90 sccm, the oxide film on the substrate is satisfactorily etched with a high selection ratio. The vertical via hole can be formed in the oxide film.

【0030】[0030]

【発明の効果】本発明によれば、基板上の酸化膜を高い
選択比で良好にエッチングすることができ、酸化膜に垂
直形状のビアホールを形成することができる。
According to the present invention, an oxide film on a substrate can be satisfactorily etched with a high selection ratio, and a vertical via hole can be formed in the oxide film.

【図面の簡単な説明】[Brief description of drawings]

【図1】ビアホールを形成する前のウエーハを示す模式
断面図である。
FIG. 1 is a schematic cross-sectional view showing a wafer before a via hole is formed.

【図2】本発明の方法及び従来の方法で形成されたビア
ホールを示す模式断面図である。
FIG. 2 is a schematic cross-sectional view showing a via hole formed by the method of the present invention and the conventional method.

【図3】エッチングガス中のCOガス流量と下地(Ti
N)に対する酸化膜(FSG)のエッチング選択比との
関係を示すグラフである。
FIG. 3 shows the flow rate of CO gas in the etching gas and the base (Ti
6 is a graph showing a relationship with an etching selection ratio of an oxide film (FSG) with respect to N).

【図4】エッチングガス中のCOガス流量と、C、F及
びCOの発光強度、並びにCとFとの発光強度の比(C
/F)との関係を示すグラフである。
FIG. 4 is a flow rate of CO gas in an etching gas, emission intensities of C, F and CO, and a ratio of emission intensity of C and F (C.
It is a graph which shows the relationship with / F).

【図5】従来技術における高選択比達成メカニズムを示
す図である。
FIG. 5 is a diagram showing a mechanism for achieving a high selection ratio in a conventional technique.

【符号の説明】[Explanation of symbols]

1 基板 2 素子分離膜 3 ソース/ドレイン領域 4 ゲート電極 5 層間絶縁膜 6 コンタクトプラグ 7 配線層 8 酸化膜 9 レジスト層 10 ビアホールパターン 11 ビアホール 1 substrate 2 element isolation film 3 Source / drain region 4 gate electrode 5 Interlayer insulation film 6 contact plugs 7 wiring layer 8 oxide film 9 Resist layer 10 Beer hole pattern 11 beer hall

───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5F004 AA05 DA00 DA01 DA02 DA23 DA26 DB03 DB04 DB05 DB06 EB01 EB03 5F033 GG00 GG01 GG02 GG04 HH07 HH08 HH11 HH13 HH14 HH18 HH19 HH21 HH26 HH33 HH38 KK07 KK08 KK11 KK13 KK14 KK18 KK19 KK21 KK26 KK33 KK38 MM07 NN13 PP06 PP15 PP19 QQ08 QQ09 QQ12 QQ13 QQ15 QQ35 QQ37 RR01 RR04 RR06 RR11 RR12 RR13 RR14 RR15 SS08 SS10 SS11 SS27 WW00 WW06 XX03 XX09    ─────────────────────────────────────────────────── ─── Continued front page    F-term (reference) 5F004 AA05 DA00 DA01 DA02 DA23                       DA26 DB03 DB04 DB05 DB06                       EB01 EB03                 5F033 GG00 GG01 GG02 GG04 HH07                       HH08 HH11 HH13 HH14 HH18                       HH19 HH21 HH26 HH33 HH38                       KK07 KK08 KK11 KK13 KK14                       KK18 KK19 KK21 KK26 KK33                       KK38 MM07 NN13 PP06 PP15                       PP19 QQ08 QQ09 QQ12 QQ13                       QQ15 QQ35 QQ37 RR01 RR04                       RR06 RR11 RR12 RR13 RR14                       RR15 SS08 SS10 SS11 SS27                       WW00 WW06 XX03 XX09

Claims (7)

【特許請求の範囲】[Claims] 【請求項1】 C58ガスとCOガスとを含むエッチン
グガスを用い、このエッチングガスをプラズマ状態にし
て基板上の酸化膜をエッチングするにあたり、COガス
の流量を20〜90sccmにすることを特徴とする酸
化膜のエッチング方法。
1. When an etching gas containing C 5 F 8 gas and CO gas is used and the etching gas is brought into a plasma state to etch an oxide film on a substrate, a flow rate of the CO gas is set to 20 to 90 sccm. And a method for etching an oxide film.
【請求項2】 COガスの流量が、エッチングガス流量
の5〜20容量%である請求項1に記載の酸化膜のエッ
チング方法。
2. The method for etching an oxide film according to claim 1, wherein the flow rate of CO gas is 5 to 20% by volume of the flow rate of etching gas.
【請求項3】 エッチングガスが、さらにO2ガスを含
む請求項1又は2に記載の酸化膜のエッチング方法。
3. The method for etching an oxide film according to claim 1, wherein the etching gas further contains O 2 gas.
【請求項4】 エッチングガスが、さらにArガスを含
む請求項1〜3のいずれかに記載の酸化膜のエッチング
方法。
4. The method for etching an oxide film according to claim 1, wherein the etching gas further contains Ar gas.
【請求項5】 酸化膜がフッ素を含有するシリコン酸化
膜である請求項1〜4のいずれかに記載の酸化膜のエッ
チング方法。
5. The method for etching an oxide film according to claim 1, wherein the oxide film is a silicon oxide film containing fluorine.
【請求項6】 COガスの流量がエッチングガス流量の
7.5〜16容量%であり、エッチングガスのプラズマ
状態における発光分光スペクトルにおいてカーボンとフ
ッ素との発光強度の比(C/F)が6〜6.3である請
求項1〜5のいずれかに記載の酸化膜のエッチング方
法。
6. The CO gas flow rate is 7.5 to 16% by volume of the etching gas flow rate, and the emission intensity ratio (C / F) of carbon and fluorine is 6 in the emission spectrum of the etching gas in the plasma state. The method for etching an oxide film according to claim 1, wherein the etching method is about 6.3.
【請求項7】 請求項1〜6のいずれかに記載の酸化膜
のエッチング方法により、酸化膜にビアホールを形成す
る酸化膜のエッチング方法。
7. An oxide film etching method for forming a via hole in an oxide film by the oxide film etching method according to claim 1.
JP2001244350A 2001-08-10 2001-08-10 FSG film etching method Expired - Lifetime JP3764858B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001244350A JP3764858B2 (en) 2001-08-10 2001-08-10 FSG film etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001244350A JP3764858B2 (en) 2001-08-10 2001-08-10 FSG film etching method

Publications (2)

Publication Number Publication Date
JP2003059908A true JP2003059908A (en) 2003-02-28
JP3764858B2 JP3764858B2 (en) 2006-04-12

Family

ID=19074293

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001244350A Expired - Lifetime JP3764858B2 (en) 2001-08-10 2001-08-10 FSG film etching method

Country Status (1)

Country Link
JP (1) JP3764858B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007123341A (en) * 2005-10-25 2007-05-17 Oki Electric Ind Co Ltd Manufacturing method of semiconductor device
JP2008010114A (en) * 2006-06-30 2008-01-17 Toshiba Corp Patterned magnetic recording medium and its manufacturing method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007123341A (en) * 2005-10-25 2007-05-17 Oki Electric Ind Co Ltd Manufacturing method of semiconductor device
JP4668764B2 (en) * 2005-10-25 2011-04-13 Okiセミコンダクタ株式会社 Manufacturing method of semiconductor device
JP2008010114A (en) * 2006-06-30 2008-01-17 Toshiba Corp Patterned magnetic recording medium and its manufacturing method
JP4630850B2 (en) * 2006-06-30 2011-02-09 株式会社東芝 Patterned magnetic recording medium and manufacturing method thereof

Also Published As

Publication number Publication date
JP3764858B2 (en) 2006-04-12

Similar Documents

Publication Publication Date Title
US5935877A (en) Etch process for forming contacts over titanium silicide
KR100892797B1 (en) Etch methods to form anisotropic features for high aspect ratio applications
JP3210359B2 (en) Dry etching method
US5302240A (en) Method of manufacturing semiconductor device
JP3213820B2 (en) Method of etching a refractory metal layer in a parallel plate reactor during the manufacture of a device on a semiconductor substrate
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
KR960000375B1 (en) Fabricating method of semiconductor device
US6821884B2 (en) Method of fabricating a semiconductor device
US6309977B1 (en) Method for the etchback of a conductive material
JP4451934B2 (en) Method and integrated circuit for etching a conductive layer
KR100838502B1 (en) Method of producing semiconductor device
US7569481B2 (en) Method for forming via-hole in semiconductor device
US6960531B2 (en) Method of manufacturing electronic device
JP3764858B2 (en) FSG film etching method
JP2006165189A (en) Method of manufacturing semiconductor device
US6756315B1 (en) Method of forming contact openings
JP3339255B2 (en) Method of forming contact plug
US5915202A (en) Blanket etching process for formation of tungsten plugs
JPH11162951A (en) Etching of layer containing silicon dioxide formed on semiconductor substrate, improvement of oxide-nitride etch selectivity in etching of layer containing borophosphosilicate glass, and dram
JP3371180B2 (en) Wiring formation method
JPH08339987A (en) Wiring forming method
JP2003243503A (en) Method of forming through hole and method of manufacturing semiconductor device
JPH0689883A (en) Method of forming connecting hole
WO2023107492A1 (en) Methods for etching molybdenum
JPH09129729A (en) Formation of connection hole

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040618

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20041110

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041221

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050218

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050830

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050926

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20050929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051206

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051213

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060117

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060123

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 3764858

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100127

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110127

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120127

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130127

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130127

Year of fee payment: 7

EXPY Cancellation because of completion of term