JP2003007655A - Dry surface cleaning apparatus using laser - Google Patents

Dry surface cleaning apparatus using laser

Info

Publication number
JP2003007655A
JP2003007655A JP2002067044A JP2002067044A JP2003007655A JP 2003007655 A JP2003007655 A JP 2003007655A JP 2002067044 A JP2002067044 A JP 2002067044A JP 2002067044 A JP2002067044 A JP 2002067044A JP 2003007655 A JP2003007655 A JP 2003007655A
Authority
JP
Japan
Prior art keywords
laser beam
laser
surface cleaning
cleaning apparatus
work
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002067044A
Other languages
Japanese (ja)
Other versions
JP3776820B2 (en
Inventor
Jong-Myong Lee
鐘 明 李
Sung Ho Cho
盛 ▲ほ▼ 趙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
IMT Co Ltd Korea
Original Assignee
IMT Co Ltd Korea
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR10-2001-0027463A external-priority patent/KR100463212B1/en
Priority claimed from KR10-2001-0027462A external-priority patent/KR100467977B1/en
Priority claimed from KR1020010062277A external-priority patent/KR100328620B1/en
Application filed by IMT Co Ltd Korea filed Critical IMT Co Ltd Korea
Publication of JP2003007655A publication Critical patent/JP2003007655A/en
Application granted granted Critical
Publication of JP3776820B2 publication Critical patent/JP3776820B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Cleaning In General (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Lasers (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a dry surface cleaning apparatus for removing contaminants of the surface, utilizing a laser. SOLUTION: The cleaning apparatus comprises a laser 1 for generating a laser beam 2, a beam transfer control means 11 for splitting the laser beam 2 into a first and second laser beams 15, 16 to be propagated in mutually different directions, a frequency-modulating means 13 for modulating the second laser beam 16 to generate a short-wavelength laser beam 14, having wavelength shorter than the wavelength of the second laser beam 16 and a laser-focusing lens 22 for converging the first laser beam 15 on a laser focus 24 around the surface of a work 6, to generate a plasma impulse wave 25 around the focus 24.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、レーザーを用いる
乾式表面クリーニング装置に関し、特に、表面汚染物質
の種類及び母材の大きさとは無関係に表面損傷を起こす
ことなく、向上されたクリーニング速度及び効率で基板
をクリーニングすることができるレーザーを用いる乾式
表面クリーニング装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry surface cleaning apparatus using a laser, and more particularly, to an improved cleaning speed and efficiency without causing surface damage regardless of the kind of surface contaminants and the size of base material. The present invention relates to a dry type surface cleaning device using a laser capable of cleaning a substrate with a laser.

【0002】[0002]

【従来の技術】半導体産業で、マイクロメータ以下の汚
染物質は、半導体表面上に回路故障及び収率低下のよう
な重大な問題を起こす。例えば、0.06μm以下の粒
子が次世帯ダイナミックランダムアクセスメモリ及びマ
イクロプロセッサに致命的な素子欠陥を起こす可能性が
ある。それで、シリコンウェハーに対する汚染制御は、
製造関連分野で重大な問題になっている。チップ素子の
密度が増加することに従って、半導体表面から最も小さ
い粒子を効果的に除去するための技術が必要となってい
るが、粒子が小さくなるほど、表面に対する凝集力が大
きくなって除去することは更に困難になる。ガスジェッ
ト、スクラビング、超音速及び化学フラックスのような
従来のクリーニング技法では、マイクロメータ以下の小
さい粒子を効果的に除去することができず、表面上に機
械的な作用による損傷を起こすことがあり、過多な量の
水と化合物を使用するため環境汚染を起こすことがあっ
た。
In the semiconductor industry, submicrometer contaminants cause serious problems such as circuit failure and poor yield on semiconductor surfaces. For example, particles of 0.06 μm or less may cause a fatal device defect in the next-generation household dynamic random access memory and the microprocessor. So the contamination control for silicon wafers is
It has become a serious problem in manufacturing related fields. With the increasing density of chip devices, there is a need for a technique to effectively remove the smallest particles from the semiconductor surface. It becomes more difficult. Traditional cleaning techniques such as gas jets, scrubbing, supersonic and chemical fluxes cannot effectively remove small particles below the micrometer and can cause mechanical damage to the surface. However, the use of excessive amounts of water and compounds may cause environmental pollution.

【0003】最近、レーザークリーニング技法は、乾式
工程であるため、環境親和的な方法により小さい粒子を
効果的に除去することができる新しい方法を提供するこ
ととして知られている。しかし、粒子を除去すること
は、異なるクリーニングフォース(cleaning force)を
生成する粒子表面のレーザー吸収に関連するので、粒子
表面のクリーニング効率がレーザー波長と粒子の物理的
な性質に強く依存することになる。これにより、レーザ
ーと粒子との間の相互作用が相違しているため、特定波
長を使用して互いに異なる光学的及び熱的性質を有する
全粒子を除去することは難しくなる。更に、レーザース
ッポトが小さいため、クリーニング速度が相対的に遅く
なる欠点がある。
Recently, the laser cleaning technique has been known to provide a new method to the environment friendly method which can effectively remove smaller particles as it is a dry process. However, particle removal is related to the laser absorption of the particle surface that produces different cleaning forces, so that the particle surface cleaning efficiency depends strongly on the laser wavelength and the physical properties of the particle. Become. This makes it difficult to remove all particles with different optical and thermal properties using specific wavelengths due to the different interaction between the laser and the particles. Further, since the laser spot is small, the cleaning speed is relatively slow.

【0004】従来のレーザークリーニング方法の問題を
解決するために、Vaught(米国特許第5,02
3,424号)では、レーザー誘起衝撃波を使用してウ
ェハー表面から粒子を取り除く衝撃波粒子除去方法及び
装置を開示している。粒子を取り除くためのレーザービ
ームが焦点レンズによって大気中に集められて、レーザ
ー焦点の周囲の空気粒子をイオン化させ、レーザー誘起
プラズマ衝撃波を発生させる。このとき発生するレーザ
ー誘起プラズマ衝撃波を使用してウェハー表面をクリー
ニングする。
In order to solve the problems of conventional laser cleaning methods, Vaught (US Pat. No. 5,025)
3,424) discloses a shock wave particle removal method and apparatus for removing particles from a wafer surface using laser-induced shock waves. A laser beam to remove particles is focused into the atmosphere by a focusing lens to ionize air particles around the laser focus and generate a laser-induced plasma shock wave. The laser-induced plasma shock wave generated at this time is used to clean the wafer surface.

【0005】しかし、レーザー誘起プラズマ衝撃波と共
に生成されたレーザービームの一部がウェハー表面に直
接照射され、ウェハー表面が損傷することがある。
However, a part of the laser beam generated together with the laser-induced plasma shock wave is directly irradiated on the wafer surface, which may damage the wafer surface.

【0006】また、上述した従来のレーザー誘起プラズ
マ衝撃波は、無機乾式粒子(inorganic dry particle
s)を効果的に取り除くことができるが、ウェハー表面
に残留している有機汚染粒子及び層(organic contamin
ated particle and layer)を効果的に取り除くことが
できない欠点がある。
In addition, the conventional laser-induced plasma shock wave described above is an inorganic dry particle (inorganic dry particle).
s) can be effectively removed, but organic contaminant particles and layers (organic contamin) remaining on the wafer surface
There is a drawback that ated particles and layers) cannot be removed effectively.

【0007】更に、従来のレーザー誘起プラズマ衝撃波
が空気中で発生するため、発生する衝撃波の強度が小さ
く、空気中に存在する酸素のような元素がイオン化され
て、ウェハー表面上に酸化のような表面損傷を起こすこ
ともある。
Further, since the conventional laser-induced plasma shock wave is generated in air, the strength of the shock wave generated is small, and elements such as oxygen existing in the air are ionized to cause oxidation such as oxidation on the wafer surface. May cause surface damage.

【0008】[0008]

【発明が解決しようとする課題】本発明はこのような課
題に着目してなされたものであり、その目的とすること
は、1つのレーザーから、無機表面汚染物質を除去する
ためのレーザー誘起プラズマ衝撃波を発生させる第1レ
ーザービームと、有機表面汚染物質を効果的に除去する
ための第2レーザービームを共に発生させて、ウェハー
表面上の有機及び無機表面汚染物質を効果的に除去する
ことができる乾式表面クリーニング装置を提供すること
にある。
SUMMARY OF THE INVENTION The present invention has been made in view of the above problems, and an object thereof is laser-induced plasma for removing inorganic surface contaminants from one laser. A first laser beam for generating a shock wave and a second laser beam for effectively removing organic surface contaminants may be generated together to effectively remove organic and inorganic surface contaminants on the wafer surface. It is to provide a dry type surface cleaning device capable of performing.

【0009】本発明の他の目的は、本発明はウェハー表
面の損傷を起こすレーザービームの前進方向を変化させ
ることにより、レーザービームが作業対象物の表面に照
射されないようにし、よって、作業対象物の表面損傷を
本質的に防止し、レーザー誘起衝撃波による効果的な洗
浄作業を可能にする本発明の更に他の目的は、ビーム拡
大器とレーザーノズルを装着することにより、レーザー
ビームの強度増加、化学反応による母材表面の損傷防止
及び表面汚染物質の効果的な除去を行うことができる。
Another object of the present invention is to prevent the laser beam from irradiating the surface of the work object by changing the advancing direction of the laser beam which causes damage to the wafer surface, and thus the work object. Still another object of the present invention is to essentially prevent surface damage of the laser and to enable effective cleaning work by laser-induced shock waves. It is possible to prevent damage to the surface of the base material due to a chemical reaction and to effectively remove surface contaminants.

【0010】[0010]

【課題を解決するための手段】上記の目的を達成するた
めに、本発明の一態様によれば、作業物の表面上の汚染
物質を除去するための乾式表面クリーニング装置であっ
て、レーザービームを発生するレーザーと、前記レーザ
ービームを第1及び第2レーザービームに分割して、第
1及び第2レーザービームが互いに異なる方向に進行す
るようにするビーム伝達制御手段と、第2レーザービー
ムを変調して第2レーザービームの波長より短い波長を
有する短波長レーザービームを生成し、前記短波長レー
ザービームを使用して表面上の汚染物質を直接取り外す
周波数変調手段と、第1レーザービームを作業物の表面
周囲のレーザー焦点に収束して、レーザー焦点の周囲に
プラズマ衝撃波を生成し、前記プラズマ衝撃波を使用し
て表面上の表面汚染物質をクリーニングするレーザーフ
ォーカシングレンズとを含む乾式表面クリーニング装置
が提供される。
To achieve the above objects, according to one aspect of the present invention, there is provided a dry surface cleaning apparatus for removing contaminants on the surface of a work piece, the method comprising a laser beam. Generating a laser beam, a beam transmission control means for dividing the laser beam into a first laser beam and a second laser beam so that the first and second laser beams travel in mutually different directions, and a second laser beam. Working with the frequency modulation means for modulating to generate a short wavelength laser beam having a wavelength shorter than that of the second laser beam, and for directly removing contaminants on the surface using the short wavelength laser beam; and the first laser beam. Focus on the laser focus around the surface of the object to generate a plasma shock wave around the laser focus, and use the plasma shock wave to clean the surface on the surface. Dry surface cleaning apparatus comprising a laser focusing lens to clean the substance.

【0011】[0011]

【発明の実施の形態】図1は、本発明による乾式表面ク
リーニング装置を示す概略図である。
1 is a schematic view showing a dry type surface cleaning apparatus according to the present invention.

【0012】1つのレーザー発振手段1で発生したレー
ザービーム2は、2つの異なる経路を介してプラズマ衝
撃波25を生成するための第1レーザービーム15と短
波長レーザービーム14を生成するための第2レーザー
ビーム16に分けられて伝達される。ビーム伝達制御手
段11は、オン−オフ方法で動作するスイッチングミラ
ー12又はビーム分離方法で動作するビーム分離器(図
示せず)などを使用することができる。
The laser beam 2 generated by one laser oscillating means 1 passes through two different paths, and a first laser beam 15 for generating a plasma shock wave 25 and a second laser beam 14 for generating a short wavelength laser beam 14. The laser beam 16 is divided and transmitted. The beam transfer control means 11 may use a switching mirror 12 that operates by an on-off method, a beam separator (not shown) that operates by a beam separation method, or the like.

【0013】プラズマ衝撃波を用いるクリーニングを行
うために、基本波長が略1064nmであるQスイッチ
ングNd:YAGレーザービーム2がスイッチングミラ
ー12と反射ミラー3によって連続して反射された後、
第1レーザービーム15として作業物6の表面上に進行
される。焦点レンズ22を使用して第1レーザービーム
15をフォーカシングすることになると、空気中でのレ
ーザースパークによって生成された空気誘起プラズマか
らレーザー焦点24の周囲にプラズマ衝撃波25が生成
されることになる。プラズマ衝撃波25を利用して、作
業物6の表面上に付着されている表面汚染物質5を取り
除くことができる。レーザー焦点24で第1レーザービ
ーム15の強度は略1012W/cmであることが望
ましい。フォーカシングされたレーザービームによって
誘導された強い電場により、空気中でのガス粒子が分離
し始めると、イオン化された後、急激に加熱されて、プ
ラズマ衝撃波を生成する。
In order to perform cleaning using a plasma shock wave, a Q-switching Nd: YAG laser beam 2 having a fundamental wavelength of about 1064 nm is continuously reflected by a switching mirror 12 and a reflecting mirror 3,
The first laser beam 15 is directed onto the surface of the work piece 6. When the focusing lens 22 is used to focus the first laser beam 15, a plasma shock wave 25 is generated around the laser focus 24 from the air-induced plasma generated by the laser spark in air. The plasma shock wave 25 can be used to remove surface contaminants 5 deposited on the surface of the work piece 6. The intensity of the first laser beam 15 at the laser focus 24 is preferably about 10 12 W / cm 2 . When the gas particles in the air begin to separate due to the strong electric field induced by the focused laser beam, they are ionized and then rapidly heated to generate a plasma shock wave.

【0014】ビーム拡大器21を使用して第1レーザー
ビーム15のビーム大きさを拡大することにより、レー
ザー焦点4の周囲に第1レーザービーム15の強度を増
加させることができる。更に、レーザーノズル23を使
用して、図2に詳細に示すように、第1レーザービーム
15の進行方向に沿って追加してガスを噴射することが
できる。透明ウェーブガイドを表面損傷防止手段31と
して使用して、第1レーザービーム15中でプラズマ衝
撃波25を使用するが、使用されないビームによって、
作業物6の表面の損傷を防ぐことができる。
The intensity of the first laser beam 15 can be increased around the laser focus 4 by expanding the beam size of the first laser beam 15 using the beam expander 21. Further, the laser nozzle 23 can be used to inject additional gas along the traveling direction of the first laser beam 15, as shown in detail in FIG. A transparent wave guide is used as the surface damage prevention means 31, and the plasma shock wave 25 is used in the first laser beam 15, but by the beam not used,
It is possible to prevent the surface of the work 6 from being damaged.

【0015】作業物6の表面に残留している有機粒子及
び層を精密に取り除くために、スイッチングミラー12
をオフ状態にして、基本波長を有する第2レーザービー
ム16を周波数ハーモニック発生手段13に通過させ
る。基本波長(1064nm)を有する第2レーザービ
ーム16が周波数ハーモニック発生手段13によって半
波長(532nm)レーザービーム、1/3波長(略3
55nm)レーザービーム或いは1/4波長(266n
m)レーザービームのような短波長レーザービーム14
に変調されて、このような短波長レーザービーム14が
反射ミラー9を使用して作業物6の表面に直接照射され
ることにより、作業物6の表面に付着されている有機物
質のような表面汚染物質5を効果的に取り除くことがで
きるようにする。更に、前記クリーニング方法の順序を
変えて、まず短波長レーザービーム14を使用して有機
物質を取り除いた後、プラズマ衝撃波25を使用して任
意の他の粒子を取り除くことができる。更に、前記ビー
ム伝達制御手段11をビーム分離器に代替することにな
ると、プラズマ衝撃波25と短波長レーザービーム14
を同時に使用して表面クリーニングを行うことができ
る。よって、1つのレーザーのみを使用して上述のよう
に価格的に大きな追加負担無しに多用途クリーニング(m
ulti-purpose cleaning)を行うことができることにな
る。
In order to precisely remove the organic particles and layers remaining on the surface of the work 6, the switching mirror 12
Is turned off, and the second laser beam 16 having the fundamental wavelength is passed through the frequency harmonic generating means 13. The second laser beam 16 having the fundamental wavelength (1064 nm) is a half-wavelength (532 nm) laser beam by the frequency harmonic generating means 13 and a ⅓ wavelength (approximately 3).
55nm) laser beam or 1/4 wavelength (266n
m) short wavelength laser beam 14 such as a laser beam
And is irradiated with the short wavelength laser beam 14 directly onto the surface of the work 6 by using the reflection mirror 9, so that the surface of the work 6 such as an organic substance adheres to the surface. The pollutant 5 can be effectively removed. Further, the order of the cleaning method may be changed so that the short wavelength laser beam 14 is first used to remove the organic material, and then the plasma shock wave 25 is used to remove any other particles. Further, when the beam transfer control means 11 is replaced with a beam separator, the plasma shock wave 25 and the short wavelength laser beam 14
Can be used simultaneously for surface cleaning. Therefore, using only one laser, the versatile cleaning (m
ulti-purpose cleaning).

【0016】一方、作業物6は作業物付着手段41に付
着されている。作業物付着手段41は、作業物6の他の
表面、すなわち、背面側に近接することになる空洞を備
える真空チャック43と、作業物6を付着するために空
洞を真空引きする真空ポンプ51と、前記真空チャック
43と前記真空ポンプ51を連結する真空ライン52と
を有する。
On the other hand, the work 6 is attached to the work attaching means 41. The work adhering means 41 includes a vacuum chuck 43 having a cavity that is close to the other surface of the work 6, that is, the back side, and a vacuum pump 51 that vacuums the cavity to attach the work 6. And a vacuum line 52 connecting the vacuum chuck 43 and the vacuum pump 51.

【0017】システム制御器71は、レーザー制御ライ
ン72を介してレーザー1を制御し、ビーム伝達制御ラ
イン73を介してビーム伝達制御手段11を制御し、ガ
ス供給制御ライン76を介してガス供給器61を制御
し、真空ポンプ制御ライン75を介して真空ポンプ51
を制御する。システム制御器71は、また作業物移動制
御ライン74を介して作業物付着手段41が水平及び/
又は垂直に移動されるようにするか、又は作業物6の垂
直軸に対して回転されるように制御する。作業物付着手
段41が回転されると、作業物6の表面から取り外した
表面汚染物質5が遠心力によって飛び散ることになる。
The system controller 71 controls the laser 1 via the laser control line 72, the beam transfer control means 11 via the beam transfer control line 73, and the gas supply unit via the gas supply control line 76. 61 to control the vacuum pump 51 via the vacuum pump control line 75.
To control. In the system controller 71, the work attachment means 41 is horizontal and / or via the work movement control line 74.
Alternatively, it is moved vertically or is controlled to be rotated with respect to the vertical axis of the work 6. When the work adhering means 41 is rotated, the surface contaminants 5 removed from the surface of the work 6 are scattered by the centrifugal force.

【0018】図2は、本発明により図1に示したレーザ
ーノズル手段23を示す概略図である。
FIG. 2 is a schematic diagram showing the laser nozzle means 23 shown in FIG. 1 according to the present invention.

【0019】第1レーザービーム15はレーザーノズル
23に入射される。レーザーノズル23は、第1レーザ
ービーム15をレーザー焦点4に集めるための焦点レン
ズ22と、焦点レンズ22を保護するための保護ガラス
26と、ガス供給器61からガスを導入するためのガス
取込口27と、ガスを出力するためのノズル端28とを
有する。ガスは、レーザービームと共にノズル端28を
介して噴射される。望ましくは、ガスが第1レーザービ
ーム15の進行方向に沿って進行するので、レーザー焦
点24の周囲に生成されたプラズマ衝撃波25によって
取り外された粒子が効果的に除去できるようになる。ま
た、保護ガラス26を使用し、レーザー焦点24の周囲
に発生するプラズマ衝撃波25によって焦点レンズ22
が損傷することを防止し、且つ、ガスによって焦点レン
ズ22が汚染されることを防ぐ。一般空気の代わりに、
ガス取込口27を介してAr、He、Ne、Nなどの
ような不活性ガスを注入する場合、レーザー焦点24の
周囲にプラズマがもっと容易に、且つ強力に発生して、
もっと強力な衝撃波を生成することができる。不活性ガ
スを使用することにより、空気自体に含まれた酸素粒子
のプラズマによる表面酸化のような表面損傷を低減する
利点を有する。略80%の質素と略20%の酸素からな
る一般空気で生成されたプラズマ衝撃波よりAr雰囲気
で生成されたプラズマ衝撃波の強さが略2倍増加する。
Ar雰囲気で高密度レーザービームによるプラズマを発
生させることが空気雰囲気で高密度レーザービームによ
るプラズマを発生させることよりもっと容易になされる
からである。
The first laser beam 15 is incident on the laser nozzle 23. The laser nozzle 23 focuses the first laser beam 15 on the laser focus 4, a focus lens 22 for protecting the focus lens 22, and a gas inlet 61 for introducing gas from the gas supplier 61. It has a mouth 27 and a nozzle end 28 for outputting gas. The gas is injected with the laser beam through the nozzle end 28. Desirably, the gas travels along the traveling direction of the first laser beam 15, so that the particles detached by the plasma shock wave 25 generated around the laser focus 24 can be effectively removed. Further, a protective glass 26 is used, and the focus lens 22 is generated by the plasma shock wave 25 generated around the laser focus 24.
To prevent the focus lens 22 from being contaminated by gas. Instead of general air,
When an inert gas such as Ar, He, Ne, N 2 is injected through the gas inlet 27, plasma is generated around the laser focus 24 more easily and strongly,
More powerful shock waves can be generated. The use of an inert gas has the advantage of reducing surface damage such as surface oxidation of oxygen particles contained in the air itself due to plasma. The intensity of the plasma shock wave generated in the Ar atmosphere is approximately doubled as compared with the plasma shock wave generated in the general air composed of about 80% of fume and about 20% of oxygen.
This is because the plasma generated by the high density laser beam in the Ar atmosphere can be generated more easily than the plasma generated by the high density laser beam in the air atmosphere.

【0020】図3は、プラズマ衝撃波による表面損傷発
生原理を示す概略図である。
FIG. 3 is a schematic view showing the principle of surface damage generation by plasma shock waves.

【0021】焦点レンズ22を使用して、クリーニング
しようとする作業物6の表面の上部大気中に第1レーザ
ービーム15に対するレーザー焦点24を形成する。レ
ーザー焦点24の周囲で第1レーザービーム15のエネ
ルギーがしきい値以上である場合、レーザー焦点24の
周囲の空気がイオン化されて、強力なプラズマが生成さ
れるようになる。このとき発生するプラズマ衝撃波25
が四方に伝播され、伝播される衝撃波25によって作業
物6の表面の汚染粒子が全て除去される。しかし、第1
レーザービーム15の全エネルギーがプラズマ衝撃波2
5を生成することに使用されず、第1レーザービーム1
5の一部がその進行経路に沿って作業物6の表面に入射
されることになる。作業物6の表面に入射された第1レ
ーザービーム15は、表面で熱に変換され、作業物6の
表面上に表面損傷32を起こすことになる。特に、半導
体材料、磁器材料、有機材料、薄膜コーティング層など
のように熱または光に敏感な材料の表面が深刻に損傷さ
れる。
The focus lens 22 is used to form a laser focus 24 for the first laser beam 15 in the atmosphere above the surface of the workpiece 6 to be cleaned. When the energy of the first laser beam 15 is equal to or higher than the threshold value around the laser focus 24, the air around the laser focus 24 is ionized, and a strong plasma is generated. Plasma shock wave 25 generated at this time
Are propagated in all directions, and the propagating shock wave 25 removes all contaminant particles on the surface of the work 6. But first
The total energy of the laser beam 15 is the plasma shock wave 2
The first laser beam 1 that is not used to generate
A part of 5 will be incident on the surface of the work 6 along its traveling path. The first laser beam 15 incident on the surface of the work 6 is converted into heat on the surface and causes surface damage 32 on the surface of the work 6. Particularly, the surface of a material sensitive to heat or light such as a semiconductor material, a porcelain material, an organic material, a thin film coating layer, etc. is seriously damaged.

【0022】図4は、本発明による表面損傷防止方法に
対する基本原理を説明する概略図である。
FIG. 4 is a schematic view for explaining the basic principle of the surface damage prevention method according to the present invention.

【0023】光が透明固体物質38から空気39中に進
行する場合、その入射角度θに応じて空気39に透過す
ることもでき、空気39によって反射されることもでき
る。図4に示すように、入射光35の入射角θが90度
であれば、ほとんどの光が屈折光36として空気39に
透過することになる。入射光の入射角θが90度より小
さく、全反射臨界角θより大きい場合、入射光35の
一部が空気39によって反射光37として反射され、入
射光35の残りの部分は一定の屈折角を有する屈折光3
6として空気39の方に透過される。しかし、入射角θ
が臨界角θより小さい場合、入射光35は、外部に漏
出することなく、透明固体物質38と空気39との間の
接触表面から全部反射される。このような現象を光の全
反射といい、本発明ではこのような性質を利用してレー
ザービームの進行方向を効果的に変更することができ
る。透明固体物質38としては、ガラス、石英、ダイヤ
モンド、塩化ナトリウム結晶(NaCl crystal)などを挙
げることができ、ガラスの空気に対する全反射臨界角は
略52度、石英の空気に対する全反射臨界角は略47
度、ダイヤモンドの空気に対する全反射臨界角は略66
度である。
When light travels from the transparent solid material 38 into the air 39, it can either be transmitted to the air 39 or be reflected by the air 39, depending on its incident angle θ. As shown in FIG. 4, when the incident angle θ of the incident light 35 is 90 degrees, most of the light is transmitted as refracted light 36 to the air 39. When the incident angle θ of the incident light is smaller than 90 degrees and larger than the total reflection critical angle θ C , a part of the incident light 35 is reflected by the air 39 as the reflected light 37, and the remaining part of the incident light 35 has a constant refraction. Refracted light with angles 3
6 is transmitted to the air 39. However, the incident angle θ
Is smaller than the critical angle θ C , the incident light 35 is totally reflected from the contact surface between the transparent solid substance 38 and the air 39 without leaking to the outside. Such a phenomenon is called total reflection of light, and the present invention can effectively change the traveling direction of the laser beam by utilizing such a property. Examples of the transparent solid substance 38 include glass, quartz, diamond, and sodium chloride crystal (NaCl crystal). 47
Degree, the critical angle for total internal reflection of diamond is about 66
It is degree.

【0024】図5は、本発明による表面損傷防止方法の
第1の例を示す概略図である。
FIG. 5 is a schematic view showing a first example of the surface damage preventing method according to the present invention.

【0025】断面が円形又は多角形である透明固体物質
の棒33を図1に示した表面損傷防止手段31として使
用することができる。プラズマ衝撃波25が発生するレ
ーザー焦点24の周辺とレーザービームが照射される作
業物6の表面との間に棒33を設ける。レーザー焦点2
4を通過する第1レーザービーム15は、棒33の一端
部に入射される。図5に示されているように、第1レー
ザービーム15と棒33の側面部との間の入射角θが全
反射臨界角θより小さい場合、棒33の端部を介して
透過された全レーザービームは棒33内に進行された
後、棒33の他端を介して外側へ放出される。結果的
に、全反射を起こす棒状の透明個体物質を設けることに
より、作業物6の表面上に照射されたレーザービームの
進行方向を自在に調整することができる。作業物6の表
面には第1レーザービーム15が全く影響を及ぼさない
ため、第1レーザービーム15による如何なる表面損傷
も発生しないことになる。
A rod 33 of transparent solid material having a circular or polygonal cross section can be used as the surface damage preventing means 31 shown in FIG. A rod 33 is provided between the periphery of the laser focus 24 where the plasma shock wave 25 is generated and the surface of the work 6 which is irradiated with the laser beam. Laser focus 2
The first laser beam 15 passing through 4 is incident on one end of the rod 33. As shown in FIG. 5, when the incident angle θ between the first laser beam 15 and the side surface of the rod 33 is smaller than the total reflection critical angle θ C, the light is transmitted through the end of the rod 33. The entire laser beam is guided into the rod 33 and then emitted to the outside through the other end of the rod 33. As a result, by providing a rod-shaped transparent solid substance that causes total reflection, the traveling direction of the laser beam irradiated onto the surface of the work 6 can be freely adjusted. Since the first laser beam 15 does not affect the surface of the work 6 at all, no surface damage by the first laser beam 15 will occur.

【0026】図6は、本発明による表面損傷防止方法の
第2の例を示す概略図である。
FIG. 6 is a schematic view showing a second example of the surface damage preventing method according to the present invention.

【0027】透明固体物質からなるプリズム34は図1
に示した表面損傷防止手段31として使用することがで
きる。プラズマ衝撃波25が発生するレーザー焦点24
の周辺とレーザービームが照射される作業物6の表面と
の間にプリズム34を設けることにより、第1レーザー
ビーム15がプリズム34の一表面を介して入射され
る。図6に示されているように、プリズム34に入射さ
れたレーザービームとプリズム34の反射表面との間の
入射角θが全反射臨界角θより小さい場合、プリズム
34内に入射された全レーザービームが全反射され、プ
リズム34の他の表面に進行した後、放出される。結果
的に、全反射を起こす透明固体物質からなるプリズム3
4を設けることにより、作業物6の表面上に照射された
レーザービームの進行方向を自在に勝手に調整すること
ができる。作業物6の表面には第1レーザービーム15
が全く影響を及ぼさないため、第1レーザービーム15
による如何なる表面損傷も発生しないことになる。
The prism 34 made of a transparent solid material is shown in FIG.
It can be used as the surface damage prevention means 31 shown in FIG. Laser focus 24 where plasma shock wave 25 is generated
The first laser beam 15 is incident through one surface of the prism 34 by providing the prism 34 between the periphery and the surface of the work 6 to which the laser beam is irradiated. As shown in FIG. 6, when the incident angle θ between the laser beam incident on the prism 34 and the reflecting surface of the prism 34 is smaller than the total reflection critical angle θ C, the total incident light on the prism 34 is reduced. The laser beam is totally reflected, travels to another surface of the prism 34, and then is emitted. As a result, the prism 3 made of a transparent solid material that causes total internal reflection
By providing 4, the traveling direction of the laser beam irradiated onto the surface of the work 6 can be freely adjusted. The first laser beam 15 is applied to the surface of the work piece 6.
Has no effect on the first laser beam 15
Will not cause any surface damage.

【0028】図5及び図6で示すように、全反射を用い
る表面損傷防止方法において重要点は、透明固体物質内
に入ってきたレーザービームが物質内部で全反射を起こ
すことができる全反射しきい値以下の角度を維持するよ
うに物質33、34の位置及び方向を制御しなければな
らないということである。
As shown in FIGS. 5 and 6, the important point in the surface damage prevention method using total reflection is that the laser beam entering the transparent solid substance can cause total reflection inside the substance. This means that the positions and orientations of the substances 33 and 34 must be controlled so as to maintain the angle below the threshold value.

【0029】図7は、本発明による作業物移動手段40
を示す概略図である。
FIG. 7 shows a work moving means 40 according to the present invention.
FIG.

【0030】前記作業物移動手段40は、ウェハーのよ
うな作業物6を堅く固定する作業物付着手段41、効率
的に作業物6の表面をクリーニングするために作業物付
着手段41を回転させる回転手段42、直線運動手段4
7から構成されている。作業物付着手段41は、作業物
6を確実に保持するための真空チャック43、作業物6
と真空チャック43との間の空洞を真空状態にするため
の真空ポンプ51、真空チャック43と真空ポンプ51
を連結するための回転フィッティング53及び真空ライ
ン52から構成される。
The work moving means 40 is a work attaching means 41 for firmly fixing the work 6 such as a wafer, and a rotation for rotating the work attaching means 41 for efficiently cleaning the surface of the work 6. Means 42, linear movement means 4
It is composed of 7. The work attachment means 41 includes a vacuum chuck 43 for securely holding the work 6 and the work 6.
And a vacuum pump 51 for bringing the cavity between the vacuum chuck 43 and the vacuum chuck 43 into a vacuum state.
It is composed of a rotary fitting 53 and a vacuum line 52 for connecting to each other.

【0031】回転手段42は、真空チャック43を基準
に作業物6が固定される反対方向に設けられ、作業物付
着手段41が回転されるように支持する軸受44、駆動
モータ46及び動力伝達部材45からなる。直線運動手
段47は、作業物6を直線運動させるためのスライド移
動器具からなる。
The rotating means 42 is provided in the opposite direction to which the work 6 is fixed with the vacuum chuck 43 as a reference, and the bearing 44, the drive motor 46 and the power transmission member for supporting the work adhering means 41 so as to rotate. It consists of 45. The linear movement means 47 comprises a slide movement device for linearly moving the work 6.

【0032】プラズマ衝撃波25または短波長レーザー
ビーム14によって作業物6の表面から取り外された表
面汚染物5は、駆動モータ46による作業物6の回転遠
心力によって効果的に外部へ切り離される。送風機81
によって表面汚染物質5が下方に切り離されることにな
る。直線運動手段47は、左右または上下方向に直線運
動することにより、作業物6が回転する間、全面的にク
リーニングされるようにする。
The surface contaminants 5 removed from the surface of the work 6 by the plasma shock wave 25 or the short wavelength laser beam 14 are effectively separated to the outside by the rotational centrifugal force of the work 6 by the drive motor 46. Blower 81
Thus, the surface contaminant 5 is separated downward. The linear movement means 47 is linearly moved in the left-right direction or the vertical direction so that the entire surface of the work 6 is cleaned while the work 6 rotates.

【0033】上述のように、表面汚染物質5がレーザー
1の小さな動きでクリーニングされるため、クリーニン
グを安定に行うことができる。更に、作業物6の回転に
より、高速のクリーニング及び遠心力による表面汚染物
質の効果的な粒子ブローイングを行うことができる。作
業物6が回転しているため、作業物6の各方向に沿って
作業物6の大きさの1/2だけ動かしても作業物6を全
面的にクリーニングすることができる。作業物6の各方
向に沿って作業物6の大きさだけ作業物6を動かす必要
のある従来の2次元両方向x−yスキャニング方法に比
較して、本発明によるスキャニング方法は時間的及び空
間的に効率的である。
As described above, since the surface contaminant 5 is cleaned by the small movement of the laser 1, the cleaning can be stably performed. Further, by rotating the work 6, high-speed cleaning and effective particle blowing of surface contaminants by centrifugal force can be performed. Since the work 6 is rotating, even if the work 6 is moved by ½ of the size of the work 6 in each direction, the work 6 can be entirely cleaned. Compared with the conventional two-dimensional bidirectional xy scanning method, which requires moving the work 6 by the size of the work 6 along each direction of the work 6, the scanning method according to the present invention is temporal and spatial. Is efficient.

【0034】図1では、クリーニングしようとする作業
物6の表面が水平を維持している。しかし、図6で示す
ように作業物6の表面が水平面に対して、例えば、90
度傾いてクリーニングする場合、衝撃波25によって切
り離された表面汚染物質5が重力によって表面に再付着
される可能性が減り、送風機81の空気の流れに沿って
表面汚染物質が下方に容易に除去されることができる。
In FIG. 1, the surface of the work 6 to be cleaned is kept horizontal. However, as shown in FIG. 6, the surface of the work piece 6 is, for example, 90 degrees with respect to the horizontal plane.
When the cleaning is performed with a tilt, the possibility that the surface contaminant 5 separated by the shock wave 25 is reattached to the surface due to gravity is reduced, and the surface contaminant is easily removed downward along the air flow of the blower 81. You can

【0035】上記において、本発明の好適な実施の形態
について説明したが、本発明の請求範囲を逸脱すること
なく、当業者は種々の改変をなし得るであろう。
Although the preferred embodiments of the present invention have been described above, those skilled in the art could make various modifications without departing from the scope of the claims of the present invention.

【0036】[0036]

【発明の効果】以上のように、本発明によれば、1つの
レーザーを利用して2つの異なるレーザービームを発生
し、これらを交互に又は同時に使用して2つの互いに異
なるクリーニングを行うことができるため、従来のプラ
ズマ衝撃波で除去し難かった有機粒子を容易に除去する
ことができることになる。更に、1つのレーザー装置で
多用な汚染物質を除去することができる。
As described above, according to the present invention, it is possible to generate two different laser beams by using one laser and use these alternately or simultaneously to perform two different cleanings. Therefore, the organic particles, which have been difficult to remove by the conventional plasma shock wave, can be easily removed. Moreover, one laser device can remove various contaminants.

【0037】本発明による棒またはプリズムのような表
面損傷防止手段を使用すると、従来のプラズマ衝撃波ク
リーニング工程で作業物の表面に直接照射される一部の
レーザービームによって生じ得る作業物の表面損傷を防
止することができる。よって、本発明による表面損傷防
止手段を使用すると、ウェハーのように敏感な作業対象
物の表面損傷を本質的に防ぐことができ、効果的にプラ
ズマ衝撃波乾式クリーニングを行うことができる。
The use of the surface damage preventive means such as the rod or the prism according to the present invention prevents the surface damage of the work which may be caused by a part of the laser beam irradiated directly on the surface of the work in the conventional plasma shock wave cleaning process. Can be prevented. Therefore, by using the surface damage preventing means according to the present invention, the surface damage of a sensitive work object such as a wafer can be essentially prevented, and the plasma shock wave dry cleaning can be effectively performed.

【0038】本発明によるビーム拡大器及びレーザーノ
ズルを使用することにより、従来のプラズマ衝撃波クリ
ーニング方法によるクリーニング効率を実質的に向上さ
せることができる。
By using the beam expander and the laser nozzle according to the present invention, the cleaning efficiency of the conventional plasma shock wave cleaning method can be substantially improved.

【0039】本発明による作業物移動手段を使用するこ
とにより、高速のクリーニングを行うことができ、切り
離された汚染粒子が再汚染することを防ぎ、結果的に表
面粒子を永久的に除去することができる。
By using the work moving means according to the present invention, a high speed cleaning can be carried out, the detached contaminating particles are prevented from recontaminating and, consequently, the surface particles are permanently removed. You can

【0040】本発明による装置は、半導体製造工程中の
基板ウェハーの乾式表面クリーニング工程、LCD、T
FT、PDP、OLED、ELDのような平板ディスプ
レー製造工程中の表面洗浄工程、微小電子部品、磁器部
品、精密加工レンズなどの製造工程中の表面洗浄工程に
使用されることができる。
The apparatus according to the present invention comprises a dry surface cleaning process for a substrate wafer during a semiconductor manufacturing process, LCD, T
It can be used for a surface cleaning process in a flat panel display manufacturing process such as FT, PDP, OLED, and ELD, and a surface cleaning process in a manufacturing process for microelectronic components, porcelain components, precision-machined lenses and the like.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明による乾式表面クリーニング装置を示す
概略図である。
FIG. 1 is a schematic view showing a dry surface cleaning apparatus according to the present invention.

【図2】本発明による図1に示すレーザーノズル手段を
示す概略図である。
2 is a schematic diagram showing the laser nozzle means shown in FIG. 1 according to the present invention.

【図3】プラズマ衝撃波による表面損傷発生原理を説明
するための概略図である。
FIG. 3 is a schematic diagram for explaining the principle of surface damage generation by plasma shock waves.

【図4】本発明による表面損傷防止方法に対する基本原
理を説明するための概略図である。
FIG. 4 is a schematic diagram for explaining a basic principle of the surface damage prevention method according to the present invention.

【図5】本発明による表面損傷防止方法の第1の例を示
す概略図である。
FIG. 5 is a schematic view showing a first example of the surface damage prevention method according to the present invention.

【図6】本発明による表面損傷防止方法の第2の例を示
す概略図である。
FIG. 6 is a schematic view showing a second example of the surface damage preventing method according to the present invention.

【図7】本発明による作業物移動手段を示す概略図であ
る。
FIG. 7 is a schematic view showing a work moving means according to the present invention.

【符号の説明】[Explanation of symbols]

1 レーザー 2 レーザービーム 3 反射ミラー 5 表面汚染物質 6 作業物 11 ビーム伝達制御手段 12 スイッチングミラー 13 周波数変調手段 14 短波長レーザービーム 21 ビーム拡大器 22 焦点レンズ 23 レーザーノズル 24 レーザー焦点 25 プラズマ衝撃波 31 表面損傷防止手段 41 作業物付着手段 43 真空チャック 1 laser 2 laser beam 3 reflection mirror 5 surface pollutants 6 work 11 Beam transmission control means 12 switching mirrors 13 Frequency modulation means 14 Short wavelength laser beam 21 beam expander 22 Focus lens 23 Laser nozzle 24 laser focus 25 plasma shock wave 31 Surface damage prevention means 41 Work attachment means 43 Vacuum chuck

───────────────────────────────────────────────────── フロントページの続き (72)発明者 趙 盛 ▲ほ▼ 大韓民国、京畿道龍仁市三街洞117、プン リム・アパートメント 103−901 Fターム(参考) 3B116 AA03 AB01 AB34 AB47 BB89 BC01 5F004 AA14 BB03 BB16 BB18 BC02 DA21 DA22 DA23 DA25 5F072 AB02 JJ04 JJ20 KK05 KK12 KK15 KK30 MM08 QQ02 RR01 RR03 RR05 SS06 YY08    ─────────────────────────────────────────────────── ─── Continued front page    (72) Inventor Zhao Sheng ▲ HO ▼             Pun, 117, Sanga-dong, Yongin-si, Gyeonggi-do, Republic of Korea             Rim Apartment 103-901 F term (reference) 3B116 AA03 AB01 AB34 AB47 BB89                       BC01                 5F004 AA14 BB03 BB16 BB18 BC02                       DA21 DA22 DA23 DA25                 5F072 AB02 JJ04 JJ20 KK05 KK12                       KK15 KK30 MM08 QQ02 RR01                       RR03 RR05 SS06 YY08

Claims (17)

【特許請求の範囲】[Claims] 【請求項1】 作業物の表面上の汚染物質を除去するた
めの乾式表面クリーニング装置であって、 レーザービームを発生するレーザーと、 前記レーザービームを第1及び第2レーザービームに分
割して、第1及び第2レーザービームが互いに異なる方
向に進行するようにするビーム伝達制御手段と、 第2レーザービームを変調して第2レーザービームの波
長より短い波長を有する短波長レーザービームを生成
し、前記短波長レーザービームを使用して表面上の汚染
物質を直接取り外す周波数変調手段と、 第1レーザービームを作業物の表面周囲のレーザー焦点
に収束して、レーザー焦点の周囲にプラズマ衝撃波を生
成し、前記プラズマ衝撃波を使用して表面上の表面汚染
物質をクリーニングするレーザーフォーカシングレンズ
とを含む乾式表面クリーニング装置。
1. A dry surface cleaning apparatus for removing contaminants on the surface of a work, comprising a laser for generating a laser beam, the laser beam being divided into first and second laser beams, Beam transfer control means for causing the first and second laser beams to travel in different directions, and modulating the second laser beam to generate a short wavelength laser beam having a wavelength shorter than the wavelength of the second laser beam, Frequency modulation means for directly removing contaminants on the surface using the short wavelength laser beam, and focusing the first laser beam on the laser focus around the surface of the work piece to generate a plasma shock wave around the laser focus. A dry type including a laser focusing lens for cleaning surface contaminants on the surface using the plasma shock wave Surface cleaning apparatus.
【請求項2】 前記レーザー焦点の周囲に設けられ、前
記レーザービームの進行方向に向かってイオン化された
ガスを注入するレーザーノズルを更に含む請求項1に記
載の乾式表面クリーニング装置。
2. The dry surface cleaning apparatus according to claim 1, further comprising a laser nozzle provided around the laser focus and injecting an ionized gas in a traveling direction of the laser beam.
【請求項3】 前記ガスがAr、He、Ne及びN
含むグループから選択される請求項2に記載の乾式表面
クリーニング装置。
3. The dry surface cleaning apparatus of claim 2, wherein the gas is selected from the group containing Ar, He, Ne and N 2 .
【請求項4】 前記プラズマ衝撃波を生成することに使
用しない一部の第1レーザービームを分散させるため
に、第1レーザービームの進行経路に沿って設けられる
透明ウェーブガイドを更に含む請求項1に記載の乾式表
面クリーニング装置。
4. The transparent waveguide according to claim 1, further comprising a transparent waveguide provided along a traveling path of the first laser beam to disperse a part of the first laser beam that is not used for generating the plasma shock wave. The dry surface cleaning device described.
【請求項5】 前記透明ウェーブガイドがガラス、石
英、ダイヤモンド、塩化ナトリウム結晶を有するグルー
プから選択された透明固体物質からなる請求項4に記載
の乾式表面クリーニング装置。
5. The dry surface cleaning apparatus of claim 4, wherein the transparent waveguide is made of a transparent solid material selected from the group consisting of glass, quartz, diamond and sodium chloride crystals.
【請求項6】 前記透明ウェーブガイドが前記一部の第
1レーザービームに対する全反射を行うためのプリズム
状をなしている請求項4に記載の乾式表面クリーニング
装置。
6. The dry surface cleaning apparatus according to claim 4, wherein the transparent waveguide has a prism shape for performing total reflection on the part of the first laser beam.
【請求項7】 前記透明ウェーブガイドが前記一部の第
1レーザービームに対する全反射を行うための棒状をな
している請求項4に記載の乾式表面クリーニング装置。
7. The dry surface cleaning apparatus according to claim 4, wherein the transparent waveguide has a rod shape for performing total reflection on the part of the first laser beam.
【請求項8】 前記ビーム伝達制御手段がビームスプリ
ッタである請求項1に記載の乾式表面クリーニング装
置。
8. The dry surface cleaning apparatus according to claim 1, wherein the beam transfer control means is a beam splitter.
【請求項9】 前記ビーム伝達手段がスイッチングミラ
ーである請求項1に記載の乾式表面クリーニング装置。
9. The dry surface cleaning apparatus according to claim 1, wherein the beam transmitting means is a switching mirror.
【請求項10】 前記周波数変調器は前記短波長レーザ
ービームを生成する周波数変調手段であり、前記短波長
レーザービームの波長は略100nmないし略600n
mである請求項1に記載の乾式表面クリーニング装置。
10. The frequency modulator is frequency modulation means for generating the short wavelength laser beam, and the wavelength of the short wavelength laser beam is approximately 100 nm to approximately 600 n.
The dry surface cleaning apparatus according to claim 1, wherein the dry surface cleaning apparatus is m.
【請求項11】 前記第1レーザービームの大きさを増
加して前記レーザー焦点で前記第1レーザービームの出
力密度を増加するビーム拡大器を更に含む請求項1に記
載の乾式表面クリーニング装置。
11. The dry surface cleaning apparatus of claim 1, further comprising a beam expander that increases the size of the first laser beam to increase the power density of the first laser beam at the laser focus.
【請求項12】 前記レーザービームは、パルス周期が
略1ナノ秒ないし略100ナノ秒、パルスエネルギーが
略0.1Jないし略100J、波長が略500nmない
し略200nmである請求項1に記載の乾式表面クリー
ニング装置。
12. The dry method according to claim 1, wherein the laser beam has a pulse period of about 1 nanosecond to about 100 nanoseconds, a pulse energy of about 0.1 J to about 100 J, and a wavelength of about 500 nm to about 200 nm. Surface cleaning device.
【請求項13】 前記短波長レーザービームの波長が前
記レーザービームの基本波長の1/2、1/3又は1/
4である請求項1に記載の乾式表面クリーニング装置。
13. The wavelength of the short wavelength laser beam is 1/2, 1/3 or 1 / the fundamental wavelength of the laser beam.
4. The dry surface cleaning device according to claim 1, which is 4.
【請求項14】 前記レーザービームの前記基本波長が
Nd:YAGレーザーで生成される略1064nmであ
る請求項13に記載の乾式表面クリーニング装置。
14. The dry surface cleaning apparatus according to claim 13, wherein the fundamental wavelength of the laser beam is approximately 1064 nm generated by an Nd: YAG laser.
【請求項15】 前記作業物を付着するための作業物付
着手段と、前記作業物を回転及び移動するための移動手
段とを更に含む請求項1に記載の乾式表面クリーニング
装置。
15. The dry surface cleaning apparatus according to claim 1, further comprising a work adhering unit for adhering the work, and a moving unit for rotating and moving the work.
【請求項16】 前記作業物の表面が水平面を基準に一
定角度だけ傾く請求項1に記載の乾式表面クリーニング
装置。
16. The dry surface cleaning apparatus according to claim 1, wherein the surface of the work is inclined by a certain angle with respect to a horizontal plane.
【請求項17】 前記作業物付着手段が、 前記作業物の他の表面に近接する空洞を備える真空チャ
ックと、 前記空洞を真空引きして前記作業物を付着する真空ポン
プと、 前記真空チャックと前記真空ポンプを連結する真空ライ
ンとを含む請求項15に記載の乾式表面クリーニング装
置。
17. The work chucking means includes a vacuum chuck having a cavity adjacent to another surface of the work chuck, a vacuum pump for vacuuming the cavity to stick the work chuck, and the vacuum chuck. The dry surface cleaning apparatus according to claim 15, further comprising a vacuum line connecting the vacuum pump.
JP2002067044A 2001-05-19 2002-03-12 Dry surface cleaning device using laser Expired - Fee Related JP3776820B2 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
KR2001-027462 2001-05-19
KR10-2001-0027463A KR100463212B1 (en) 2001-05-19 2001-05-19 Apparatus for dry surface-cleaning of materials
KR2001-027463 2001-05-19
KR10-2001-0027462A KR100467977B1 (en) 2001-05-19 2001-05-19 Apparatus for dry surface-cleaning of materials using shock wave
KR1020010062277A KR100328620B1 (en) 2001-10-10 2001-10-10 Apparatus for dry surface-cleaning of materials using shock wave
KR2001-062277 2001-10-10

Publications (2)

Publication Number Publication Date
JP2003007655A true JP2003007655A (en) 2003-01-10
JP3776820B2 JP3776820B2 (en) 2006-05-17

Family

ID=27350467

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002067044A Expired - Fee Related JP3776820B2 (en) 2001-05-19 2002-03-12 Dry surface cleaning device using laser

Country Status (2)

Country Link
JP (1) JP3776820B2 (en)
TW (1) TW543097B (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006142379A (en) * 2004-11-24 2006-06-08 Imt Co Ltd Dry-surface cleaning apparatus
KR100841346B1 (en) * 2007-07-31 2008-06-26 세메스 주식회사 Substrate cleaning apparatus of in-line type and method of the same
JP2009272604A (en) * 2008-05-06 2009-11-19 Semes Co Ltd Substrate washing apparatus and method
JP2012064984A (en) * 2004-10-05 2012-03-29 Asml Netherlands Bv Lithographic apparatus
JP2012531054A (en) * 2009-06-23 2012-12-06 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic apparatus and device manufacturing method
US8420512B2 (en) 2008-12-11 2013-04-16 Fuji Electric Co., Ltd. Method for manufacturing semiconductor device
JP2014073522A (en) * 2012-10-05 2014-04-24 Denso Corp Laser beam machining apparatus
CN115254804A (en) * 2022-08-23 2022-11-01 武汉理工大学 Large-breadth laser cleaning system and method

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI651146B (en) * 2017-11-07 2019-02-21 財團法人工業技術研究院 Apparatus for laser cleaning and method thereof
TWI686256B (en) * 2018-04-13 2020-03-01 財團法人工業技術研究院 Laser cleaning apparatus and method

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012064984A (en) * 2004-10-05 2012-03-29 Asml Netherlands Bv Lithographic apparatus
US8902399B2 (en) 2004-10-05 2014-12-02 Asml Netherlands B.V. Lithographic apparatus, cleaning system and cleaning method for in situ removing contamination from a component in a lithographic apparatus
JP2006142379A (en) * 2004-11-24 2006-06-08 Imt Co Ltd Dry-surface cleaning apparatus
KR100841346B1 (en) * 2007-07-31 2008-06-26 세메스 주식회사 Substrate cleaning apparatus of in-line type and method of the same
JP2009272604A (en) * 2008-05-06 2009-11-19 Semes Co Ltd Substrate washing apparatus and method
US8253059B2 (en) 2008-05-06 2012-08-28 Semes Co., Ltd. Apparatus and method of cleaning substrate
US8420512B2 (en) 2008-12-11 2013-04-16 Fuji Electric Co., Ltd. Method for manufacturing semiconductor device
JP2012531054A (en) * 2009-06-23 2012-12-06 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic apparatus and device manufacturing method
TWI490663B (en) * 2009-06-23 2015-07-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US9563137B2 (en) 2009-06-23 2017-02-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2014073522A (en) * 2012-10-05 2014-04-24 Denso Corp Laser beam machining apparatus
CN115254804A (en) * 2022-08-23 2022-11-01 武汉理工大学 Large-breadth laser cleaning system and method
CN115254804B (en) * 2022-08-23 2024-03-22 武汉理工大学 Large-breadth laser cleaning system and method

Also Published As

Publication number Publication date
JP3776820B2 (en) 2006-05-17
TW543097B (en) 2003-07-21

Similar Documents

Publication Publication Date Title
US6635845B2 (en) Dry surface cleaning apparatus using a laser
KR100463212B1 (en) Apparatus for dry surface-cleaning of materials
KR100476814B1 (en) Removal of material by radiation applied at an oblique angle
US7629556B2 (en) Laser nozzle methods and apparatus for surface cleaning
US5821175A (en) Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface
JP4089833B2 (en) Material removal by polarized radiation and backside irradiation
AU684772B2 (en) Removal of surface contaminants by irradiation
KR100348701B1 (en) Apparatus for dry surface-cleaning of materials
JP2006229198A (en) Method and apparatus for cleaning tool with ultraviolet provided internally
US20110143640A1 (en) Pad conditioner and method
JP3776820B2 (en) Dry surface cleaning device using laser
WO1993019888A1 (en) Removal of surface contaminants by irradiation
US20060213615A1 (en) Laser nozzle cleaning tool
KR100455059B1 (en) Dry surface-cleaning apparatus using a laser
CN113210357A (en) Method and device for cleaning micro-nano particles by time-regulated double-beam laser induced shock waves
JP3621587B2 (en) Cleaning apparatus and cleaning method
JP2002343761A (en) Laser cleaning apparatus and method therefor
JP2000126704A (en) Method and apparatus for cleaning optical element
JP2001300453A (en) Method for cleaning surface of article and cleaning device, method for manufacturing optic element using method for cleaning surface of article and cleaning device, and optic element manufacturing device, optical system, aligning method and aligning device, and device manufacturing method
KR100467977B1 (en) Apparatus for dry surface-cleaning of materials using shock wave
JP2003303799A (en) Surface-cleaning equipment and surface-cleaning method
JP2003303800A (en) Surface-cleaning equipment and surface-cleaning method
JP3172512B2 (en) Cleaning equipment
KR100445607B1 (en) Dry surface-cleaning apparatus using laser-induced shock waves
KR100907276B1 (en) Apparatus for preventing surface damage in laser shock wave cleaning process and mask thereof

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040113

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040413

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040423

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040713

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050705

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20051005

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20051011

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051213

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060223

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100303

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110303

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees