JP2002318546A - Light emitting device and method of making the same - Google Patents

Light emitting device and method of making the same

Info

Publication number
JP2002318546A
JP2002318546A JP2002038071A JP2002038071A JP2002318546A JP 2002318546 A JP2002318546 A JP 2002318546A JP 2002038071 A JP2002038071 A JP 2002038071A JP 2002038071 A JP2002038071 A JP 2002038071A JP 2002318546 A JP2002318546 A JP 2002318546A
Authority
JP
Japan
Prior art keywords
insulating film
forming
anode
film
light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2002038071A
Other languages
Japanese (ja)
Other versions
JP2002318546A5 (en
Inventor
Hirokazu Yamagata
裕和 山形
Shunpei Yamazaki
舜平 山崎
Toru Takayama
徹 高山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to JP2002038071A priority Critical patent/JP2002318546A/en
Publication of JP2002318546A publication Critical patent/JP2002318546A/en
Publication of JP2002318546A5 publication Critical patent/JP2002318546A5/ja
Withdrawn legal-status Critical Current

Links

Landscapes

  • Devices For Indicating Variable Information By Combining Individual Elements (AREA)
  • Electroluminescent Light Sources (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method of making a light emitting device by making the light emitting elements long in life and having a structure which is more hardly deteriorated than heretofore. SOLUTION: The surfaces of anodes exposed after the formation of banks are subjected to flatening and removal of dust by wiping the surfaces by using a porous material, etc., of a PVA(polyvinyl alcohol)-based material. Insulating films are formed to cover the banks and the anodes, by which the ruggedness of the anode surfaces is covered up and the balance of the holes and electrons to be injected into organic compound layers is put into order.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、電界を加えること
で発光が得られる有機化合物を含む膜(以下、「有機化
合物層」と記す)と、陽極と、陰極と、を有する発光素
子を用いた発光装置の作製方法に関する。本発明では特
に、従来よりも駆動電圧が低く、なおかつ素子の寿命が
長い発光素子を用いた発光装置に関する。なお、本明細
書中における発光装置とは、発光素子として発光素子を
用いた画像表示デバイスもしくは発光デバイスを指す。
また、発光素子にコネクター、例えば異方導電性フィル
ム((FPC: Flexible Printed Circuit)もしくはTAB(Tap
e Automated Bonding)テープもしくはTCP(Tape Carri
er Package)が取り付けられたモジュール、TABテープ
やTCPの先にプリント配線板が設けられたモジュール、
または発光素子にCOG(Chip On Glass)方式によりIC
(集積回路)が直接実装されたモジュールも全て発光装
置に含むものとする。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a light emitting element having a film containing an organic compound capable of emitting light by applying an electric field (hereinafter referred to as "organic compound layer"), an anode, and a cathode. To a method for manufacturing a light-emitting device. In particular, the present invention relates to a light-emitting device using a light-emitting element whose driving voltage is lower than that of a conventional one and whose element life is longer. Note that a light-emitting device in this specification refers to an image display device or a light-emitting device using a light-emitting element as a light-emitting element.
In addition, a connector such as an anisotropic conductive film ((FPC: Flexible Printed Circuit) or TAB (Tap
e Automated Bonding) tape or TCP (Tape Carri
er Package), a module with a printed circuit board in front of TAB tape or TCP,
Or COG (Chip On Glass) method for light emitting element IC
All the modules on which the (integrated circuit) is directly mounted are also included in the light emitting device.

【0002】[0002]

【従来の技術】薄型軽量・高速応答性・直流低電圧駆動
などの特性から、発光素子は次世代のフラットパネルデ
ィスプレイ素子として注目されている。また、自発光型
であり視野角が広いことから、視認性も比較的良好であ
り、電気器具の表示画面に用いる素子として有効と考え
られており、盛んに開発されてきている。
2. Description of the Related Art Light-emitting devices have attracted attention as next-generation flat panel display devices because of their characteristics such as thinness, lightness, high-speed response, and low-voltage DC drive. In addition, since it is a self-luminous type and has a wide viewing angle, visibility is relatively good, and it is considered to be effective as an element used for a display screen of an electric appliance, and is being actively developed.

【0003】電界を加えることにより発光する発光素子
の発光機構は、電極間に有機化合物層を挟んで電圧を印
加することにより、陰極から注入された電子および陽極
から注入された正孔が有機化合物層中の発光中心で再結
合して分子励起子を形成し、その分子励起子が基底状態
に戻る際にエネルギーを放出して発光すると言われてい
る。なお、有機化合物が形成する分子励起子の種類とし
ては、一重項励起状態と三重項励起状態が可能である
が、本明細書中ではどちらの励起状態が発光に寄与する
場合も含むこととする。
The light-emitting mechanism of a light-emitting element that emits light by applying an electric field is such that electrons injected from a cathode and holes injected from an anode are applied to an organic compound by applying a voltage across an organic compound layer between electrodes. It is said that a molecular exciton is recombined at an emission center in the layer to form a molecular exciton, and the molecular exciton emits energy and emits light when returning to a ground state. In addition, as a kind of the molecular exciton formed by the organic compound, a singlet excited state and a triplet excited state are possible, but the present specification includes the case where either excited state contributes to light emission. .

【0004】このような発光素子は、駆動方法の違いに
よりパッシブマトリクス型(単純マトリクス型)とアク
ティブマトリクス型とに分類される。しかし、QVGA
以上の画素数を有する高精細な表示が可能であることか
ら、特にアクティブマトリクス型のものが注目されてい
る。
[0004] Such light emitting elements are classified into a passive matrix type (simple matrix type) and an active matrix type depending on the driving method. However, QVGA
Since high-definition display having the number of pixels described above is possible, an active matrix type is particularly attracting attention.

【0005】発光素子を有するアクティブマトリクス型
の発光装置は、図2に示すような素子構造を有してお
り、基板201上に電流制御用TFT202が形成さ
れ、電流制御用TFT202上には、層間絶縁膜203
が形成される。
An active matrix type light emitting device having a light emitting element has an element structure as shown in FIG. 2, in which a current controlling TFT 202 is formed on a substrate 201 and an interlayer is provided on the current controlling TFT 202. Insulating film 203
Is formed.

【0006】そして、層間絶縁膜203上には、配線2
04によりTFT202と電気的に接続された陽極(画
素電極)205が形成される。陽極205を形成する材
料としては、仕事関数の大きい透明性導電材料が適して
おり、ITO(indium tin oxides)、酸化スズ(Sn
2)、酸化インジウムと酸化亜鉛(ZnO)からなる
合金、金の半透過膜、ポリアニリンなどが提案されてい
る。このうちでITOは、バンドギャップが約3.75
eVであり、可視光の領域で高い透明性を持つことから
最も多く用いられている。
The wiring 2 is formed on the interlayer insulating film 203.
An anode (pixel electrode) 205 electrically connected to the TFT 202 is formed by the TFT 04. As a material for forming the anode 205, a transparent conductive material having a large work function is suitable, such as ITO (indium tin oxides) and tin oxide (Sn).
O 2 ), alloys of indium oxide and zinc oxide (ZnO), semi-permeable films of gold, polyaniline and the like have been proposed. Among them, ITO has a band gap of about 3.75.
eV, which is most often used because it has high transparency in the visible light region.

【0007】陽極205上には、有機化合物層206が
形成される。なお、本明細書では、陽極と陰極の間に設
けられた全ての層を有機化合物層と定義する。有機化合
物層206には具体的に、発光層、正孔注入層、電子注
入層、正孔輸送層、電子輸送層等が含まれる。基本的に
発光素子は、陽極/発光層/陰極が順に積層された構造
を有しており、この構造に加えて、陽極/正孔注入層/
発光層/陰極や、陽極/正孔注入層/発光層/電子輸送
層/陰極等の順に積層した構造を有していることもあ
る。
On the anode 205, an organic compound layer 206 is formed. In the present specification, all layers provided between the anode and the cathode are defined as organic compound layers. The organic compound layer 206 specifically includes a light emitting layer, a hole injection layer, an electron injection layer, a hole transport layer, an electron transport layer, and the like. Basically, a light-emitting element has a structure in which an anode / light-emitting layer / cathode are sequentially stacked. In addition to this structure, an anode / a hole injection layer /
It may have a structure in which a light emitting layer / cathode or an anode / hole injection layer / light emitting layer / electron transport layer / cathode are stacked in this order.

【0008】有機化合物層206を形成した後で、陰極
207を形成することにより、発光素子209が形成さ
れる。陰極としては仕事関数の小さい金属(代表的には
周期表の1族もしくは2族に属する金属)を用いること
が多い。なお、本明細書においては、このような金属
(アルカリ金属とアルカリ土類金属を含めて)を「アル
カリ金属」と呼ぶ。
After forming the organic compound layer 206, the cathode 207 is formed, whereby the light emitting element 209 is formed. As the cathode, a metal having a small work function (typically, a metal belonging to Group 1 or 2 of the periodic table) is often used. In this specification, such a metal (including an alkali metal and an alkaline earth metal) is referred to as an “alkali metal”.

【0009】また、陽極の端部を覆うように形成され、
この部分で陰極と陽極とがショートすることを防ぐため
に有機樹脂材料からなるバンク208が形成されてい
る。
The anode is formed so as to cover the end of the anode,
At this portion, a bank 208 made of an organic resin material is formed to prevent a short circuit between the cathode and the anode.

【0010】なお、図2では、一画素に形成される発光
素子しか示していないが、実際には、これらが画素部に
複数形成されることによりアクティブマトリクス型の発
光装置が形成される。
Although FIG. 2 shows only light emitting elements formed in one pixel, an active matrix type light emitting device is actually formed by forming a plurality of these in a pixel portion.

【0011】[0011]

【発明が解決しようとする課題】陽極は、有機化合物層
に発光に関与する正孔を注入する電極であり、その陽極
にクラックが発生すると正孔の発生に悪影響を及ぼした
り、注入される正孔が減少したり、さらにこのクラック
が発光素子自身の劣化の原因となると考えられる。陽極
表面の凹凸が、正孔の発生や注入に悪影響を及ぼした
り、注入される正孔が減少したり、さらにこのクラック
が発光素子自身の劣化の原因とも考えられる。
The anode is an electrode for injecting holes involved in light emission into the organic compound layer. If a crack is generated in the anode, the anode adversely affects the generation of holes or the injected anode. It is considered that the number of holes is reduced and the cracks cause deterioration of the light emitting element itself. Irregularities on the anode surface may adversely affect the generation and injection of holes, decrease the number of injected holes, and cause the cracks to cause deterioration of the light emitting element itself.

【0012】さらに、有機化合物層は酸素や水分により
劣化しやすいという性質を有しているが、層間絶縁膜と
して用いられる材料はポリイミド、ポリアミド、アクリ
ルと言った有機樹脂材料が多く、これらの材料を用いて
形成された層間絶縁膜から発生した酸素等の気体により
発光素子が劣化してしまうという問題があった。
Further, the organic compound layer has the property of being easily degraded by oxygen or moisture, but the materials used as the interlayer insulating film are many organic resin materials such as polyimide, polyamide and acrylic. There is a problem that a light emitting element is deteriorated by a gas such as oxygen generated from an interlayer insulating film formed by using the method.

【0013】そこで、従来より劣化しにくい構造の発光
素子により上記した課題を解決した寿命の長い発光素子
を作製し、高品質な発光装置を作製する方法を提供する
ことを課題とする。
It is an object of the present invention to provide a method for manufacturing a long-life light-emitting element that solves the above-mentioned problem by using a light-emitting element having a structure that is less likely to deteriorate than in the past, and for manufacturing a high-quality light-emitting device.

【0014】[0014]

【課題を解決する手段】本発明は、絶縁体上に形成され
たTFT上に無機絶縁膜からなる層間絶縁膜を形成し、
前記層間絶縁膜をCMP法により平坦化し、配線を形成
し、前記配線を介して前記TFTに電気的に接続された
陽極を形成し、前記陽極および前記配線を覆う樹脂絶縁
膜を形成し、エッチングしてバンクを形成し、前記バン
クをプラズマ処理して表面改質を行い、前記陽極を拭浄
し、前記陽極および前記バンクを覆って絶縁膜を形成
し、前記絶縁膜上に有機化合物層を形成することを特徴
としている。
According to the present invention, an interlayer insulating film made of an inorganic insulating film is formed on a TFT formed on an insulator,
Flattening the interlayer insulating film by a CMP method, forming a wiring, forming an anode electrically connected to the TFT through the wiring, forming a resin insulating film covering the anode and the wiring, and etching Forming a bank, performing a plasma treatment on the bank to perform surface modification, wiping the anode, forming an insulating film covering the anode and the bank, and forming an organic compound layer on the insulating film. It is characterized by forming.

【0015】なお、層間絶縁膜を無機絶縁膜で形成する
ため、水分やガスの発生による発光素子の劣化の問題を
解決することができる。
[0015] Since the interlayer insulating film is formed of an inorganic insulating film, the problem of deterioration of the light emitting element due to generation of moisture or gas can be solved.

【0016】また、層間絶縁膜上に窒化珪素またはDL
C膜からなる絶縁膜を形成するため、発光素子を形成す
る際に用いられるアルカリ金属がTFT側に侵入するこ
とを防ぐことができる。
Further, silicon nitride or DL may be formed on the interlayer insulating film.
Since the insulating film made of the C film is formed, it is possible to prevent the alkali metal used for forming the light emitting element from entering the TFT.

【0017】さらに、陽極を拭浄することにより、陽極
表面の凹凸を平坦化したり、陽極表面のゴミを除去した
りすることができる。
Furthermore, by wiping the anode, it is possible to flatten the unevenness of the anode surface and to remove dust on the anode surface.

【0018】また、陽極および前記バンクを覆って絶縁
膜を形成することにより、有機化合物層に注入される正
孔と電子の量のバランスを整える効果も期待できる。
Further, by forming an insulating film covering the anode and the bank, an effect of balancing the amount of holes and electrons injected into the organic compound layer can be expected.

【0019】また、他の発明の構成は、バンクを形成す
るための樹脂絶縁膜を形成した後、帯電防止膜を形成
し、アルカリ金属等の汚染を回避できる処理室に移動さ
せた後に、帯電防止膜を除去し、前記樹脂絶縁膜をエッ
チングすることで、バンクを形成することを特徴として
いる。
In another aspect of the invention, after a resin insulating film for forming a bank is formed, an antistatic film is formed, and after being moved to a processing chamber capable of avoiding contamination of an alkali metal or the like, the charging is performed. A bank is formed by removing the prevention film and etching the resin insulating film.

【0020】上記発明において、帯電防止膜は、水洗に
より除去できる膜であることを特徴としている。
In the above invention, the antistatic film is a film that can be removed by washing with water.

【0021】また、他の発明の構成は、バンクを形成
し、陽極の加熱処理を行って結晶化した後、前記バンク
表面をプラズマ処理する工程を含むことを特徴としてい
る。
Another aspect of the invention is characterized in that the method includes a step of forming a bank, performing heat treatment on the anode, crystallizing the same, and then performing a plasma treatment on the surface of the bank.

【0022】このようにバンク表面をプラズマ処理して
表面改質を行い硬化膜を形成することにより、バンクか
ら水分が放出され発光素子を劣化させるのを防ぐことが
できる。
As described above, the surface of the bank is subjected to the plasma treatment to modify the surface to form a cured film, thereby preventing the emission of moisture from the bank and the deterioration of the light emitting element.

【0023】[0023]

【発明の実施の形態】基板100上にTFT101を形
成する。ここで示したTFTは、発光素子に流れる電流
を制御するためのTFTであり、本明細書中においては
電流制御用TFT101と称する(図1(A))。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A TFT 101 is formed on a substrate 100. The TFT shown here is a TFT for controlling a current flowing to the light-emitting element, and is referred to as a current control TFT 101 in this specification (FIG. 1A).

【0024】次いで、電流制御用TFT101上に、層
間絶縁膜102を形成し平坦化を行う。層間絶縁膜10
2としては、無機絶縁膜、代表的には、酸化シリコン
膜、酸化窒化シリコン膜、窒化酸化シリコン膜、または
これらを組み合わせた積層膜をプラズマCVD法または
スパッタ法を用いて形成する。
Next, an interlayer insulating film 102 is formed on the current controlling TFT 101 and flattened. Interlayer insulating film 10
As No. 2, an inorganic insulating film, typically, a silicon oxide film, a silicon oxynitride film, a silicon nitride oxide film, or a stacked film obtained by combining these is formed by a plasma CVD method or a sputtering method.

【0025】次いで、層間絶縁膜102表面を平坦化さ
せるため、層間絶縁膜102をCMP(Chemical Mecha
nical Polish:化学機械研磨)法と呼ばれる技術で研磨
し平坦化する。そして、平坦化処理工程終了後に層間絶
縁膜の平均膜厚が1.0〜2.0μm程度になるように
する。また、平坦化処理を行った層間絶縁膜102上に
窒化珪素膜またはDLC膜からなる絶縁膜を形成しても
良い。
Next, in order to flatten the surface of the interlayer insulating film 102, the interlayer insulating film 102 is formed by CMP (Chemical Mecha).
Polishing and flattening by a technique called nical Polish (chemical mechanical polishing) method. After the flattening process, the average thickness of the interlayer insulating film is adjusted to about 1.0 to 2.0 μm. Further, an insulating film made of a silicon nitride film or a DLC film may be formed over the interlayer insulating film 102 that has been subjected to the planarization treatment.

【0026】その後、所望のパターンのレジストマスク
を形成し、電流制御用TFT101のドレイン領域に達
するコンタクトホールを形成して、配線103を形成す
る。配線材料としては、導電性の金属膜としてAlやT
iの他、これらの合金材料を用い、スパッタ法や真空蒸
着法で成膜した後、所望の形状にパターニングすればよ
い。
Thereafter, a resist mask having a desired pattern is formed, a contact hole reaching the drain region of the current controlling TFT 101 is formed, and a wiring 103 is formed. As a wiring material, a conductive metal film such as Al or T
In addition to i, a film may be formed by a sputtering method or a vacuum evaporation method using these alloy materials, and then patterned into a desired shape.

【0027】次いで、発光素子の陽極となる透明導電膜
104を形成する。透明導電膜104としては、代表的
には酸化インジウム・スズ(ITO)または酸化インジ
ウムに2〜20%の酸化亜鉛(ZnO)を混合した透明
導電膜を用いて形成する。
Next, a transparent conductive film 104 serving as an anode of the light emitting element is formed. The transparent conductive film 104 is typically formed using indium tin oxide (ITO) or a transparent conductive film in which 2 to 20% of zinc oxide (ZnO) is mixed with indium oxide.

【0028】続いて、透明導電膜104をエッチングし
て陽極105を形成する(図1(B))。その後にバン
ク106を形成し、230〜350℃で、加熱処理を行
う。なお、本明細書において、陽極105上に開口部を
有し、かつ陽極端部を覆って形成された絶縁膜をバンク
と称する(図1(C))。
Subsequently, the transparent conductive film 104 is etched to form an anode 105 (FIG. 1B). After that, the bank 106 is formed, and heat treatment is performed at 230 to 350 ° C. Note that in this specification, an insulating film which has an opening over the anode 105 and covers the anode end is referred to as a bank (FIG. 1C).

【0029】次いで、陽極105の表面を洗浄液ととも
にPVA(ポリビニルアルコール)系の多孔質体108
を用いて拭い、陽極105表面の平坦化およびゴミ等の
除去を行う。なお、本明細書において、陽極表面をPV
A(ポリビニルアルコール)系の多孔質体などを用いて
拭い、平坦化およびゴミの除去を行う処理のことを拭浄
と称することとする。なお、107は軸である(図1
(D))。
Next, the surface of the anode 105 is cleaned together with the cleaning liquid by a PVA (polyvinyl alcohol) -based porous material 108.
To perform flattening of the surface of the anode 105 and removal of dust and the like. In this specification, the surface of the anode is PV
A process of wiping using an A (polyvinyl alcohol) -based porous body, flattening, and removing dust is referred to as wiping. Incidentally, reference numeral 107 denotes an axis (FIG. 1).
(D)).

【0030】陽極の表面を拭浄処理した後、絶縁膜10
9を形成する。絶縁膜109は、ポリイミド、ポリアミ
ド、アクリルから選ばれた有機樹脂絶縁膜をスピンコー
ト法を用いて、1〜5nmの膜厚で形成する。
After wiping the surface of the anode, the insulating film 10
9 is formed. As the insulating film 109, an organic resin insulating film selected from polyimide, polyamide, and acrylic is formed with a thickness of 1 to 5 nm by spin coating.

【0031】次いで、絶縁膜109上に有機化合物層1
10、陰極111を形成する。有機化合物層110は、
発光層の他に正孔注入層として、正孔輸送層、正孔阻止
層、電子輸送層、電子注入層およびバッファー層といっ
た複数の層を組み合わせて積層し形成される。有機化合
物層110としての膜厚は、10〜400nm程度が好
ましい(図1(D))。
Next, the organic compound layer 1 is formed on the insulating film 109.
10. The cathode 111 is formed. The organic compound layer 110
In addition to the light-emitting layer, a hole injection layer is formed by combining and stacking a plurality of layers such as a hole transport layer, a hole blocking layer, an electron transport layer, an electron injection layer, and a buffer layer. The thickness of the organic compound layer 110 is preferably about 10 to 400 nm (FIG. 1D).

【0032】陰極111は、有機化合物層110成膜後
に、蒸着法により形成する。陰極111となる材料とし
ては、MgAgやAl−Li合金(アルミニウムとリチ
ウムの合金)の他に、周期表の1族もしくは2族に属す
る元素とアルミニウムとを共蒸着法により形成した膜を
用いても良い。なお、陰極111の膜厚は、80〜20
0nm程度が好ましい。
The cathode 111 is formed by an evaporation method after forming the organic compound layer 110. As a material for forming the cathode 111, a film formed by co-evaporation of aluminum and an element belonging to Group 1 or 2 of the periodic table, in addition to MgAg or an Al-Li alloy (alloy of aluminum and lithium), is used. Is also good. The thickness of the cathode 111 is 80 to 20.
About 0 nm is preferable.

【0033】ここで、拭浄処理を行うことによる透明性
導電膜の表面の状態について、原子間力顕微鏡(AFM:
Atomic Force Microscope)を用いて表面観察を行った結
果を図13〜15に示す。
Here, the state of the surface of the transparent conductive film by performing the wiping treatment will be described with an atomic force microscope (AFM:
FIGS. 13 to 15 show the results of surface observation using Atomic Force Microscope).

【0034】なお、本実施例における表面観察には、ガ
ラス基板上に110nmの膜厚で成膜されたITO膜を
250℃で熱処理することにより結晶化したものを測定
表面として用いる。
In the surface observation in this embodiment, an ITO film formed on a glass substrate with a thickness of 110 nm and crystallized by heat treatment at 250 ° C. is used as a measurement surface.

【0035】図13、14においてAFMにより観察さ
れた基板表面の凹凸形状を示す。なお、図13には、拭
浄処理前の測定表面を観察した結果を示し、図14に
は、拭浄処理後の測定表面を観察した結果を示す。
FIGS. 13 and 14 show the irregularities on the substrate surface observed by AFM. FIG. 13 shows the result of observing the measurement surface before the wiping treatment, and FIG. 14 shows the result of observing the measurement surface after the wiping treatment.

【0036】図15には、拭浄用のPVA系の多孔質材
料としてベルクリン(小津産業製)を用いた拭浄処理の
前後における平均面粗さ(Ra)を示している。なお、
ここでいう平均面粗さとは、JIS B0601で定義
されている中心線平均粗さを面に対して適用できるよう
三次元に拡張したものである。図15に見られるよう
に、陽極105の表面の平均面粗さ(Ra)は、0.9
nm以下、好ましくは0.85nm以下であれば好まし
い。この結果から、拭浄処理後は、測定表面における平
均面粗さは小さくなり、平坦性が増していることが分か
る。
FIG. 15 shows the average surface roughness (Ra) before and after the wiping treatment using Bellclean (manufactured by Ozu Sangyo) as a PVA-based porous material for wiping. In addition,
The average surface roughness referred to here is a three-dimensional extension of the center line average roughness defined in JIS B0601 so that it can be applied to the surface. As can be seen from FIG. 15, the average surface roughness (Ra) of the surface of the anode 105 is 0.9
nm or less, preferably 0.85 nm or less. From this result, it can be seen that after the wiping treatment, the average surface roughness on the measurement surface is small and the flatness is increased.

【0037】[0037]

【実施例】(実施例1)本実施例においては、本発明を
用いて作製される発光素子について説明する。なお、こ
こでは、同一基板上に本発明の発光素子を有する画素部
と、画素部の周辺に設ける駆動回路のTFT(nチャネ
ル型TFT及びpチャネル型TFT)を同時に作製する
方法の一例について図3〜図6を用いて説明する。
Embodiment (Embodiment 1) In this embodiment, a light emitting element manufactured by using the present invention will be described. Note that here, an example of a method for simultaneously manufacturing a pixel portion having the light-emitting element of the present invention over the same substrate and a TFT (an n-channel TFT and a p-channel TFT) of a driver circuit provided around the pixel portion is described. This will be described with reference to FIGS.

【0038】まず、本実施例ではコーニング社の#70
59ガラスや#1737ガラスなどに代表されるバリウ
ムホウケイ酸ガラス、またはアルミノホウケイ酸ガラス
などのガラスからなる基板900を用いる。なお、基板
900としては、透光性を有する基板であれば限定され
ず、石英基板を用いても良い。また、本実施例の処理温
度に耐えうる耐熱性を有するプラスチック基板を用いて
もよい。
First, in this embodiment, Corning # 70
A substrate 900 made of glass such as barium borosilicate glass typified by 59 glass or # 1737 glass or aluminoborosilicate glass is used. Note that the substrate 900 is not limited as long as it is a light-transmitting substrate, and a quartz substrate may be used. Further, a plastic substrate having heat resistance enough to withstand the processing temperature of this embodiment may be used.

【0039】次いで、図3(A)に示すように、基板9
00上に酸化珪素膜、窒化珪素膜または酸化窒化珪素膜
などの絶縁膜から成る下地絶縁膜901を形成する。本
実施例では下地絶縁膜901として2層構造を用いる
が、前記絶縁膜の単層膜または2層以上積層させた構造
を用いても良い。下地絶縁膜901の一層目としては、
プラズマCVD法を用い、SiH4、NH3、及びN2
を反応ガスとして成膜される酸化窒化珪素膜901aを
10〜200nm(好ましくは50〜100nm)形成
する。本実施例では、膜厚50nmの酸化窒化珪素膜9
01a(組成比Si=32%、O=27%、N=24
%、H=17%)を形成した。次いで、下地絶縁膜90
1のニ層目としては、プラズマCVD法を用い、SiH
4、及びN2Oを反応ガスとして成膜される酸化窒化珪素
膜901bを50〜200nm(好ましくは100〜1
50nm)の厚さに積層形成する。本実施例では、膜厚
100nmの酸化窒化珪素膜901b(組成比Si=3
2%、O=59%、N=7%、H=2%)を形成した。
Next, as shown in FIG.
A base insulating film 901 made of an insulating film such as a silicon oxide film, a silicon nitride film, or a silicon oxynitride film is formed over the substrate. Although a two-layer structure is used as the base insulating film 901 in this embodiment, a single-layer film of the insulating film or a structure in which two or more insulating films are stacked may be used. As the first layer of the base insulating film 901,
Using plasma CVD, SiH 4 , NH 3 , and N 2 O
Is used as a reaction gas to form a silicon oxynitride film 901a of 10 to 200 nm (preferably 50 to 100 nm). In this embodiment, the silicon oxynitride film 9 having a thickness of 50 nm is used.
01a (composition ratio Si = 32%, O = 27%, N = 24
%, H = 17%). Next, the base insulating film 90
As the first second layer, a plasma CVD
4 and a silicon oxynitride film 901b formed using N 2 O as a reaction gas to a thickness of 50 to 200 nm (preferably 100 to 1 nm).
(50 nm). In this embodiment, a 100-nm-thick silicon oxynitride film 901b (composition ratio Si = 3
2%, O = 59%, N = 7%, H = 2%).

【0040】次いで、下地絶縁膜901上に半導体層9
02〜905を形成する。半導体層902〜905は、
非晶質構造を有する半導体膜を公知の手段(スパッタ
法、LPCVD法、またはプラズマCVD法等)により
成膜した後、公知の結晶化処理(レーザー結晶化法、熱
結晶化法、またはニッケルなどの触媒を用いた熱結晶化
法等)を行って得られた結晶質半導体膜を所望の形状に
パターニングして形成する。この半導体層902〜90
5の厚さは25〜80nm(好ましくは30〜60n
m)の厚さで形成する。結晶質半導体膜の材料に限定は
ないが、好ましくは珪素(シリコン)またはシリコンゲ
ルマニウム(SiXGe1-X(X=0.0001〜0.0
2))合金などで形成すると良い。本実施例では、プラ
ズマCVD法を用い、55nmの非晶質珪素膜を成膜し
た後、ニッケルを含む溶液を非晶質珪素膜上に保持させ
た。この非晶質珪素膜に脱水素化(500℃、1時間)
を行った後、熱結晶化(550℃、4時間)を行い、さ
らに結晶化を改善するためのレーザーアニ―ル処理を行
って結晶質珪素膜を形成した。そして、この結晶質珪素
膜をフォトリソグラフィー法を用いたパターニング処理
によって、半導体層902〜905を形成した。
Next, the semiconductor layer 9 is formed on the base insulating film 901.
02 to 905 are formed. The semiconductor layers 902 to 905 are
After forming a semiconductor film having an amorphous structure by a known means (sputtering method, LPCVD method, plasma CVD method, or the like), a known crystallization treatment (laser crystallization method, thermal crystallization method, nickel, or the like) The crystalline semiconductor film obtained by performing the thermal crystallization method using a catalyst described above is patterned into a desired shape and formed. These semiconductor layers 902 to 90
5 has a thickness of 25 to 80 nm (preferably 30 to 60 n).
m). Without limitation on the material of the crystalline semiconductor film, preferably silicon (silicon) or silicon germanium (Si X Ge 1-X ( X = 0.0001~0.0
2)) It is good to form with an alloy etc. In this embodiment, after a 55 nm amorphous silicon film is formed by using the plasma CVD method, a solution containing nickel is held on the amorphous silicon film. Dehydrogenation of this amorphous silicon film (500 ° C, 1 hour)
After that, thermal crystallization (550 ° C., 4 hours) was performed, and further, a laser annealing process for improving crystallization was performed to form a crystalline silicon film. Then, semiconductor layers 902 to 905 were formed by patterning the crystalline silicon film using a photolithography method.

【0041】また、半導体層902〜905を形成した
後、TFTのしきい値を制御するために、半導体層90
2〜905に微量な不純物元素(ボロンまたはリン)を
ドーピングしてもよい。
After the formation of the semiconductor layers 902 to 905, the semiconductor layers 90 to 905 are controlled to control the threshold value of the TFT.
2 to 905 may be doped with a trace amount of an impurity element (boron or phosphorus).

【0042】また、レーザー結晶化法で結晶質半導体膜
を作製する場合には、パルス発振型または連続発光型の
エキシマレーザーやYAGレーザー、YVO4レーザー
を用いることができる。これらのレーザーを用いる場合
には、レーザー発振器から放射されたレーザー光を光学
系で線状に集光し半導体膜に照射する方法を用いると良
い。結晶化の条件は実施者が適宣選択するものである
が、エキシマレーザーを用いる場合はパルス発振周波数
300Hzとし、レーザーエネルギー密度を100〜4
00mJ/cm2(代表的には200〜300mJ/cm
2)とする。また、YAGレーザーを用いる場合にはその
第2高調波を用いパルス発振周波数30〜300kHz
とし、レーザーエネルギー密度を300〜600mJ/
cm2(代表的には350〜500mJ/cm2)とすると
良い。そして幅100〜1000μm、例えば400μ
mで線状に集光したレーザー光を基板全面に渡って照射
し、この時の線状レーザー光の重ね合わせ率(オーバー
ラップ率)を50〜90%として行えばよい。
When a crystalline semiconductor film is formed by a laser crystallization method, a pulse oscillation type or continuous emission type excimer laser, a YAG laser, or a YVO 4 laser can be used. In the case of using these lasers, it is preferable to use a method in which laser light emitted from a laser oscillator is linearly condensed by an optical system and irradiated on a semiconductor film. The crystallization conditions are appropriately selected by the practitioner. When an excimer laser is used, the pulse oscillation frequency is set to 300 Hz, and the laser energy density is set to 100 to 4.
00 mJ / cm 2 (typically 200 to 300 mJ / cm
2 ). When a YAG laser is used, its second harmonic is used and a pulse oscillation frequency of 30 to 300 kHz is used.
And a laser energy density of 300 to 600 mJ /
cm 2 (typically 350 to 500 mJ / cm 2 ). And a width of 100 to 1000 μm, for example 400 μ
The laser light condensed linearly at m may be irradiated over the entire surface of the substrate, and the superposition rate (overlap rate) of the linear laser light at this time may be set to 50 to 90%.

【0043】次いで、半導体層902〜905を覆うゲ
ート絶縁膜906を形成する。ゲート絶縁膜906はプ
ラズマCVD法またはスパッタ法を用い、厚さを40〜
150nmとして珪素を含む絶縁膜で形成する。本実施
例では、プラズマCVD法により110nmの厚さで酸
化窒化珪素膜(組成比Si=32%、O=59%、N=
7%、H=2%)で形成した。勿論、ゲート絶縁膜は酸
化窒化珪素膜に限定されるものでなく、他の珪素を含む
絶縁膜を単層または積層構造として用いても良い。
Next, a gate insulating film 906 covering the semiconductor layers 902 to 905 is formed. The gate insulating film 906 is formed by a plasma CVD method or a sputtering method and has a thickness of 40 to
The insulating film containing silicon is formed to have a thickness of 150 nm. In this embodiment, a silicon oxynitride film (composition ratio: Si = 32%, O = 59%, N =
7%, H = 2%). Needless to say, the gate insulating film is not limited to the silicon oxynitride film, and another insulating film containing silicon may be used as a single layer or a stacked structure.

【0044】また、酸化珪素膜を用いる場合には、プラ
ズマCVD法でTEOS(Tetraethyl Orthosilicate)
とO2とを混合し、反応圧力40Pa、基板温度300
〜400℃とし、高周波(13.56MHz)電力密度
0.5〜0.8W/cm2で放電させて形成することが
できる。このようにして作製される酸化珪素膜は、その
後400〜500℃の熱アニールによりゲート絶縁膜と
して良好な特性を得ることができる。
When a silicon oxide film is used, TEOS (Tetraethyl Orthosilicate) is used by a plasma CVD method.
And O 2 , a reaction pressure of 40 Pa and a substrate temperature of 300
It can be formed by discharging at a high-frequency (13.56 MHz) power density of 0.5 to 0.8 W / cm 2 . The silicon oxide film thus manufactured can obtain favorable characteristics as a gate insulating film by subsequent thermal annealing at 400 to 500 ° C.

【0045】そして、ゲート絶縁膜906上にゲート電
極を形成するための耐熱性導電層907を200〜40
0nm(好ましくは250〜350nm)の厚さで形成
する。耐熱性導電層907は単層で形成しても良いし、
必要に応じて二層あるいは三層といった複数の層から成
る積層構造としても良い。耐熱性導電層にはTa、T
i、Wから選ばれた元素、または前記元素を成分とする
合金か、前記元素を組み合わせた合金膜が含まれる。こ
れらの耐熱性導電層はスパッタ法やCVD法で形成され
るものであり、低抵抗化を図るために含有する不純物濃
度を低減させることが好ましく、特に酸素濃度に関して
は30ppm以下とすると良い。本実施例ではW膜を3
00nmの厚さで形成する。W膜はWをターゲットとし
てスパッタ法で形成しても良いし、6フッ化タングステ
ン(WF6)を用いて熱CVD法で形成することもでき
る。いずれにしてもゲート電極として使用するためには
低抵抗化を図る必要があり、W膜の抵抗率は20μΩc
m以下にすることが望ましい。W膜は結晶粒を大きくす
ることで低抵抗率化を図ることができるが、W中に酸素
などの不純物元素が多い場合には結晶化が阻害され高抵
抗化する。このことより、スパッタ法による場合、純度
99.9〜99.9999%のWターゲットを用い、さ
らに成膜時に気相中からの不純物の混入がないように十
分配慮してW膜を形成することにより、抵抗率9〜20
μΩcmを実現することができる。
Then, a heat-resistant conductive layer 907 for forming a gate electrode on the gate insulating film 906 is
It is formed with a thickness of 0 nm (preferably 250 to 350 nm). The heat-resistant conductive layer 907 may be formed as a single layer,
If necessary, a laminated structure including a plurality of layers such as two layers or three layers may be employed. Ta, T for the heat-resistant conductive layer
It includes an element selected from i and W, an alloy containing the above element, or an alloy film combining the above elements. These heat-resistant conductive layers are formed by a sputtering method or a CVD method, and it is preferable to reduce the impurity concentration to reduce the resistance, and it is particularly preferable that the oxygen concentration be 30 ppm or less. In this embodiment, the W film is 3
It is formed with a thickness of 00 nm. The W film may be formed by a sputtering method using W as a target, or may be formed by a thermal CVD method using tungsten hexafluoride (WF 6 ). In any case, it is necessary to lower the resistance in order to use it as a gate electrode, and the resistivity of the W film is 20 μΩc.
m or less. The resistivity of the W film can be reduced by enlarging the crystal grains. However, when there are many impurity elements such as oxygen in W, the crystallization is inhibited and the resistance is increased. Therefore, in the case of the sputtering method, a W target having a purity of 99.9 to 99.9999% should be used, and a W film should be formed with sufficient care so as not to mix impurities from the gas phase during film formation. Depending on the resistivity 9-20
μΩcm can be realized.

【0046】一方、耐熱性導電層907にTa膜を用い
る場合には、同様にスパッタ法で形成することが可能で
ある。Ta膜はスパッタガスにArを用いる。また、ス
パッタ時のガス中に適量のXeやKrを加えておくと、
形成する膜の内部応力を緩和して膜の剥離を防止するこ
とができる。α相のTa膜の抵抗率は20μΩcm程度
でありゲート電極に使用することができるが、β相のT
a膜の抵抗率は180μΩcm程度でありゲート電極と
するには不向きであった。TaN膜はα相に近い結晶構
造を持つので、Ta膜の下地にTaN膜を形成すればα
相のTa膜が容易に得られる。また、図示しないが、耐
熱性導電層907の下に2〜20nm程度の厚さでリン
(P)をドープしたシリコン膜を形成しておくことは有
効である。これにより、その上に形成される導電膜の密
着性向上と酸化防止を図ると同時に、耐熱性導電層90
7、908中に微量に存在しているアルカリ金属元素が
第1の形状のゲート絶縁膜906に拡散するのを防ぐこ
とができる。いずれにしても、耐熱性導電層907は抵
抗率を10〜50μΩcmの範囲ですることが好まし
い。
On the other hand, when a Ta film is used for the heat-resistant conductive layer 907, it can be formed by a sputtering method in the same manner. The Ta film uses Ar as a sputtering gas. Also, if an appropriate amount of Xe or Kr is added to the gas during sputtering,
The internal stress of the film to be formed can be relaxed to prevent the film from peeling. The resistivity of the α-phase Ta film is about 20 μΩcm and can be used for the gate electrode.
The resistivity of the a-film was about 180 μΩcm, and was not suitable for use as a gate electrode. Since the TaN film has a crystal structure close to the α phase, if the TaN film is formed under the Ta film,
A phase Ta film is easily obtained. Although not shown, it is effective to form a silicon film doped with phosphorus (P) with a thickness of about 2 to 20 nm under the heat-resistant conductive layer 907. Thereby, the adhesion of the conductive film formed thereon is improved and oxidation is prevented, and at the same time, the heat-resistant conductive layer 90 is formed.
7 and 908 can be prevented from diffusing into the first shape gate insulating film 906 in a small amount. In any case, the heat-resistant conductive layer 907 preferably has a resistivity in the range of 10 to 50 μΩcm.

【0047】本実施例においては、第1層目の導電層
(第1導電膜907)にTaN膜、第2層目の導電層
(第2導電膜908)にW膜を形成した(図3
(A))。
In this embodiment, a TaN film is formed on the first conductive layer (first conductive film 907), and a W film is formed on the second conductive layer (second conductive film 908) (FIG. 3).
(A)).

【0048】次に、フォトリソグラフィーの技術を使用
してレジストによるマスク909を形成する。そして、
第1のエッチング処理を行う。第1のエッチング処理
は、第1のエッチング条件および第2のエッチング条件
で行われる。
Next, a resist mask 909 is formed by using the photolithography technique. And
A first etching process is performed. The first etching process is performed under the first etching condition and the second etching condition.

【0049】本実施例ではICPエッチング装置を用
い、エッチング用ガスにCl2とCF42を用い、それ
ぞれのガス流量比を25/25/10とし、1Paの圧
力で3.2W/cm2のRF(13.56MHz)電力を
投入してプラズマを形成して行う。基板側(試料ステー
ジ)にも224mW/cm2のRF(13.56MHz)
電力を投入し、これにより実質的に負の自己バイアス電
圧が印加される。第1のエッチング条件によりW膜をエ
ッチングする。続いて、レジストからなるマスクを除去
せずに第2のエッチング条件に変えてエッチング用ガス
にCF4およびCl2を用いて、それぞれのガス流量比を
30/30(SCCM)とし、1Paの圧力でRF(13.56
MHz)電力を投入してプラズマを形成して行う。基板
側(試料ステージ)にも20WのRF(13.56MH
z)電力を投入し、実質的に負の自己バイアス電圧を印
加する。
[0049] using an ICP etching apparatus in this embodiment, using Cl 2 and CF 4 O 2 as etching gas, the gas flow rate is set to 25/25/10, 3.2 W / cm 2 at a pressure of 1Pa The RF (13.56 MHz) power is supplied to form plasma. 224 mW / cm 2 RF (13.56 MHz) also on the substrate side (sample stage)
Power is applied, thereby applying a substantially negative self-bias voltage. The W film is etched under the first etching condition. Subsequently, without removing the resist mask, the etching conditions were changed to the second etching conditions, and CF 4 and Cl 2 were used as etching gases, and the respective gas flow ratios were set to 30/30 (SCCM), and the pressure was set to 1 Pa. In RF (13.56
MHz) by applying power to form plasma. 20 W RF (13.56 MH) also on the substrate side (sample stage)
z) Turn on the power and apply a substantially negative self-bias voltage.

【0050】第1のエッチング処理により第1のテーパ
形状を有する導電層910〜913(第1のテーパ形状
を有する第1導電膜910a〜913aおよび第1のテ
ーパ形状を有する第2導電膜910b〜913b)が形
成される。導電層910〜913のテーパー部の角度は
15〜30°となるように形成される。残渣を残すこと
なくエッチングするためには、10〜20%程度の割合
でエッチング時間を増加させるオーバーエッチングを施
すものとする。W膜に対する酸化窒化シリコン膜(ゲー
ト絶縁膜906)の選択比は2〜4(代表的には3)で
あるので、オーバーエッチング処理により、酸化窒化シ
リコン膜が露出した面は20〜50nm程度エッチング
される(図3(B))。
By the first etching process, the conductive layers 910 to 913 having the first tapered shape (the first conductive films 910 a to 913 a having the first tapered shape and the second conductive films 910 b to 910 b having the first tapered shape) are formed. 913b) is formed. The angles of the tapered portions of the conductive layers 910 to 913 are formed to be 15 to 30 degrees. In order to perform etching without leaving a residue, over-etching is performed to increase the etching time at a rate of about 10 to 20%. Since the selectivity of the silicon oxynitride film (gate insulating film 906) to the W film is 2 to 4 (typically 3), the exposed surface of the silicon oxynitride film is etched by about 20 to 50 nm by the over-etching process. (FIG. 3B).

【0051】そして、第1のドーピング処理を行い一導
電型の不純物元素を半導体層に添加する。ここでは、レ
ジストからなるマスク909を除去せずにn型を付与す
る不純物元素添加の工程を行う。半導体層902〜90
5の一部に第1のテーパ形状を有する導電膜910〜9
13をマスクとして自己整合的に不純物を添加し、第1
のn型不純物領域914〜917を形成する。n型を付
与する不純物元素として15族に属する元素、典型的に
はリン(P)または砒素(As)を用いるが、ここでは
リン(P)を用い、イオンドープ法により第1のn型不
純物領域914〜917には1×1020〜1×1021
toms/cm3の濃度範囲でn型を付与する不純物元
素が添加される(図3(B))。
Then, a first doping process is performed to add an impurity element of one conductivity type to the semiconductor layer. Here, a step of adding an impurity element for imparting n-type without removing the resist mask 909 is performed. Semiconductor layers 902 to 90
5, conductive films 910 to 9 having the first tapered shape
13 is used as a mask to add impurities in a self-aligned manner.
N-type impurity regions 914 to 917 are formed. An element belonging to group 15 of the group 15, typically phosphorus (P) or arsenic (As) is used as the impurity element imparting n-type conductivity. Here, phosphorus (P) is used, and the first n-type impurity is doped by ion doping. 1 × 10 20 to 1 × 10 21 a in the regions 914 to 917
An impurity element imparting n-type is added in a concentration range of toms / cm 3 (FIG. 3B).

【0052】次にレジストからなるマスクを除去せずに
第2のエッチング処理を行う。第2のエッチング処理
は、第3のエッチング条件および第4のエッチング条件
で行う。第2のエッチング処理も第1のエッチング処理
と同様にICPエッチング装置により行い、エッチング
ガスにCF4およびCl2を用い、それぞれのガス流量比
を30/30sccmとし、1Paの圧力でRF(1
3.56MHz)電力を投入してプラズマを形成して行
う。基板側(試料ステージ)にも20WのRF(13.
56MHz)電力を投入し、実質的に負の自己バイアス
電圧を印加する。この第3のエッチング条件により、W
膜およびTaN膜とも同程度にエッチングされた導電膜
918〜921(918a〜921aおよび918b〜
921bからなる)が形成される(図3(C))。
Next, a second etching process is performed without removing the resist mask. The second etching is performed under the third etching condition and the fourth etching condition. The second etching process is also performed by an ICP etching apparatus in the same manner as the first etching process, using CF 4 and Cl 2 as an etching gas, setting the respective gas flow ratios to 30/30 sccm, and applying RF (1) at a pressure of 1 Pa.
(3.56 MHz) power is supplied to form plasma. 20W RF (13.
56 MHz), and a substantially negative self-bias voltage is applied. With this third etching condition, W
The conductive films 918 to 921 (918a to 921a and 918b to
921b) (FIG. 3C).

【0053】この後、レジストからなるマスクをそのま
まに第4のエッチング条件に変えて、エッチング用ガス
にCF4とCl2およびO2の混合ガスを用い、1Paの圧
力でRF電力(13.56MHz)電力を投入してプラ
ズマを形成して行う。基板側(試料ステージ)にも20
WのRF(13.56MHz)電力を投入し、実質的に
負の自己バイアス電圧を印加する。この第4のエッチン
グ条件でW膜をエッチングして、第2の形状の導電膜9
22〜925(922a〜925aおよび922b〜9
25bからなる)を形成する(図3(D))。
Thereafter, the mask made of resist is used as it is, and the fourth etching condition is used. A mixed gas of CF 4 , Cl 2 and O 2 is used as an etching gas, and RF power (13.56 MHz) is applied at a pressure of 1 Pa. ) Power is applied to form plasma. 20 on the substrate side (sample stage)
Apply RF (13.56 MHz) power of W and apply a substantially negative self-bias voltage. The W film is etched under the fourth etching condition to form the second shape conductive film 9.
22-925 (922a-925a and 922b-9)
25b) (FIG. 3D).

【0054】次いで、第2のドーピング工程(第2の形
状の第1の導電膜922a〜925aを介して半導体層
にn型不純物元素の添加)を行い、第1のn型不純物領
域914〜917と接するチャネル形成領域側に第2の
n型不純物領域926〜929とを形成する。第2のn
型不純物領域における不純物濃度は、1×1016〜1×
1019atoms/cm3となるようにする。この第2
のドーピング工程においては、1層目の第2の形状の導
電膜922a〜925aのテーパ部を介しても半導体層
にn型不純物元素が添加されるような条件になってお
り、本明細書において、1層目の第2の形状の導電膜9
22a〜925aと重なる第2のn型不純物領域をLov
(ovはoverlappedの意味で付す)領域、1層目の第2の
形状の導電膜922a〜925aとは重ならない第2の
n型不純物領域をLoff(offはoffsetの意味で付す)と
いうこととする(図4(A))。
Next, a second doping step (addition of an n-type impurity element to the semiconductor layer via the first conductive films 922a to 925a of the second shape) is performed, and the first n-type impurity regions 914 to 917 are formed. And second n-type impurity regions 926 to 929 are formed on the side of the channel formation region in contact with. The second n
The impurity concentration in the type impurity region is 1 × 10 16 to 1 ×
It is set to 10 19 atoms / cm 3 . This second
In the doping step, the conditions are such that the n-type impurity element is added to the semiconductor layer even through the tapered portions of the first-layer second-shape conductive films 922a to 925a. First-layer second-shape conductive film 9
The second n-type impurity region overlapping with 22a to 925a is Lov
A region (ov means overlapped) and a second n-type impurity region which does not overlap with the first-layer second shape conductive films 922a to 925a are referred to as Loff (off means offset). (FIG. 4A).

【0055】次いで、図4(B)に示すように、後のp
チャネル型TFTの活性層となる半導体層902、90
5に一導電型とは逆の導電型の不純物領域932(93
2a、932b)及び933(9323a、933b)
を形成する。この場合も第2の形状の導電層922、9
25をマスクとしてp型を付与する不純物元素を添加
し、自己整合的に不純物領域を形成する。このとき、後
のnチャネル型TFTの活性層となる半導体層903、
904は、レジストからなるマスク930、931を形
成し全面を被覆しておく。ここで形成されるp型不純物
領域932、933はジボラン(B26)を用いたイオ
ンドープ法で形成し、p型不純物領域932、933の
p型を付与する不純物元素の濃度は、2×1020〜2×
1021atoms/cm3となるようにする。
Next, as shown in FIG.
Semiconductor layers 902 and 90 to be active layers of channel type TFT
5 has an impurity region 932 (93) having a conductivity type opposite to the one conductivity type.
2a, 932b) and 933 (9323a, 933b)
To form Also in this case, the second shape conductive layers 922 and 9
Using p as a mask, an impurity element imparting p-type is added to form an impurity region in a self-aligned manner. At this time, a semiconductor layer 903 to be an active layer of a later n-channel TFT,
In step 904, masks 930 and 931 made of resist are formed and the entire surface is covered. The p-type impurity regions 932 and 933 formed here are formed by ion doping using diborane (B 2 H 6 ), and the concentration of the p-type impurity element in the p-type impurity regions 932 and 933 is 2 × 10 20 to 2 ×
It is set to 10 21 atoms / cm 3 .

【0056】p型不純物領域932、933には詳細に
はn型を付与する不純物元素が含有されているが、これ
らの不純物領域932、933のp型を付与する不純物
元素の濃度は、n型を付与する不純物元素の濃度の1.
5から3倍となるように添加されることによりp型不純
物領域でpチャネル型TFTのソース領域およびドレイ
ン領域として機能するために何ら問題は生じない。
The p-type impurity regions 932 and 933 contain an n-type impurity element in detail, and the concentration of the p-type impurity element in these impurity regions 932 and 933 is n-type. Of the concentration of the impurity element that imparts
Since the p-type impurity region functions as the source region and the drain region of the p-channel TFT by being added so as to be 5 to 3 times, there is no problem.

【0057】その後、図4(C)に示すように、第2の
形状を有する導電層922〜925およびゲート絶縁膜
906上に第1の層間絶縁膜934を形成する。第1の
層間絶縁膜934は酸化シリコン膜、酸化窒化シリコン
膜、窒化シリコン膜、またはこれらを組み合わせた積層
膜で形成すれば良い。いずれにしても第1の層間絶縁膜
934は無機絶縁材料から形成する。第1の層間絶縁膜
934の膜厚は100〜200nmとする。第1の層間
絶縁膜934として酸化シリコン膜を用いる場合には、
プラズマCVD法でTEOSとO2とを混合し、反応圧
力40Pa、基板温度300〜400℃とし、高周波
(13.56MHz)電力密度0.5〜0.8W/cm
2で放電させて形成することができる。また、第1の層
間絶縁膜934として酸化窒化シリコン膜を用いる場合
には、プラズマCVD法でSiH4、N2O、NH3から
作製される酸化窒化シリコン膜、またはSiH4、N2
から作製される酸化窒化シリコン膜で形成すれば良い。
この場合の作製条件は反応圧力20〜200Pa、基板
温度300〜400℃とし、高周波(60MHz)電力
密度0.1〜1.0W/cm2で形成することができ
る。また、第1の層間絶縁膜934としてSiH4、N2
O、H2から作製される酸化窒化水素化シリコン膜を適
用しても良い。窒化シリコン膜も同様にプラズマCVD
法でSiH4、NH3から作製することが可能である。
Thereafter, as shown in FIG. 4C, a first interlayer insulating film 934 is formed on the conductive layers 922 to 925 having the second shape and the gate insulating film 906. The first interlayer insulating film 934 may be formed using a silicon oxide film, a silicon oxynitride film, a silicon nitride film, or a stacked film obtained by combining these. In any case, the first interlayer insulating film 934 is formed from an inorganic insulating material. The thickness of the first interlayer insulating film 934 is 100 to 200 nm. In the case where a silicon oxide film is used as the first interlayer insulating film 934,
TEOS and O 2 are mixed by the plasma CVD method, the reaction pressure is 40 Pa, the substrate temperature is 300 to 400 ° C., and the high frequency (13.56 MHz) power density is 0.5 to 0.8 W / cm.
2 can be formed by discharging. In the case where a silicon oxynitride film is used as the first interlayer insulating film 934, a silicon oxynitride film manufactured from SiH 4 , N 2 O, NH 3 by a plasma CVD method, or SiH 4 , N 2 O
May be formed using a silicon oxynitride film formed from the above.
The manufacturing conditions in this case are a reaction pressure of 20 to 200 Pa, a substrate temperature of 300 to 400 ° C., and a high frequency (60 MHz) power density of 0.1 to 1.0 W / cm 2 . Further, as the first interlayer insulating film 934, SiH 4 , N 2
A silicon oxynitride hydride film formed from O and H 2 may be used. Similarly for silicon nitride film, plasma CVD
It can be produced from SiH 4 and NH 3 by the method.

【0058】そして、それぞれの濃度で添加されたn型
またはp型を付与する不純物元素を活性化する工程を行
う。この工程はファーネスアニール炉を用いる熱アニー
ル法で行う。その他に、レーザーアニール法、またはラ
ピッドサーマルアニール法(RTA法)を適用すること
ができる。熱アニール法では酸素濃度が1ppm以下、
好ましくは0.1ppm以下の窒素雰囲気中で400〜
700℃、代表的には500〜600℃で行うものであ
り、本実施例では550℃で4時間の熱処理を行った。
また、基板900に耐熱温度が低いプラスチック基板を
用いる場合にはレーザーアニール法を適用することが好
ましい。
Then, a step of activating the impurity elements imparting n-type or p-type added at the respective concentrations is performed. This step is performed by a thermal annealing method using a furnace annealing furnace. In addition, a laser annealing method or a rapid thermal annealing method (RTA method) can be applied. In the thermal annealing method, the oxygen concentration is 1 ppm or less,
Preferably in a nitrogen atmosphere of 0.1 ppm or less 400 ~
The heat treatment is performed at 700 ° C., typically 500 to 600 ° C. In this embodiment, the heat treatment is performed at 550 ° C. for 4 hours.
When a plastic substrate having a low heat-resistant temperature is used as the substrate 900, a laser annealing method is preferably applied.

【0059】この加熱処理工程において、半導体層を結
晶化させる工程で用いた触媒元素(ニッケル)が、ゲッ
タリング作用を有する周期表の15族に属する元素(本
実施例ではリン)が高濃度に添加された第1のn型不純
物領域に移動(ゲッタリング)させ、チャネル形成領域
における触媒元素の濃度を低減することができる。
In this heat treatment step, the catalyst element (nickel) used in the step of crystallizing the semiconductor layer is a highly concentrated element (phosphorus in this embodiment) belonging to Group 15 of the periodic table having a gettering action. By moving (gettering) to the added first n-type impurity region, the concentration of the catalyst element in the channel formation region can be reduced.

【0060】活性化の工程に続いて、雰囲気ガスを変化
させ、3〜100%の水素を含む雰囲気中で、300〜
450℃で1〜12時間の熱処理を行い、半導体層を水
素化する工程を行う。この工程は熱的に励起された水素
により半導体層にある1016〜1018/cm3のダング
リングボンドを終端する工程である。水素化の他の手段
として、プラズマ水素化(プラズマにより励起された水
素を用いる)を行っても良い。いずれにしても、半導体
層902〜905中の欠陥密度を1016/cm 3以下と
することが望ましく、そのために水素を0.01〜0.
1atomic%程度付与すれば良い。
Following the activation step, the atmosphere gas is changed
And in an atmosphere containing 3 to 100% hydrogen,
Heat treatment is performed at 450 ° C. for 1 to 12 hours, and the semiconductor layer is
Performing a quenching step. This process involves thermally excited hydrogen
10 in the semiconductor layer16-1018/ CmThreeThe dang
This is the step of terminating the ring bond. Other means of hydrogenation
As plasma hydrogenation (water excited by plasma
Element). In any case, semiconductor
The defect density in layers 902-905 is 1016/ Cm ThreeAnd
It is desirable to use hydrogen for 0.01 to 0.
What is necessary is just to give about 1 atomic%.

【0061】そして、無機絶縁物材料からなる第2の層
間絶縁膜935を1.0〜2.0μmの平均膜厚で形成
する。無機絶縁材料としては、酸化シリコン膜または酸
化窒化シリコン膜を公知のスパッタ法またはプラズマC
VD法を用いて形成すればよい。さらに窒化酸化シリコ
ン膜を用いる場合は、プラズマCVD装置によって、原
料ガスにSiH4とN2Oを用いて、成膜条件は、圧力
0.3torr、基板温度400℃、RF出力100
W、原料ガス流量はSiH4は4sccm、N2Oは40
0sccmで形成すればよい。
Then, a second interlayer insulating film 935 made of an inorganic insulating material is formed with an average thickness of 1.0 to 2.0 μm. As the inorganic insulating material, a silicon oxide film or a silicon oxynitride film is formed by a known sputtering method or plasma C method.
What is necessary is just to form using a VD method. Further, when a silicon nitride oxide film is used, the film forming conditions are a pressure of 0.3 torr, a substrate temperature of 400 ° C., and an RF output of 100 using SiH 4 and N 2 O as source gases by a plasma CVD apparatus.
W, source gas flow rate is 4 sccm for SiH 4 , and 40 for N 2 O.
It may be formed at 0 sccm.

【0062】次いで、CMP(Chemical Mechanical Po
lish:化学機械研磨)法と呼ばれる技術で層間絶縁膜を
研磨し平坦化する。CMP法は、被加工物の表面を基準
にし、それにならって表面を化学的または機械的に平坦
化する手法である。一般的に定盤(Platen or Polishin
g Plate)の上に研磨布または研磨パッド(本明細書で
は、以下総称してパッド(Pad)と呼ぶ)を貼り付け、
被加工物とパッドとの間にスラリーを供給しながら定盤
と被加工物とを各々回転または揺動させて被研磨物の表
面を化学・機械の複合作用により被加工物の表面を研磨
する方法である。本実施例では、第2の層間絶縁膜93
5を形成した後、第2の層間絶縁膜935をCMP法に
よって研磨する。CMP法に用いるスラリー、パッド及
びCMP装置などは、公知のものを用いることができ、
また研磨の方法も公知の方法を用いて行うことができ
る。なお、CMP法による平坦化処理工程が終了した後
に、第2の層間絶縁膜935の平均膜厚が1.0〜2.
0μm程度になるようにする。また、平坦化処理をした
第2層間絶縁膜935上に、図17に示すように、窒化
珪素膜またはDLC膜からなる絶縁膜935Bを設けて
も良い。このように絶縁膜935Bを形成することによ
り、発光素子を形成する際に用いられるアルカリ金属
が、層間絶縁膜を通してTFT側に侵入するのを防ぐこ
とができると考えられる。
Next, CMP (Chemical Mechanical Po
Polishing and flattening the interlayer insulating film by a technique called lish (chemical mechanical polishing) method. The CMP method is a method of flattening the surface chemically or mechanically based on the surface of the workpiece. Generally, a platen (Platen or Polishin
g Plate) on which a polishing cloth or polishing pad (hereinafter, collectively referred to as a pad (Pad)) is attached,
The platen and the workpiece are rotated or rocked while supplying the slurry between the workpiece and the pad, and the surface of the workpiece is polished by a combined chemical and mechanical action. Is the way. In this embodiment, the second interlayer insulating film 93 is used.
After the formation of No. 5, the second interlayer insulating film 935 is polished by the CMP method. Known slurry, pad, CMP apparatus, etc. used for the CMP method can be used,
In addition, a polishing method can be performed using a known method. After the planarization process by the CMP method is completed, the average thickness of the second interlayer insulating film 935 is 1.0 to 2.0.
It should be about 0 μm. As shown in FIG. 17, an insulating film 935B made of a silicon nitride film or a DLC film may be provided over the planarized second interlayer insulating film 935. By forming the insulating film 935B in this manner, it is considered that an alkali metal used for forming a light-emitting element can be prevented from entering the TFT through the interlayer insulating film.

【0063】その後、所定のパターンのレジストマスク
を形成し、それぞれの半導体層に形成されソース領域ま
たはドレイン領域とする不純物領域に達するコンタクト
ホールを形成する。コンタクトホールはドライエッチン
グ法で形成する。
Thereafter, a resist mask having a predetermined pattern is formed, and contact holes are formed in the respective semiconductor layers and reach the impurity regions serving as the source region or the drain region. The contact hole is formed by a dry etching method.

【0064】そして、導電性の金属膜をスパッタ法や真
空蒸着法で形成し、マスクでパターニングし、その後エ
ッチングすることで、配線936〜942を形成する。
図示していないが、本実施例ではこの配線を膜厚50n
mのTi膜と、膜厚500nmの合金膜(AlとTiと
の合金膜)との積層膜で形成した。
Then, a conductive metal film is formed by a sputtering method or a vacuum evaporation method, patterned by a mask, and then etched to form wirings 936 to 942.
Although not shown, in this embodiment, this wiring is formed to a thickness of 50 n.
An alloy film (alloy film of Al and Ti) having a thickness of 500 nm and an alloy film having a thickness of 500 nm was formed.

【0065】次いで、その上に透明性導電膜を80〜1
20nmの厚さで形成し、エッチングすることによって
陽極943(画素電極)を形成する(図5(A))。な
お、本実施例では、透明電極として酸化インジウム・ス
ズ(ITO)膜や酸化インジウムに2〜20%の酸化亜
鉛(ZnO)を混合した透明導電膜を用いる。
Next, a transparent conductive film was placed on the
An anode 943 (pixel electrode) is formed by forming a film with a thickness of 20 nm and etching (FIG. 5A). In this embodiment, an indium tin oxide (ITO) film or a transparent conductive film obtained by mixing 2 to 20% of zinc oxide (ZnO) with indium oxide is used as a transparent electrode.

【0066】また、陽極943は、ドレイン配線942
と接して重ねて形成することによって電流制御用TFT
のドレイン領域と電気的な接続が形成される(図5
(A))。ここで、陽極943に対して180〜350
℃で加熱処理を行ってもよい。
The anode 943 is connected to the drain wiring 942
Current control TFT by overlapping and forming
An electrical connection is formed with the drain region of FIG.
(A)). Here, 180 to 350 with respect to the anode 943.
The heat treatment may be performed at ° C.

【0067】次に、図5(B)に示すように、陽極94
3上に第3の層間絶縁膜944を形成する。ここで、発
光素子を形成するために処理室(クリーンルーム)を移
動することがある。TFT基板が空気中のゴミに汚染さ
れたり、破壊したりしないように第3層間絶縁膜944
上に、帯電防止作用を有する極薄い膜(以下、帯電防止
膜という)945を形成する。帯電防止膜945は、水
洗で除去可能な材料から形成する(図5(C))。
Next, as shown in FIG.
A third interlayer insulating film 944 is formed on the third insulating film 3. Here, a processing room (clean room) may be moved to form a light-emitting element. The third interlayer insulating film 944 is used to prevent the TFT substrate from being contaminated by dust in the air or broken.
An extremely thin film 945 having an antistatic effect (hereinafter, referred to as an antistatic film) is formed thereon. The antistatic film 945 is formed from a material that can be removed by washing with water (FIG. 5C).

【0068】帯電防止膜には、バンクを形成するための
樹脂絶縁膜、陽極や配線に影響を及ぼさず簡単に除去で
きる材料を用いる。そのような材料としては、帯電防止
に必要な程度の導電性(10-8S/m])を持つ材料が適
している。一般的には有機導体が用いられており、導電
性高分子をスピン塗布法、導電性低分子を蒸着法等によ
り形成する。具体的には、ポリエチレンジオキシチオフ
ェン(略称;PEDOT)、ポリアニリン(略称;PA
ni)、グリセリン脂肪酸エステル、ポリオキシエチレ
ンアルキルエーテル、ポリオキシエチレンアルキルフェ
ニルエーテル、N,N-ビス(2-ヒドロキシエチル)アル
キルアミン[アルキルジエタノールアミン]、N-2-ヒド
ロキシエチル-N-2ヒドロキシアルキルアミン[ヒドロ
キシアルキルモノエタノールアミン]、ポリオキシエチ
レンアルキルアミン、ポリオキシエチレンアルキルアミ
ン脂肪酸エステル、アルキルジエタノールアマイド、ア
ルキルスルホン酸塩、アルキルベンゼンスルホン酸塩、
アルキルホスフェート、テトラアルキルアンモニウム
塩、トリアルキルベンジルアンモニウム塩、アルキルベ
タイン、アルキルイミダゾリウムベタイン等が挙げられ
る。これらの材料は水や有機溶剤で簡単に除去すること
ができる。さらに、絶縁性の材料、例えばポリイミド、
アクリル、ポリアミド、ポリイミドアミド、BCB(ベ
ンゾシクロブテン)などの有機絶縁体も帯電防止膜とし
て用いることができる。これら帯電防止膜は全ての実施
例に応用可能である。
For the antistatic film, a resin insulating film for forming the bank, a material which can be easily removed without affecting the anode and the wiring is used. As such a material, a material having a degree of conductivity (10 −8 S / m) required for antistatic is suitable. Generally, an organic conductor is used, and a conductive polymer is formed by a spin coating method and a conductive low molecule is formed by a vapor deposition method or the like. Specifically, polyethylene dioxythiophene (abbreviation: PEDOT), polyaniline (abbreviation: PA)
ni), glycerin fatty acid ester, polyoxyethylene alkyl ether, polyoxyethylene alkyl phenyl ether, N, N-bis (2-hydroxyethyl) alkylamine [alkyldiethanolamine], N-2-hydroxyethyl-N-2-hydroxyalkyl Amine [hydroxyalkyl monoethanolamine], polyoxyethylene alkylamine, polyoxyethylene alkylamine fatty acid ester, alkyl diethanol amide, alkyl sulfonate, alkyl benzene sulfonate,
Alkyl phosphates, tetraalkylammonium salts, trialkylbenzylammonium salts, alkyl betaines, alkyl imidazolium betaines and the like can be mentioned. These materials can be easily removed with water or an organic solvent. Further, insulating materials, such as polyimide,
Organic insulators such as acryl, polyamide, polyimide amide, and BCB (benzocyclobutene) can also be used as the antistatic film. These antistatic films are applicable to all embodiments.

【0069】TFT基板を発光素子を形成する処理室
(クリーンルーム)に運びこんだら、帯電防止膜945
を水洗により除去して、第3の層間絶縁膜944をエッ
チングして、画素(発光素子)に対応する位置に開口部
を有するバンク946を形成する。本実施例ではレジス
トを用いてバンク946を形成する。本実施例では、バ
ンク946の厚さを1μm程度とし、配線と陽極とが接
する部分を覆う領域がテーパ状になるように形成する
(図6(A))。
When the TFT substrate is carried into a processing room (clean room) for forming a light emitting element, an antistatic film 945 is formed.
Is removed by washing with water, and the third interlayer insulating film 944 is etched to form a bank 946 having an opening at a position corresponding to the pixel (light emitting element). In this embodiment, a bank 946 is formed using a resist. In this embodiment, the thickness of the bank 946 is about 1 μm, and the bank 946 is formed so that a region covering a portion where the wiring and the anode are in contact is tapered (FIG. 6A).

【0070】なお、本実施例においては、バンク946
としてレジストでなる膜を用いているが、場合によって
は、ポリイミド、ポリアミド、アクリル、BCB(ベン
ゾシクロブテン)、酸化珪素膜等を用いることもでき
る。バンク946は絶縁性を有する物質であれば、有機
物と無機物のどちらでも良い。なお、感光性アクリルを
用いてバンク946を形成する場合は、感光性アクリル
膜をエッチングしてから180〜350℃で加熱処理を
行うのが好ましい。また、非感光性アクリル膜を用いて
形成する場合には、180〜350℃で加熱処理を行っ
た後、エッチングしてバンクを形成するのが好ましい。
In this embodiment, the bank 946 is used.
Is used, a polyimide film, a polyamide film, an acrylic film, BCB (benzocyclobutene), a silicon oxide film, or the like may be used in some cases. The bank 946 may be an organic substance or an inorganic substance as long as the substance has an insulating property. Note that when the bank 946 is formed using photosensitive acrylic, it is preferable to perform heat treatment at 180 to 350 ° C. after etching the photosensitive acrylic film. In the case of using a non-photosensitive acrylic film, it is preferable to form a bank by performing heat treatment at 180 to 350 ° C. and then etching.

【0071】次に、陽極表面に拭浄処理を行う。なお、
本実施例においては、ベルクリン(小津産業製)を用い
て陽極943表面を拭うことにより、陽極943表面の
平坦化および表面に付着したゴミの除去を行う。拭浄の
際の洗浄液としては、純水を用い、ベルクリンを巻き付
けている軸の回転数は100〜300rpmとし、押し
込み値は0.1〜1.0mmとする(図6(A))。
Next, a wiping treatment is performed on the anode surface. In addition,
In this embodiment, the surface of the anode 943 is wiped off with the use of Berglin (manufactured by Ozu Sangyo), thereby flattening the surface of the anode 943 and removing dust adhering to the surface. Pure water is used as the cleaning liquid at the time of wiping, the rotation speed of the shaft around which the berclin is wound is 100 to 300 rpm, and the indentation value is 0.1 to 1.0 mm (FIG. 6A).

【0072】次いで、バンク946および陽極943を
覆って絶縁膜947を形成する。絶縁膜947は、ポリ
イミド、ポリアミド、ポリイミドアミドなどの有機樹脂
膜をスピンコート法、蒸着法またはスパッタ法などを用
いて膜厚1〜5nmで形成する。この絶縁膜を形成する
ことで、陽極943表面のクラック等を掩蔽することが
でき、発光素子の劣化を防ぐことができる。
Next, an insulating film 947 is formed to cover the bank 946 and the anode 943. The insulating film 947 is formed using an organic resin film of polyimide, polyamide, polyimide amide, or the like with a thickness of 1 to 5 nm by a spin coating method, an evaporation method, a sputtering method, or the like. By forming this insulating film, cracks and the like on the surface of the anode 943 can be covered, and deterioration of the light-emitting element can be prevented.

【0073】次に、絶縁膜947上に有機化合物層94
8、陰極949を蒸着法により形成する。なお、本実施
例では発光素子の陰極949としてMgAg電極を用い
るが、公知の他の材料であっても良い。なお、有機化合
物層948は、発光層の他に正孔注入層、正孔輸送層、
電子輸送層、電子注入層及びバッファー層といった複数
の層を組み合わせて積層することにより形成されてい
る。本実施例において用いた有機化合物層の構造につい
て以下に詳細に説明する。
Next, the organic compound layer 94 is formed on the insulating film 947.
8. A cathode 949 is formed by a vapor deposition method. Although a MgAg electrode is used as the cathode 949 of the light emitting element in this embodiment, other known materials may be used. Note that the organic compound layer 948 includes a hole injection layer, a hole transport layer,
It is formed by combining and laminating a plurality of layers such as an electron transport layer, an electron injection layer, and a buffer layer. The structure of the organic compound layer used in this example will be described in detail below.

【0074】本実施例では、正孔注入層として、銅フタ
ロシアニンを用い、正孔輸送層としては、α−NPDを
用いてそれぞれ蒸着法により形成する。
In this embodiment, copper phthalocyanine is used as the hole injecting layer, and α-NPD is used as the hole transporting layer, and these are formed by vapor deposition.

【0075】次に、発光層が形成されるが、本実施例で
は発光層に異なる材料を用いることで異なる発光を示す
有機化合物層の形成を行う。なお、本実施例では、赤、
緑、青色の発光を示す有機化合物層を形成する。また、
成膜法としては、いずれも蒸着法を用いているので、成
膜時にメタルマスクを用いることにより画素毎に異なる
材料を用いて発光層を形成することは可能である。
Next, a light emitting layer is formed. In this embodiment, an organic compound layer which emits different light is formed by using different materials for the light emitting layer. In this embodiment, red,
An organic compound layer which emits green and blue light is formed. Also,
Since a vapor deposition method is used for all of the film formation methods, it is possible to form a light emitting layer using a different material for each pixel by using a metal mask during film formation.

【0076】赤色に発色する発光層は、Alq3にDC
Mをドーピングしたものを用いて形成する。その他にも
N,N'-ジサリチリデン-1,6-ヘキサンジアミナト)ジ
ンク(II)(Zn(salhn))にEu錯体である
(1,10-フェナントロリン)トリス(1,3-ジフェニル-
プロパン-1,3-ジオナト)ユーロピウム(III)(Eu
(DBM)3(Phen)をドーピングしたもの等を用
いることができるが、その他公知の材料を用いることも
できる。
The light emitting layer that emits red light is formed by adding DC to Alq 3 .
It is formed using a material doped with M. In addition, Eu complex with N, N'-disalicylidene-1,6-hexanediaminato) zinc (II) (Zn (salhn))
(1,10-phenanthroline) tris (1,3-diphenyl-
Propane-1,3-dionato) Europium (III) (Eu
A material doped with (DBM) 3 (Phen) or the like can be used, but other known materials can also be used.

【0077】また、緑色に発色する発光層は、CBPと
Ir(ppy)3を共蒸着法により形成させることがで
きる。なお、この時には、BCPを用いて正孔阻止層を
積層しておくことが好ましい。また、この他にもアルミ
キノリラト錯体(Alq3)、ベンゾキノリノラトベリ
リウム錯体(BeBq)を用いることができる。さらに
は、キノリラトアルミニウム錯体(Alq3)にクマリ
ン6やキナクリドンといった材料をドーパントとして用
いたものも可能であるが、その他公知の材料を用いるこ
ともできる。
The light emitting layer that emits green light can be formed by co-evaporation of CBP and Ir (ppy) 3 . At this time, it is preferable that the hole blocking layer is laminated using BCP. In addition, aluminum quinolinolato complex (Alq 3 ) and benzoquinolinolato beryllium complex (BeBq) can be used. Further, quinolinato aluminum complex (Alq 3 ) using a material such as coumarin 6 or quinacridone as a dopant is also possible, but other known materials can also be used.

【0078】さらに、青色に発色する発光層は、ジスチ
リル誘導体であるDPVBiや、アゾメチン化合物を配
位子に持つ亜鉛錯体であるN,N'-ジサリチリデン-1,
6-ヘキサンジアミナト)ジンク(II)(Zn(sal
hn))及び4,4'-ビス(2,2-ジフェニル-ビニル)-
ビフェニル(DPVBi)にペリレンをドーピングした
ものを用いることもできるが、その他の公知の材料を用
いても良い。
Further, the light emitting layer that emits blue light is composed of DPVBi, a distyryl derivative, and N, N'-disalicylidene-1, a zinc complex having an azomethine compound as a ligand.
6-hexanediaminato) zinc (II) (Zn (sal
hn)) and 4,4'-bis (2,2-diphenyl-vinyl)-
Although biphenyl (DPVBi) obtained by doping perylene can be used, other known materials may be used.

【0079】次に電子輸送層を形成する。なお、電子輸
送層としては、1,3,4−オキサジアゾール誘導体や
1,2,4−トリアゾール誘導体(TAZ)といった材料
を用いることができるが、本実施例では、1,2,4−ト
リアゾール誘導体(TAZ)を用いて蒸着法により30
〜60nmの膜厚で形成する。
Next, an electron transport layer is formed. Note that a material such as a 1,3,4-oxadiazole derivative or a 1,2,4-triazole derivative (TAZ) can be used for the electron transporting layer; however, in this embodiment, 1,2,4- 30 by vapor deposition using a triazole derivative (TAZ)
It is formed with a thickness of about 60 nm.

【0080】以上により、積層構造からなる有機化合物
層が形成される。なお、本実施例における有機化合物層
948の膜厚は10〜400nm(典型的には60〜1
50nm)、陰極949の厚さは80〜200nm(典
型的には100〜150nm)とすれば良い。
As described above, an organic compound layer having a laminated structure is formed. Note that the thickness of the organic compound layer 948 in this embodiment is 10 to 400 nm (typically 60 to 1 nm).
The thickness of the cathode 949 may be 80 to 200 nm (typically 100 to 150 nm).

【0081】有機化合物層を形成した後で、蒸着法によ
り発光素子の陰極949が形成される。本実施例では発
光素子の陰極となる導電膜としてMgAgを用いている
が、Al−Li合金膜(アルミニウムとリチウムとの合
金膜)や、周期表の1族もしくは2族に属する元素とア
ルミニウムとを共蒸着法により形成された膜を用いるこ
とも可能である。
After forming the organic compound layer, the cathode 949 of the light emitting element is formed by a vapor deposition method. In this embodiment, MgAg is used as the conductive film serving as the cathode of the light-emitting element. However, an Al—Li alloy film (an alloy film of aluminum and lithium) or an element belonging to Group 1 or 2 of the periodic table and aluminum are used. It is also possible to use a film formed by a co-evaporation method.

【0082】こうして図6(B)に示すような構造の発
光装置が完成する。なお、陽極943、有機化合物層9
48、陰極949と積層された部分950を発光素子と
称する。
Thus, a light emitting device having a structure as shown in FIG. 6B is completed. The anode 943 and the organic compound layer 9
The portion 950 laminated with the cathode 48 and the cathode 949 is called a light emitting element.

【0083】pチャネル型TFT1000及びnチャネ
ル型TFT1001は駆動回路1004のTFTであ
り、CMOSを形成している。スイッチング用TFT1
002及び電流制御用TFT1003は画素部のTFT
であり、駆動回路のTFTと画素部1005のTFTと
は同一基板上に形成することができる。
The p-channel TFT 1000 and the n-channel TFT 1001 are the TFTs of the drive circuit 1004 and form a CMOS. Switching TFT1
002 and the current control TFT 1003 are TFTs in the pixel portion.
The TFT of the driver circuit and the TFT of the pixel portion 1005 can be formed over the same substrate.

【0084】なお、発光素子を用いた発光装置の場合、
駆動回路の電源の電圧が5〜6V程度、最大でも10V
程度で十分なので、TFTにおいてホットエレクトロン
による劣化があまり問題にならない。
In the case of a light emitting device using a light emitting element,
The voltage of the power supply of the drive circuit is about 5-6V, and the maximum is 10V
Since the degree is sufficient, deterioration due to hot electrons in the TFT does not cause much problem.

【0085】(実施例2)発光装置を作製する工程につ
いて、実施例1とは異なる他の例を図18〜21を用い
て説明する。
(Embodiment 2) Another example of a process for manufacturing a light emitting device which is different from that of Embodiment 1 will be described with reference to FIGS.

【0086】実施例1に従い、図3(A)に示すように
ゲート絶縁膜906上に2層の導電膜907、908を
形成する工程まで行う。
According to the first embodiment, the steps up to the step of forming two layers of conductive films 907 and 908 on the gate insulating film 906 as shown in FIG.

【0087】続いて、マスク909a〜dを用いて導電
膜907、908をエッチングして、第1のテーパ形状
を有する導電層3901〜3904(3901a〜39
04aおよび3901b〜3904bからなる)を形成
する。エッチングにはICP(Inductively Coupled Pl
asma:誘導結合型プラズマ)エッチング法を用いる。エ
ッチング用ガスに限定はないがW膜や窒化タンタル膜の
エッチングにはCF4とCl2とO2とを用いる。それぞ
れのガス流量を25/25/10sccmとし、1Pa
の圧力でコイル型の電極に500WのRF(13.56
MHz)電力を投入してエッチングを行う。この場合、
基板側(試料ステージ)にも150WのRF(13.5
6MHz)電力を投入し、実質的に負の自己バイアス電
圧を印加する。この第1のエッチング条件により主にW
膜を所定の形状にエッチングする。
Subsequently, the conductive films 907 and 908 are etched using the masks 909a to 909d to form the first tapered conductive layers 3901 to 3904 (3901a to 3901).
04a and 3901b to 3904b). ICP (Inductively Coupled Pl)
(asma: inductively coupled plasma) etching method is used. The etching gas is not limited, but CF 4 , Cl 2, and O 2 are used for etching the W film and the tantalum nitride film. Each gas flow rate is 25/25/10 sccm, and 1 Pa
500W RF (13.56
MHz) power is applied to perform etching. in this case,
The substrate side (sample stage) also has a 150 W RF (13.5
6 MHz) power is applied and a substantially negative self-bias voltage is applied. Due to the first etching condition, mainly W
The film is etched into a predetermined shape.

【0088】この後、エッチング用ガスをCF4とCl2
に変更し、それぞれのガス流量比を30/30sccm
とし、1Paの圧力でコイル型の電極に500WのRF
(13.56MHz)電力を投入してプラズマを生成し
て約30秒程度のエッチングを行う。基板側(試料ステ
ージ)にも20WのRF(13.56MHz)電力を投
入し、実質的に負の自己バイアス電圧を印加する。CF
4とCl2との混合ガスは窒化タンタル膜とW膜とを同程
度の速度でエッチングする。こうして、第1のテーパ形
状を有する導電層3901〜3904を形成する。テー
パは45〜75°で形成する。尚、第2の絶縁膜上に残
渣を残すことなくエッチングするためには10〜20%
程度の割合でエッチング時間を増加させると良い。な
お、ゲート絶縁膜906の第1のテーパ形状の導電層3
901〜3904で覆われない領域表面は20〜50n
m程度エッチングされ薄くなった領域が形成される(図
19(A))。
Thereafter, the etching gases are CF 4 and Cl 2
And the respective gas flow rate ratios are 30/30 sccm
And 500 W of RF to the coil-type electrode at a pressure of 1 Pa
(13.56 MHz) Power is supplied to generate plasma, and etching is performed for about 30 seconds. A 20 W RF (13.56 MHz) power is also applied to the substrate side (sample stage), and a substantially negative self-bias voltage is applied. CF
The mixed gas of 4 and Cl 2 etches the tantalum nitride film and the W film at substantially the same rate. Thus, the conductive layers 3901 to 3904 having the first tapered shape are formed. The taper is formed at 45 to 75 °. In order to perform etching without leaving a residue on the second insulating film, 10 to 20%
It is preferable to increase the etching time at a ratio of about. Note that the first tapered conductive layer 3 of the gate insulating film 906 is used.
The area surface not covered by 901 to 3904 is 20 to 50 n
A region thinned by etching by about m is formed (FIG. 19A).

【0089】続いて、マスク909a〜dを除去せずに
図19(B)に示すように第2のエッチング処理を行
う。エッチング用ガスにCF4とCl2とO2とを用い、
それぞれのガス流量比を20/20/20sccmと
し、1Paの圧力でコイル型の電極に500WのRF
(13.56MHz)電力を投入してプラズマを生成し
てエッチングを行う。基板側(試料ステージ)には20
WのRF(13.56MHz)電力を投入し、第1のエ
ッチング処理に比べ低い自己バイアス電圧を印加する。
このエッチング条件により第2の導電膜として用いたW
膜をエッチングする。こうして第2のテーパ形状の導電
層3905〜3908(3905a〜3908aおよび
3905b〜3908bからなる)が形成される。ゲー
ト絶縁膜906の第2のテーパ形状の導電層3905〜
3908で覆われない領域表面は20〜50nm程度エ
ッチングされ薄くなる。
Subsequently, a second etching process is performed as shown in FIG. 19B without removing the masks 909a to 909d. Using CF 4 , Cl 2 and O 2 as etching gas,
Each gas flow ratio was set to 20/20/20 sccm, and 500 W RF was applied to the coil type electrode at a pressure of 1 Pa.
(13.56 MHz) Power is supplied to generate plasma to perform etching. 20 on the substrate side (sample stage)
RF (13.56 MHz) power of W is applied, and a lower self-bias voltage is applied than in the first etching process.
Under this etching condition, W used as the second conductive film
Etch the film. Thus, the second tapered conductive layers 3905 to 3908 (composed of 3905a to 3908a and 3905b to 3908b) are formed. Second tapered conductive layer 3905 of gate insulating film 906
The surface of the region not covered with 3908 is etched to a thickness of about 20 to 50 nm and becomes thin.

【0090】レジストマスクを除去した後、半導体層に
n型を付与する不純物元素(n型不純物元素)を添加す
る第1のドーピング処理を行う。第1のドーピング処理
は、質量分離をしないでイオンを注入するイオンドープ
法により行う。ドーピングは第2のテーパ形状の電極3
905〜3908をマスクとして用い、水素希釈のフォ
スフィン(PH3)ガスまたは希ガスで希釈したフォス
フィンガスを用い、半導体膜902〜905に第1の濃
度のn型不純物元素を含むn型不純物領域3909〜3
912を形成する。このドーピングにより形成する第1
の濃度のn型不純物元素を含むn型不純物領域3909
〜3912のリン濃度は1×1016〜1×1017/cm
3となるようにする(図19(C))。
After removing the resist mask, a first doping process for adding an impurity element imparting n-type (n-type impurity element) to the semiconductor layer is performed. The first doping process is performed by an ion doping method in which ions are implanted without performing mass separation. Doping is performed on the second tapered electrode 3
Using 905 to 3908 as a mask, a phosphine (PH 3 ) gas diluted with hydrogen or a phosphine gas diluted with a rare gas is used, and an n-type impurity region containing a first concentration of an n-type impurity element is formed in the semiconductor films 902 to 905. 3909-3
912 is formed. The first formed by this doping
-Type impurity region 3909 containing n-type impurity element at a concentration of
The phosphorus concentration of 393912 is 1 × 10 16 -1 × 10 17 / cm
It is set to 3 (FIG. 19C).

【0091】その後、半導体層902、905の全体を
覆う第1のマスク3913、3915と半導体層904
上の第2のテーパ形状の導電層3907と半導体層90
4の一部の領域を覆う第2のマスク3914を形成し、
第2のドーピング処理を行う。第2のドーピング処理で
は、第2のテーパ形状の導電層3906aを通して半導
体層903に第2の濃度のn型不純物元素を含むn型不
純物領域3917、第3の濃度のn型不純物元素を含む
n型不純物領域3916、3918を形成する。このド
ーピングにより形成する第2の濃度のn型不純物元素を
含むn型不純物領域3917のリン濃度は1×1017
1×1019/cm3、第3の濃度のn型不純物元素を含
むn型不純物領域3916、3918のリン濃度は1×
1020〜1×1021/cm3となるようにする(図19
(D))。
After that, the first masks 3913 and 3915 covering the whole of the semiconductor layers 902 and 905 and the semiconductor layer 904
Upper second tapered conductive layer 3907 and semiconductor layer 90
Forming a second mask 3914 covering a part of the region 4;
A second doping process is performed. In the second doping treatment, an n-type impurity region 3917 containing a second concentration of an n-type impurity element and an n-type impurity region 3917 containing a third concentration of an n-type impurity element are formed in the semiconductor layer 903 through the second tapered conductive layer 3906a. Form impurity regions 3916 and 3918 are formed. The phosphorus concentration of the n-type impurity region 3917 including the second concentration of the n-type impurity element formed by this doping is 1 × 10 17 to
The phosphorus concentration of the n-type impurity regions 3916 and 3918 containing the n-type impurity element at 1 × 10 19 / cm 3 and the third concentration is 1 × 10 19 / cm 3 .
It should be 10 20 -1 × 10 21 / cm 3 (FIG. 19
(D)).

【0092】なお、本実施例では、以上のように1回の
ドーピング工程で、第2の濃度のn型不純物元素を含む
n型不純物領域および第3の濃度のn型不純物元素を含
むn型不純物領域を形成しているが、ドーピング工程を
2回にわけて不純物元素を添加してもよい。
In this embodiment, as described above, in one doping step, the n-type impurity region containing the second concentration n-type impurity element and the n-type impurity region containing the third concentration n-type impurity element are formed. Although the impurity region is formed, the impurity element may be added in two doping steps.

【0093】続いて、図20(A)で示すように半導体
層903、904を覆うマスク3919、3920を形
成し第3のドーピング処理を行う。ドーピングは水素希
釈のジボラン(B26)ガスまたは希ガスで希釈したジ
ボランガスを用い、半導体層902、905に第1の濃
度のp型不純物元素を含むp型不純物領域3921、3
923及び第2の濃度のp型不純物元素を含むp型不純
物領域3922、3924を形成する。第1の濃度のp
型不純物元素を含むp型不純物領域3921、3923
は2×1020〜3×1021/cm3の濃度範囲でボロン
が含まれ、第2の濃度のp型不純物元素を含むp型不純
物領域3922、3924は、第2のテーパ形状の導電
層3905a、3908aと重なる領域に形成されるも
のであり、1×1018〜1×1020/cm3の濃度範囲
でボロンを含む。
Subsequently, as shown in FIG. 20A, masks 3919 and 3920 covering the semiconductor layers 903 and 904 are formed, and a third doping process is performed. For doping, diborane (B 2 H 6 ) gas diluted with hydrogen or diborane gas diluted with a rare gas is used, and p-type impurity regions 3921 and 3921 containing a first concentration of a p-type impurity element are added to the semiconductor layers 902 and 905.
923 and p-type impurity regions 3922 and 3924 containing the second concentration of the p-type impurity element are formed. First concentration of p
-Type impurity regions 3921 and 3923 containing a p-type impurity element
Contains boron in the concentration range of 2 × 10 20 to 3 × 10 21 / cm 3 , and the p-type impurity regions 3922 and 3924 containing the second concentration of the p-type impurity element are formed in the second tapered conductive layer. It is formed in a region overlapping with 3905a and 3908a, and contains boron in a concentration range of 1 × 10 18 to 1 × 10 20 / cm 3 .

【0094】次いで、図20(B)に示すように、プラ
ズマCVD法で窒化シリコン膜または窒化酸化シリコン
膜から成る第1の層間絶縁膜3925を50nmの厚さ
に形成し、それぞれの半導体膜に添加された不純物元素
を活性化処理するために、炉を用いて410℃で加熱処
理を行う。この加熱処理で、窒化シリコン膜または窒化
酸化シリコン膜から放出される水素で半導体膜の水素化
も行う。
Next, as shown in FIG. 20B, a first interlayer insulating film 3925 made of a silicon nitride film or a silicon oxynitride film is formed to a thickness of 50 nm by a plasma CVD method. In order to activate the added impurity element, heat treatment is performed at 410 ° C. using a furnace. In this heat treatment, the semiconductor film is also hydrogenated with hydrogen released from the silicon nitride film or the silicon nitride oxide film.

【0095】なお、加熱処理は、炉を用いる方法以外
に、RTAによる加熱処理方法(ガスまたは光を熱源と
して用いるRTA法も含む)でもよい。炉を用いた加熱
処理を行う場合には、ゲート電極を形成する導電膜の酸
化を防ぐために加熱処理前にゲート電極およびゲート絶
縁膜を覆う絶縁膜を形成したり、加熱処理の際の雰囲気
を減圧窒素雰囲気にしたりすればよい。また、YAGレ
ーザーの第2高調波(532nm)の光を半導体膜に照射
してもよい。以上のように、半導体層に添加された不純
物元素の活性化する方法はいくつかあるため、その方法
は実施者が適宜決定すればよい。
The heat treatment may be a heat treatment method using RTA (including an RTA method using gas or light as a heat source) other than the method using a furnace. In the case of performing heat treatment using a furnace, an insulating film which covers the gate electrode and the gate insulating film is formed before the heat treatment in order to prevent oxidation of a conductive film which forms the gate electrode, or an atmosphere during the heat treatment is used. A reduced pressure nitrogen atmosphere may be used. Alternatively, the semiconductor film may be irradiated with light of the second harmonic (532 nm) of a YAG laser. As described above, since there are several methods for activating the impurity element added to the semiconductor layer, the method may be appropriately determined by a practitioner.

【0096】次いで、第1の層間絶縁膜3925上に第
2の層間絶縁膜3926を無機絶縁物材料で形成する。
無機絶縁材料としては、酸化シリコン膜または酸化窒化
シリコン膜を公知のスパッタ法、プラズマCVD法等で
形成すればよい。そして、CMP法により第2の層間絶
縁膜3926を研磨し平坦化する。なお、CMP法によ
る研磨で、平坦化した後、平均膜厚が1.0〜2.0μ
mになるようにする。続いて、平坦化した第2の層間絶
縁膜3926上に第1の絶縁膜3927としてDLC膜
または窒化珪素膜を形成してもよい。このように第1の
絶縁膜3927を形成することにより、発光素子を形成
する際に用いられるアルカリ金属が層間絶縁膜を通過し
てTFT側に侵入し、TFT素子を劣化させることを防
ぐことができると考えられる(図20(C))。
Next, a second interlayer insulating film 3926 is formed on the first interlayer insulating film 3925 using an inorganic insulating material.
As the inorganic insulating material, a silicon oxide film or a silicon oxynitride film may be formed by a known sputtering method, a plasma CVD method, or the like. Then, the second interlayer insulating film 3926 is polished and flattened by the CMP method. In addition, after planarization by polishing by the CMP method, the average film thickness is 1.0 to 2.0 μm.
m. Subsequently, a DLC film or a silicon nitride film may be formed as the first insulating film 3927 over the planarized second interlayer insulating film 3926. By forming the first insulating film 3927 in this manner, it is possible to prevent the alkali metal used for forming the light-emitting element from passing through the interlayer insulating film and entering the TFT side, thereby preventing the TFT element from being deteriorated. It is considered possible (FIG. 20C).

【0097】続いて、バリア絶縁膜3927上に透明性
導電膜を80〜120nmの厚さで形成し、エッチング
することによって陽極3928を形成する(図21
(A))。なお、本実施例では、透明電極として酸化イ
ンジウム・スズ(ITO)膜や酸化インジウムに2〜2
0%の酸化亜鉛(ZnO)を混合した透明導電膜を用い
る。
Subsequently, a transparent conductive film is formed with a thickness of 80 to 120 nm on the barrier insulating film 3927, and the anode 3928 is formed by etching.
(A)). In the present embodiment, an indium tin oxide (ITO) film or indium oxide was
A transparent conductive film mixed with 0% zinc oxide (ZnO) is used.

【0098】その後、所定のパターンのレジストマスク
を形成し、それぞれの半導体層に形成されたソース領域
またはドレイン領域となる不純物領域3916、391
8、3921、3923に達するコンタクトホールを形
成する。コンタクトホールはドライエッチング法で形成
すればよい。
Thereafter, a resist mask having a predetermined pattern is formed, and impurity regions 3916 and 391 serving as source regions or drain regions formed in the respective semiconductor layers are formed.
8, 3921, and a contact hole reaching 3923 are formed. The contact hole may be formed by a dry etching method.

【0099】そして、導電性の金属膜をスパッタ法や真
空蒸着法で形成し、マスクでパターニングし、その後エ
ッチングすることで、配線3929〜3935を形成す
る。図示していないが、本実施例ではこの配線を、そし
て、膜厚50nmのTi膜と、膜厚500nmの合金膜
(AlとTiとの合金膜)との積層膜で形成した。
Then, a conductive metal film is formed by a sputtering method or a vacuum evaporation method, patterned by a mask, and then etched to form wirings 3929 to 3935. Although not shown, in this embodiment, this wiring is formed of a laminated film of a 50 nm-thick Ti film and a 500 nm-thick alloy film (an alloy film of Al and Ti).

【0100】次いで、陽極3928および配線3929
〜3935を覆う第3の層間絶縁膜3936を形成す
る。第3の層間絶縁膜3936としては、レジストでな
る膜、ポリイミド、ポリアミド、アクリル、BCB(ベ
ンゾシクロブテン)。酸化シリコン膜等、絶縁性を有す
る物質であれば有機物、無機物を問わず用いることがで
きる。ここで、発光素子の陰極材料に用いられるAl、
Mgといったアルカリ金属材料からTFTの活性層にア
ルカリ金属が混入してしまう危険性を低減するために、
TFT基板を形成するための処理室(以下、第1のクリ
ーンルームとする)から発光素子を形成するための処理
室(以下、第2のクリーンルームとする)へ基板を移動
させて作製工程を進める場合を想定する。
Next, the anode 3928 and the wiring 3929
The third interlayer insulating film 3936 covering the layers 3935 to 3935 is formed. As the third interlayer insulating film 3936, a film made of a resist, polyimide, polyamide, acrylic, or BCB (benzocyclobutene). Any substance having an insulating property such as a silicon oxide film can be used regardless of an organic substance or an inorganic substance. Here, Al used as a cathode material of the light emitting element,
In order to reduce the risk of alkali metal being mixed into the active layer of the TFT from an alkali metal material such as Mg,
When the substrate is moved from a processing chamber for forming a TFT substrate (hereinafter, referred to as a first clean room) to a processing chamber for forming a light-emitting element (hereinafter, referred to as a second clean room) and a manufacturing process is performed. Is assumed.

【0101】TFT基板が移動中に空気中のゴミに汚染
されたり、静電気によって静電破壊が発生したりしない
ように第3層間絶縁膜3936上に、帯電防止作用を有
する極薄い膜(以下、帯電防止膜という)3937を形
成する。なお、帯電防止膜3937は、水洗など簡単に
除去できる材料から形成すればよい(図21(A))。
または、帯電による破壊を防止できるようなケースに保
管して移動させる。なお、処理室の移動の前に、ここま
での工程で形成されたTFT基板の動作の検査を行って
もよい。以上の工程は、図18のフローチャートで示す
第1の処理室(クリーンルーム)での処理である。
An ultra-thin film having an antistatic effect (hereinafter, referred to as a thin film) is formed on the third interlayer insulating film 3936 so that the TFT substrate is not contaminated by dust in the air while moving or does not cause electrostatic breakdown due to static electricity. 3937 (referred to as an antistatic film) is formed. Note that the antistatic film 3937 may be formed using a material that can be easily removed, such as washing with water (FIG. 21A).
Alternatively, the semiconductor device is stored and moved in a case where damage due to electrification can be prevented. Note that an inspection of the operation of the TFT substrate formed in the steps up to here may be performed before the movement of the processing chamber. The above process is a process in the first processing chamber (clean room) shown in the flowchart of FIG.

【0102】第1の処理室から第2の処理室への移動
は、例えば、同じ敷地内に設けられた建造物内での移動
や、同一法人の点在する工場(処理室、例えばクリーン
ルーム)間での移動、または異なる法人間における工場
(処理室、例えばクリーンルーム)の移動が考えられ
る。いずれも、TFT基板に損傷等が発生しないように
して移動される。
The transfer from the first processing chamber to the second processing chamber may be performed, for example, in a building provided on the same site or in a factory (processing room, for example, a clean room) where the same corporation is interspersed. Movement between plants, or movement of a factory (processing room, for example, a clean room) by different legal persons is conceivable. In any case, the TFT substrate is moved so as not to damage the TFT substrate.

【0103】続いて、図18のフローチャートで示す第
2の処理室(クリーンルーム)での処理を行う。TFT
基板を第2の処理室(クリーンルーム)に運びこんだ
ら、帯電防止膜3937を水洗により除去し、第3の層
間絶縁膜3936をエッチングして、画素(発光素子)
に対応する位置に開口部を有し、配線3934と陽極3
928とが接する部分や陽極3928の端部をテーパ状
に覆うバンク3938を形成する。本実施例では厚さを
1μm程度とし、レジストを用いてバンク3938を形
成する。ここで、再度、第2の処理室に移動されたTF
T基板の動作確認の検査を行ってもよい。
Subsequently, the processing in the second processing room (clean room) shown in the flowchart of FIG. 18 is performed. TFT
When the substrate is carried into the second processing room (clean room), the antistatic film 3937 is removed by washing with water, the third interlayer insulating film 3936 is etched, and the pixel (light emitting element) is removed.
Has an opening at a position corresponding to the wiring 3934 and the anode 3
A bank 3938 is formed so as to cover a portion in contact with 928 and an end of the anode 3928 in a tapered shape. In this embodiment, the thickness is about 1 μm, and the bank 3938 is formed using a resist. Here, the TF moved to the second processing chamber again
An inspection for confirming the operation of the T substrate may be performed.

【0104】続いて、バンク3938からの水分やガス
の発生による発光素子の劣化を抑制するため、バンク3
938の表面を第2の絶縁膜3939を例えば窒化シリ
コン膜等で覆う。この第2の絶縁膜3939は、発光素
子を劣化の要因となる水分やガスから保護するための絶
縁膜であるため、第2のバリア絶縁膜3939ともい
う。
Subsequently, in order to suppress the deterioration of the light emitting element due to the generation of moisture or gas from the bank 3938, the bank 3
The surface of 938 is covered with a second insulating film 3939 with, for example, a silicon nitride film. This second insulating film 3939 is also referred to as a second barrier insulating film 3939 because it is an insulating film for protecting the light-emitting element from moisture or gas which causes deterioration.

【0105】続いて、第2の絶縁膜3939上で、陽極
3928と接するように有機化合物層3940、有機化
合物層3940上に陰極3941を蒸着法により形成す
る。なお、本実施例では発光素子の陰極としてMgAg
電極を用いるが、公知の他の材料であっても良い。な
お、有機化合物層3940は、発光層の他に正孔注入
層、正孔輸送層、電子輸送層、電子注入層及びバッファ
ー層といった複数の層を組み合わせて積層すればよく、
本実施例では実施例1に従って形成すればよい。
Subsequently, an organic compound layer 3940 is formed on the second insulating film 3939 so as to be in contact with the anode 3928, and a cathode 3941 is formed on the organic compound layer 3940 by an evaporation method. In this embodiment, MgAg is used as the cathode of the light emitting element.
Although an electrode is used, another known material may be used. Note that the organic compound layer 3940 may be stacked in combination with a plurality of layers such as a hole-injection layer, a hole-transport layer, an electron-transport layer, an electron-injection layer, and a buffer layer, in addition to the light-emitting layer.
In this embodiment, it may be formed according to the first embodiment.

【0106】こうして図21(B)に示すような構造の
発光装置が完成する。なお、陽極3928、有機化合物
層3940、陰極3941と積層された部分3942を
発光素子と称する。
Thus, a light emitting device having a structure as shown in FIG. 21B is completed. Note that a portion 3942 in which the anode 3928, the organic compound layer 3940, and the cathode 3941 are stacked is referred to as a light-emitting element.

【0107】以上のように、TFT基板を形成する第1
の処理室(例えば、第1のクリーンルーム)と、発光素
子を形成する第2の処理室(例えば、第2のクリーンル
ーム)とが異ならせることにより、発光素子の陰極材料
に用いられるAl、Mgといったアルカリ金属材料から
TFTの活性層を保護することができ、良好な発光装置
を提供することが可能である。
As described above, the first method for forming the TFT substrate is as follows.
The processing chamber (for example, the first clean room) and the second processing chamber (for example, the second clean room) for forming the light emitting element are different from each other, so that Al, Mg, etc. The active layer of the TFT can be protected from an alkali metal material, and a favorable light emitting device can be provided.

【0108】(実施例3)実施例1の工程に従い、バン
ク946を形成した後、バンク946表面をプラズマ処
理することでバンク946の表面改質を行う例について
図7を用いて説明する。
(Embodiment 3) An example in which the surface of the bank 946 is modified by subjecting the surface of the bank 946 to plasma treatment after forming the bank 946 according to the process of the embodiment 1 will be described with reference to FIG.

【0109】バンク946は、有機樹脂絶縁膜を用いて
形成しているが、水分やガスを発生してしまい、実際に
発光装置を使用した際に生じる熱により水分やガスの発
生しやすくなってしまうという問題がある。
Although the bank 946 is formed using an organic resin insulating film, it generates moisture and gas, and is likely to generate moisture and gas by heat generated when the light emitting device is actually used. Problem.

【0110】そこで、加熱処理を行った後、図7に示す
ようにバンク946の表面改質を行うためにプラズマ処
理を行う。水素、窒素、ハロゲン化炭素、弗化水素また
は希ガスから選ばれた一種または複数種の気体中でプラ
ズマ処理を行う。
Then, after performing the heat treatment, a plasma treatment is performed to modify the surface of the bank 946 as shown in FIG. Plasma treatment is performed in one or more kinds of gases selected from hydrogen, nitrogen, halogenated carbon, hydrogen fluoride, and a rare gas.

【0111】これにより、バンク946表面が緻密化し
て水素、窒素、ハロゲン化炭素、弗化水素または希ガス
から選ばれた一種または複数種の気体元素を含む硬化膜
が形成され、内部から水分やガス(酸素)が発生するの
を防ぐことができ、発光素子の劣化を防ぐことができ
る。
As a result, the surface of the bank 946 is densified to form a cured film containing one or more kinds of gas elements selected from hydrogen, nitrogen, carbon halide, hydrogen fluoride, and a rare gas. Generation of gas (oxygen) can be prevented, and deterioration of the light-emitting element can be prevented.

【0112】本実施例は、実施形態、実施例1、2に組
み合わせて用いることができる。
This embodiment can be used in combination with the embodiment and the first and second embodiments.

【0113】(実施例4)本実施例では、CMP法の研
磨を行う際に用いるCMP装置の構造について説明す
る。
(Embodiment 4) In this embodiment, the structure of a CMP apparatus used for polishing by the CMP method will be described.

【0114】本実施例のCMP装置の側面図を図11
(A)に、斜視図を図11(B)に示す。1701は定
盤であり、駆動軸(a)1702によって矢印の方向、
もしくはその逆の方向に回転する。駆動軸(a)170
2はアーム(a)703によって位置が固定されてい
る。
FIG. 11 is a side view of the CMP apparatus of this embodiment.
FIG. 11A is a perspective view of FIG. Reference numeral 1701 denotes a surface plate, which is driven by a drive shaft (a) 1702 in the direction of the arrow.
Or rotate in the opposite direction. Drive shaft (a) 170
The position of 2 is fixed by an arm (a) 703.

【0115】定盤1701上にパッド704が設けられ
ているパッド1704として公知の研磨布または研磨パ
ッドを用いることができる。パッド1704にスラリー
を供給するスラリー供給ノズル1705が設けられてお
り、本実施例ではスラリーはスラリー供給ノズル170
5からパッド1704のほぼ中心のスラリー供給位置1
710に供給されている。スラリーは公知の材料を用い
ることが可能である。
A known polishing cloth or pad can be used as the pad 1704 in which the pad 704 is provided on the surface plate 1701. A slurry supply nozzle 1705 for supplying slurry to the pad 1704 is provided. In this embodiment, the slurry is supplied to the slurry supply nozzle 170.
5 to slurry supply position 1 at the center of pad 1704
710. Known materials can be used for the slurry.

【0116】1706はキャリアであり、アクティブマ
トリクス基板1707を固定し、パッド1704上にお
いて回転させる機能を有する。駆動軸(b)1708に
よってキャリア1706は矢印の方向もしくはその逆の
方向に回転する。駆動軸(b)708はアーム(b)1
709によって位置が固定されている。
Reference numeral 1706 denotes a carrier, which has a function of fixing the active matrix substrate 1707 and rotating it on the pad 1704. The carrier 1706 is rotated by the drive shaft (b) 1708 in the direction of the arrow or in the opposite direction. The drive shaft (b) 708 is the arm (b) 1
The position is fixed by 709.

【0117】なおアクティブマトリクス基板1707は
平坦化膜となる絶縁膜が形成されている面をパッド17
04側に向けるように保持される。
Note that the surface of the active matrix substrate 1707 on which the insulating film serving as a flattening film is formed
It is held so as to face the 04 side.

【0118】なお本実施例では設けていないが、パッド
1704に研磨布を用いる場合、パッド加圧リングを設
けることでアクティブマトリクス基板のエッジの部分の
研磨布の変形を小さく抑えることができる。アクティブ
マトリクス基板1707の研磨圧力の1.2倍〜1.6
倍の圧力をパッド加圧リングに加えたとき、研磨布の表
面プロファイルが変化して均一な研磨布の変形が得られ
る。
Although not provided in the present embodiment, when a polishing cloth is used for the pad 1704, deformation of the polishing cloth at the edge of the active matrix substrate can be suppressed by providing a pad pressure ring. 1.2 times to 1.6 times the polishing pressure of the active matrix substrate 1707
When double the pressure is applied to the pad pressure ring, the surface profile of the polishing cloth changes, resulting in a uniform polishing cloth deformation.

【0119】図12に図11で示したキャリア1706
の詳細な図を示す。キャリア1706は、研磨ハウジン
グ1711とウェハチャック1713とリテーナリング
1712とを有している。ウェハチャック1713はア
クティブマトリクス基板1707を保持しており、リテ
ーナリング1712はアクティブマトリクス基板170
7が研磨中に外れることを防いでいる。研磨ハウジング
1711はウェハチャック1713とリテーナリング1
712とを保持し、研磨圧力を加える機能を有してい
る。
FIG. 12 shows the carrier 1706 shown in FIG.
FIG. The carrier 1706 has a polishing housing 1711, a wafer chuck 1713, and a retainer ring 1712. The wafer chuck 1713 holds the active matrix substrate 1707, and the retainer ring 1712 holds the active matrix substrate 1701.
7 is prevented from coming off during polishing. The polishing housing 1711 includes the wafer chuck 1713 and the retainer ring 1.
712 and a function of applying a polishing pressure.

【0120】キャリア1707には加圧と回転の機能が
必要であるため、中心に回転軸を持ち、この軸に沿って
荷重を加える方式が一般的である。中心軸荷重の場合に
は荷重のアクティブマトリクス基板面内分布が中心軸下
でもっとも高く、周辺に行くにしたがって減少すること
は避けられない。そのために公知の補助負荷機構を研磨
ハウジング内に組み込む構成にし、アクティブマトリク
ス基板を面内において均一に研磨するようにしても良
い。
Since the carrier 1707 needs the functions of pressurization and rotation, it is common practice to have a rotation axis at the center and apply a load along this axis. In the case of the central axis load, the distribution of the load in the plane of the active matrix substrate is highest below the central axis, and it is inevitable that the load decreases toward the periphery. For this purpose, a known auxiliary load mechanism may be incorporated in the polishing housing to uniformly polish the active matrix substrate in the plane.

【0121】本実施例で示したCMP法は、実施形態、
実施例1〜3に組み合わせて用いることができる。
The CMP method shown in this embodiment is the same as that of the embodiment,
It can be used in combination with Examples 1-3.

【0122】(実施例5)図16(a)において、基板
1100は、好ましくはバリウムホウケイ酸ガラスやア
ルミノホウケイ酸ガラス、或いは石英などを用いること
ができる。基板100の表面には、下地絶縁膜1101
として無機絶縁膜を10〜200nmの厚さで形成す
る。好適な下地絶縁膜の一例は、プラズマCVD法で作
製される酸化窒化シリコン膜であり、SiH4、NH3
2Oから作製される第1酸化窒化シリコン膜1101
aを50nmの厚さに形成し、次いで、SiH4とN2
から作製される第2酸化窒化シリコン膜1101bを1
00nmの厚さに形成したものを適用する。下地絶縁膜
1101はガラス基板に含まれるアルカリ金属がこの上
層に形成する半導体膜中に拡散しないために設けるもの
であり、石英を基板とする場合には省略することも可能
である。
Embodiment 5 In FIG. 16A, the substrate 1100 is preferably made of barium borosilicate glass, aluminoborosilicate glass, quartz, or the like. A base insulating film 1101 is provided on the surface of the substrate 100.
To form an inorganic insulating film with a thickness of 10 to 200 nm. An example of a suitable base insulating film is a silicon oxynitride film formed by a plasma CVD method, and is preferably made of SiH 4 , NH 3 ,
First silicon oxynitride film 1101 made of N 2 O
a to a thickness of 50 nm and then SiH 4 and N 2 O
The second silicon oxynitride film 1101b manufactured from
One formed to a thickness of 00 nm is applied. The base insulating film 1101 is provided so that alkali metal contained in the glass substrate does not diffuse into a semiconductor film formed thereover. The base insulating film 1101 can be omitted when quartz is used as the substrate.

【0123】次いで、下地絶縁膜1101上に、窒化珪
素膜1102を形成する。この窒化珪素膜102は、後
の半導体膜の結晶化工程において用いる触媒元素(代表
的にはニッケル)が、下地絶縁膜1101に染みつくの
を防ぐため、さらに下地絶縁膜1101の含まれる酸素
が悪影響を及ぼすのを防ぐのを目的に形成される。な
お、窒化珪素膜1102は、プラズマCVD法で、1〜
5nmの膜厚で形成すればよい。
Next, a silicon nitride film 1102 is formed over the base insulating film 1101. The silicon nitride film 102 further contains oxygen contained in the base insulating film 1101 in order to prevent a catalyst element (typically, nickel) used in a later semiconductor film crystallization step from permeating the base insulating film 1101. It is formed for the purpose of preventing adverse effects. Note that the silicon nitride film 1102 is formed by a plasma CVD method.
It may be formed with a thickness of 5 nm.

【0124】次いで、窒化珪素膜1102上に非晶質半
導体膜1103を形成する。非晶質半導体膜1102
は、シリコンを主成分とする半導体材料を用いる。代表
的には、非晶質シリコン膜又は非晶質シリコンゲルマニ
ウム膜などが適用され、プラズマCVD法や減圧CVD
法、或いはスパッタ法で10〜100nmの厚さに形成
する。良質な結晶を得るためには、非晶質半導体膜11
03に含まれる酸素、窒素などの不純物濃度を5×10
18/cm3以下に低減させておくと良い。これらの不純
物は非晶質半導体の結晶化を妨害する要因となり、また
結晶化後においても捕獲中心や再結合中心の密度を増加
させる要因となる。そのために、高純度の材料ガスを用
いることはもとより、反応室内の鏡面処理(電界研磨処
理)やオイルフリーの真空排気系を備えた超高真空対応
のCVD装置を用いることが望ましい。なお、下地絶縁
膜1101から非晶質半導体膜1103までは、大気解
放せずに連続成膜することができる。
Next, an amorphous semiconductor film 1103 is formed over the silicon nitride film 1102. Amorphous semiconductor film 1102
Uses a semiconductor material containing silicon as a main component. Typically, an amorphous silicon film or an amorphous silicon germanium film is applied, and a plasma CVD method or a low pressure CVD method is used.
It is formed to a thickness of 10 to 100 nm by a method or a sputtering method. In order to obtain high quality crystals, the amorphous semiconductor film 11
03, the concentration of impurities such as oxygen and nitrogen is 5 × 10
It is good to reduce it to 18 / cm 3 or less. These impurities are factors that hinder the crystallization of the amorphous semiconductor and increase the density of trapping centers and recombination centers even after crystallization. For this purpose, it is desirable to use not only a high-purity material gas but also an ultra-high vacuum-compatible CVD apparatus provided with a mirror surface treatment (electric polishing treatment) in the reaction chamber and an oil-free vacuum exhaust system. Note that the layers from the base insulating film 1101 to the amorphous semiconductor film 1103 can be formed continuously without being released to the atmosphere.

【0125】その後、非晶質シリコン膜1103の表面
に、結晶化を促進する触媒作用のある金属元素を添加す
る(図16(b))。半導体膜の結晶化を促進する触媒
作用のある金属元素としては鉄(Fe)、ニッケル(N
i)、コバルト(Co)、ルテニウム(Ru)、ロジウ
ム(Rh)、パラジウム(Pd)、オスミウム(O
s)、イリジウム(Ir)、白金(Pt)、銅(C
u)、金(Au)などであり、これらから選ばれた一種
または複数種を用いることができる。代表的にはニッケ
ルを用い、重量換算で1〜100ppmのニッケルを含
む酢酸ニッケル塩溶液をスピナーで塗布して触媒含有層
1104を形成する。この場合、当該溶液の馴染みをよ
くするために、非晶質シリコン膜1103の表面処理と
して、オゾン含有水溶液で極薄い酸化膜を形成し、その
酸化膜をフッ酸と過酸化水素水の混合液でエッチングし
て清浄な表面を形成した後、再度オゾン含有水溶液で処
理して極薄い酸化膜を形成しておく。シリコンなど半導
体膜の表面は本来疎水性なので、このように酸化膜を形
成しておくことにより酢酸ニッケル塩溶液を均一に塗布
することができる。
Thereafter, a metal element having a catalytic action for promoting crystallization is added to the surface of the amorphous silicon film 1103 (FIG. 16B). Examples of metal elements having a catalytic action for promoting crystallization of a semiconductor film include iron (Fe) and nickel (N
i), cobalt (Co), ruthenium (Ru), rhodium (Rh), palladium (Pd), osmium (O
s), iridium (Ir), platinum (Pt), copper (C
u), gold (Au), or the like, and one or more selected from them can be used. Typically, nickel is used, and a nickel acetate solution containing 1 to 100 ppm by weight of nickel is applied with a spinner to form the catalyst-containing layer 1104. In this case, as a surface treatment of the amorphous silicon film 1103, an extremely thin oxide film is formed with an aqueous solution containing ozone, and the oxide film is mixed with hydrofluoric acid and a hydrogen peroxide solution in order to improve the familiarity of the solution. To form a clean surface, and then treat again with an ozone-containing aqueous solution to form an extremely thin oxide film. Since the surface of a semiconductor film such as silicon is hydrophobic in nature, a nickel acetate solution can be uniformly applied by forming an oxide film in this manner.

【0126】勿論、触媒含有層1104はこのような方
法に限定されず、スパッタ法、蒸着法、プラズマ処理な
どにより形成しても良い。
Of course, the catalyst containing layer 1104 is not limited to such a method, and may be formed by a sputtering method, an evaporation method, a plasma treatment, or the like.

【0127】非晶質シリコン膜1103と触媒元素含有
層1104とを接触した状態を保持したまま結晶化のた
めの加熱処理を行う。加熱処理の方法としては、電熱炉
を用いるファーネスアニール法や、ハロゲンランプ、メ
タルハライドランプ、キセノンアークランプ、カーボン
アークランプ、高圧ナトリウムランプ、高圧水銀ランプ
などを用いた瞬間熱アニール(Rapid Thermal Annealin
g)法(以下、RTA法と記す)を採用する。
[0127] A heat treatment for crystallization is performed while maintaining the state in which the amorphous silicon film 1103 and the catalyst element-containing layer 1104 are in contact with each other. Examples of the heat treatment include furnace annealing using an electric heating furnace and rapid thermal annealing (Halogen lamp, metal halide lamp, xenon arc lamp, carbon arc lamp, high pressure sodium lamp, high pressure mercury lamp, etc.).
g) The method (hereinafter referred to as RTA method) is adopted.

【0128】RTA法で行う場合には、加熱用のランプ
光源を1〜60秒、好ましくは30〜60秒点灯させ、
それを1〜10回、好ましくは2〜6回繰り返す。ラン
プ光源の発光強度は任意なものとするが、半導体膜が瞬
間的には600〜1000℃、好ましくは650〜75
0℃程度にまで加熱されるようにする。このような高温
になったとしても、半導体膜が瞬間的に加熱されるのみ
であり、基板1100はそれ自身が歪んで変形すること
はない。こうして、非晶質半導体膜を結晶化させ、図1
6(c)に示す結晶質シリコン膜1105を得ることが
できるが、このような処理で結晶化できるのは触媒元素
含有層を設けることによりはじめて達成できるものであ
る。
When the RTA method is used, the lamp light source for heating is turned on for 1 to 60 seconds, preferably 30 to 60 seconds.
It is repeated 1 to 10 times, preferably 2 to 6 times. Although the light emission intensity of the lamp light source is arbitrary, the semiconductor film is instantaneously heated to 600 to 1000 ° C., preferably 650 to 75 ° C.
Heat to about 0 ° C. Even at such a high temperature, the semiconductor film is only instantaneously heated, and the substrate 1100 itself is not distorted and deformed. Thus, the amorphous semiconductor film is crystallized, and FIG.
Although a crystalline silicon film 1105 shown in FIG. 6C can be obtained, crystallization by such a process can be achieved only by providing a catalyst element-containing layer.

【0129】その他の方法としてファーネスアニール法
を用いる場合には、加熱処理に先立ち、500℃にて1
時間程度の加熱処理を行い、非晶質シリコン膜1103
が含有する水素を放出させておく。そして、電熱炉を用
いて窒素雰囲気中にて550〜600℃、好ましくは5
80℃で4時間の加熱処理を行い非晶質シリコン膜11
03を結晶化させる。こうして、図16(c)に示す結
晶質シリコン膜1105を形成する。
When the furnace annealing method is used as another method, one hour at 500 ° C. prior to the heat treatment.
A heat treatment for about an hour is performed to form an amorphous silicon film 1103.
The hydrogen contained in is released. Then, using an electric furnace in a nitrogen atmosphere at 550 to 600 ° C., preferably 5 to 600 ° C.
Heat treatment at 80 ° C. for 4 hours to perform amorphous silicon film 11
03 is crystallized. Thus, a crystalline silicon film 1105 shown in FIG. 16C is formed.

【0130】さらに結晶化率(膜の全体積における結晶
成分の割合)を高め、結晶粒内に残される欠陥を補修す
るためには、結晶質シリコン膜1105に対してレーザ
光を照射することも有効である。
In order to further increase the crystallization ratio (the ratio of the crystal component in the total volume of the film) and repair defects remaining in the crystal grains, the crystalline silicon film 1105 may be irradiated with laser light. It is valid.

【0131】このようにして得られる結晶質シリコン膜
1105には、触媒元素(ここではニッケル)が平均的
な濃度とすれば、1×1019/cm3を越える濃度で残
存している。触媒元素が残留していると、TFTの特性
に悪影響を及ぼす可能性があるため、半導体層の触媒元
素濃度を低減させる必要がある。そこで、結晶化工程に
続いて、半導体層の触媒元素濃度を低減させる方法につ
いて説明する。
In the crystalline silicon film 1105 thus obtained, the catalytic element (nickel in this case) remains at an average concentration exceeding 1 × 10 19 / cm 3 . If the catalyst element remains, the characteristics of the TFT may be adversely affected, so that the concentration of the catalyst element in the semiconductor layer needs to be reduced. Therefore, a method for reducing the concentration of the catalytic element in the semiconductor layer following the crystallization step will be described.

【0132】まず、図16(d)に示すように結晶質シ
リコン膜1105の表面に薄い層1106を形成する。
本明細書において、結晶質シリコン膜1105上に設け
た薄い層1106は、後にゲッタリングサイトを除去す
る際に、結晶質シリコン膜1105がエッチングされな
いように設けた層で、バリア層1106ということにす
る。
First, a thin layer 1106 is formed on the surface of the crystalline silicon film 1105 as shown in FIG.
In this specification, a thin layer 1106 provided over a crystalline silicon film 1105 is a layer provided so that the crystalline silicon film 1105 is not etched when a gettering site is removed later. I do.

【0133】バリア層1106の厚さは1〜10nm程度
とし、簡便にはオゾン水で処理することにより形成され
るケミカルオキサイドをバリア層としても良い。また、
硫酸、塩酸、硝酸などと過酸化水素水を混合させた水溶
液で処理しても同様にケミカルオキサイドを形成するこ
とができる。他の方法としては、酸化雰囲気中でのプラ
ズマ処理や、酸素含有雰囲気中での紫外線照射によりオ
ゾンを発生させて酸化処理を行っても良い。また、クリ
ーンオーブンを用い、200〜350℃程度に加熱して
薄い酸化膜を形成しバリア層としても良い。或いは、プ
ラズマCVD法やスパッタ法、蒸着法などで1〜5nm
程度の酸化膜を堆積してバリア層としても良い。いずれ
にしても、ゲッタリング工程時に、触媒元素がゲッタリ
ングサイト側に移動できて、ゲッタリングサイトの除去
工程時には、エッチング液がしみこまない(結晶性シリ
コン膜1105をエッチング液から保護する)膜、例え
ば、オゾン水で処理することにより形成されるケミカル
オキサイド膜、酸化シリコン膜(SiOx)、または多
孔質膜を用いればよい。
The thickness of the barrier layer 1106 is about 1 to 10 nm, and a chemical oxide formed by simply treating with ozone water may be used as the barrier layer. Also,
Chemical oxide can be similarly formed by treating with an aqueous solution in which a hydrogen peroxide solution is mixed with sulfuric acid, hydrochloric acid, nitric acid or the like. As another method, the plasma treatment in an oxidizing atmosphere or the oxidation treatment by generating ozone by ultraviolet irradiation in an oxygen-containing atmosphere may be performed. Further, a barrier layer may be formed by heating to about 200 to 350 ° C. using a clean oven to form a thin oxide film. Alternatively, 1 to 5 nm by a plasma CVD method, a sputtering method, an evaporation method, or the like.
An oxide film of a degree may be deposited to form a barrier layer. In any case, in the gettering step, the catalyst element can move to the gettering site side, and in the gettering site removing step, the etchant does not soak (protects the crystalline silicon film 1105 from the etchant). For example, a chemical oxide film, a silicon oxide film (SiOx), or a porous film formed by treatment with ozone water may be used.

【0134】次いで、バリア層1106上にスパッタ法
でゲッタリングサイト1107として、膜中に希ガス元
素を1×1020/cm3以上の濃度で含む第2の半導体
膜(代表的には、非晶質シリコン膜)を25〜250n
mの厚さで形成する。後に除去されるゲッタリングサイ
ト1107は結晶質シリコン膜1105とエッチングの
選択比を大きくするため、密度の低い膜を形成すること
が好ましい。
Next, a second semiconductor film containing a rare gas element at a concentration of 1 × 10 20 / cm 3 or more as a gettering site 1107 by sputtering on the barrier layer 1106 (typically, a non- 25-250n
m. The gettering site 1107 to be removed later is preferably formed with a low density in order to increase the etching selectivity with respect to the crystalline silicon film 1105.

【0135】なお、希ガス元素は半導体膜中でそれ自体
は不活性であるため、結晶質シリコン膜1105に悪影
響を及ぼすことはない。また、希ガス元素としてはヘリ
ウム(He)、ネオン(Ne)、アルゴン(Ar)、ク
リプトン(Kr)、キセノン(Xe)から選ばれた一種
または複数種を用いる。本発明はゲッタリングサイトを
形成するためにこれら希ガス元素をイオンソースとして
用いること、またこれら元素が含まれた半導体膜を形成
し、この膜をゲッタリングサイトとすることに特徴を有
する。
Note that the rare gas element itself is inactive in the semiconductor film, and therefore does not adversely affect the crystalline silicon film 1105. As the rare gas element, one or a plurality of helium (He), neon (Ne), argon (Ar), krypton (Kr), and xenon (Xe) are used. The present invention is characterized in that these rare gas elements are used as an ion source to form a gettering site, and that a semiconductor film containing these elements is formed and this film is used as a gettering site.

【0136】ゲッタリングを確実に成し遂げるにはその
後加熱処理をすることが必要となる。加熱処理はファー
ネスアニール法やRTA法で行う。ファーネスアニール
法で行う場合には、窒素雰囲気中にて450〜600℃
で0.5〜12時間の加熱処理を行う。また、RTA法
を用いる場合には、加熱用のランプ光源を1〜60秒、
好ましくは30〜60秒点灯させ、それを1〜10回、
好ましくは2〜6回繰り返す。ランプ光源の発光強度は
任意なものとするが、半導体膜が瞬間的には600〜1
000℃、好ましくは700〜750℃程度にまで加熱
されるようにする。
In order to surely achieve gettering, it is necessary to perform a heat treatment thereafter. The heat treatment is performed by a furnace annealing method or an RTA method. When the furnace annealing method is used, 450 to 600 ° C. in a nitrogen atmosphere
For 0.5 to 12 hours. When the RTA method is used, a heating lamp light source is used for 1 to 60 seconds.
It is preferably turned on for 30 to 60 seconds, and it is turned on 1 to 10 times,
Preferably, it is repeated 2 to 6 times. The emission intensity of the lamp light source is arbitrary, but the semiconductor film is
The temperature is set to about 000 ° C, preferably about 700 to 750 ° C.

【0137】ゲッタリングは、被ゲッタリング領域(捕
獲サイト)にある触媒元素が熱エネルギーにより放出さ
れ、拡散によりゲッタリングサイトに移動する。従っ
て、ゲッタリングは処理温度に依存し、より高温である
ほど短時間でゲッタリングが進むことになる。本ゲッタ
リング工程において、触媒元素がゲッタリングの際に移
動する距離は、半導体膜の厚さ程度の距離であり、比較
的短時間でゲッタリングを完遂することができる(図1
6(e))。
In the gettering, the catalytic element in the region to be gettered (capture site) is released by thermal energy and moves to the gettering site by diffusion. Therefore, gettering depends on the processing temperature, and the higher the temperature, the faster the gettering proceeds. In this gettering step, the distance that the catalyst element moves during gettering is about the thickness of the semiconductor film, and gettering can be completed in a relatively short time (FIG. 1).
6 (e)).

【0138】なお、この加熱処理によっても1×1019
〜1×1021/cm3、好ましくは1×1020〜1×1
21/cm3、より好ましくは5×1020/cm3の濃度
で希ガス元素を含む半導体膜1107は結晶化すること
はない。これは、希ガス元素が上記処理温度の範囲にお
いても再放出されず膜中に残存して、半導体膜の結晶化
を阻害するためであると考えられる。
It should be noted that 1 × 10 19
11 × 10 21 / cm 3 , preferably 1 × 10 20 -1 × 1
The semiconductor film 1107 containing a rare gas element at a concentration of 0 21 / cm 3 , more preferably 5 × 10 20 / cm 3 does not crystallize. This is considered to be because the rare gas element is not re-emitted even in the above-mentioned processing temperature range and remains in the film to inhibit crystallization of the semiconductor film.

【0139】ゲッタリング工程終了後、ゲッタリングサ
イト1107を選択的にエッチングして除去する。エッ
チングの方法としては、ClF3によるプラズマを用い
ないドライエッチング、或いはヒドラジンや、テトラエ
チルアンモニウムハイドロオキサイド(化学式 (C
34NOH)を含む水溶液などアルカリ溶液によるウ
エットエッチングで行うことができる。この時バリア層
1106はエッチングストッパーとして機能する。ま
た、バリア層1106はその後フッ酸により除去すれば
良い。
After the end of the gettering step, the gettering sites 1107 are selectively removed by etching. As an etching method, dry etching without plasma using ClF 3 , hydrazine, tetraethylammonium hydroxide (chemical formula (C
It can be performed by wet etching using an alkaline solution such as an aqueous solution containing H 3 ) 4 NOH). At this time, the barrier layer 1106 functions as an etching stopper. The barrier layer 1106 may be removed with hydrofluoric acid thereafter.

【0140】こうして図16(f)に示すように触媒元
素の濃度が1×1017/cm3以下にまで低減された結
晶質シリコン膜1108を得ることができる。こうして
形成された結晶質シリコン膜1108は、触媒元素の作
用により細い棒状又は細い扁平棒状結晶として形成さ
れ、その各々の結晶は巨視的に見ればある特定の方向性
をもって成長している。
Thus, as shown in FIG. 16F, a crystalline silicon film 1108 in which the concentration of the catalytic element has been reduced to 1 × 10 17 / cm 3 or less can be obtained. The crystalline silicon film 1108 thus formed is formed as a thin rod-shaped crystal or a thin flat rod-shaped crystal by the action of a catalyst element, and each crystal grows in a specific direction when viewed macroscopically.

【0141】本実施例は、実施形態、実施例1〜4に組
み合わせて用いることができる。
This embodiment can be used in combination with the embodiment and Embodiments 1 to 4.

【0142】(実施例6)本実施例では、実施例1〜実
施例5に示した作製工程により図6(B)まで作製した
発光パネルを発光装置として完成させる方法について図
8を用いて詳細に説明する。
Embodiment 6 In this embodiment, a method for completing a light-emitting panel manufactured up to FIG. 6B by the manufacturing steps shown in Embodiments 1 to 5 as a light-emitting device will be described in detail with reference to FIG. Will be described.

【0143】図8(A)は、素子基板を封止した発光パ
ネルの上面図、図8(B)は図8(A)をA−A’で切
断した断面図である。点線で示された801はソース側
駆動回路、802は画素部、803はゲート側駆動回路
である。また、804は封止基板、805はシール剤で
あり、シール剤805で囲まれた内側は、空間807に
なっている。
FIG. 8A is a top view of a light emitting panel in which an element substrate is sealed, and FIG. 8B is a cross-sectional view of FIG. 8A taken along the line AA ′. Reference numeral 801 indicated by a dotted line denotes a source side driver circuit, 802 denotes a pixel portion, and 803 denotes a gate side driver circuit. Reference numeral 804 denotes a sealing substrate, 805 denotes a sealant, and an inside surrounded by the sealant 805 is a space 807.

【0144】なお、ソース側駆動回路801及びゲート
側駆動回路803に入力される信号を伝送するための配
線(図示せず)により、外部入力端子となるFPC(フ
レキシブルプリントサーキット)809からビデオ信号
やクロック信号を受け取る。なお、ここでは発光パネル
にFPCが接続された状態を示しているが、FPCを介
してIC(集積回路)が直接実装されたモジュールを本
明細書中では、発光装置とよぶ。
A wiring (not shown) for transmitting signals input to the source-side drive circuit 801 and the gate-side drive circuit 803 allows a video signal or the like from an FPC (flexible print circuit) 809 serving as an external input terminal. Receive a clock signal. Note that although a state where the FPC is connected to the light-emitting panel is shown here, a module in which an IC (integrated circuit) is directly mounted via the FPC is referred to as a light-emitting device in this specification.

【0145】次に、断面構造について図8(B)を用い
て説明する。基板810の上方には画素部802、ゲー
ト側駆動回路803が形成されており、画素部802は
電流制御用TFT811とそのドレインに電気的に接続
された陽極812を含む複数の画素により形成される。
また、ゲート側駆動回路803はnチャネル型TFT8
13とpチャネル型TFT814とを組み合わせたCM
OS回路を用いて形成される。
Next, the sectional structure will be described with reference to FIG. A pixel portion 802 and a gate side driver circuit 803 are formed above a substrate 810. The pixel portion 802 is formed by a plurality of pixels including a current control TFT 811 and an anode 812 electrically connected to a drain thereof. .
The gate side driving circuit 803 is an n-channel type TFT 8
13 and p-channel TFT 814 combined CM
It is formed using an OS circuit.

【0146】また、陽極812の両端にバンク815が
形成された後、陽極812上に絶縁膜821、有機化合
物層816および陰極817が形成され、発光素子81
8が形成される。
After the banks 815 are formed at both ends of the anode 812, an insulating film 821, an organic compound layer 816, and a cathode 817 are formed on the anode 812.
8 are formed.

【0147】なお、陰極817は全画素に共通の配線と
して機能し、接続配線808を経由してFPC809に
電気的に接続されている。
Note that the cathode 817 functions as a wiring common to all pixels, and is electrically connected to the FPC 809 via the connection wiring 808.

【0148】なお、シール剤805によりガラスからな
る封止基板804が貼り合わされている。なお、シール
剤805としては紫外線硬化樹脂や熱硬化性樹脂を用い
るのが好ましい。また、必要に応じて封止基板804と
発光素子818との間隔を確保するために樹脂膜からな
るスペーサを設けても良い。シール剤805の内側の空
間807には窒素や希ガス等の不活性ガスが充填されて
いる。また、シール剤805はできるだけ水分や酸素を
透過しない材料であることが望ましい。
[0148] Note that a sealing substrate 804 made of glass is pasted together with a sealant 805. Note that an ultraviolet curable resin or a thermosetting resin is preferably used as the sealant 805. Further, if necessary, a spacer made of a resin film may be provided in order to secure an interval between the sealing substrate 804 and the light emitting element 818. The space 807 inside the sealant 805 is filled with an inert gas such as nitrogen or a rare gas. Further, it is desirable that the sealant 805 is a material that does not transmit moisture and oxygen as much as possible.

【0149】以上のような構造で発光素子を空間807
に封入することにより、発光素子を外部から完全に遮断
することができ、外部から侵入する水分や酸素による発
光素子の劣化を防ぐことができる。従って、信頼性の高
い発光装置を得ることができる。
With the structure described above, the light emitting element is placed in the space 807.
The light emitting element can be completely shut off from the outside by enclosing the light emitting element, and deterioration of the light emitting element due to moisture or oxygen entering from the outside can be prevented. Therefore, a highly reliable light-emitting device can be obtained.

【0150】なお、本実施例における構成は、実施例1
〜5の構成を組み合わせて実施することが可能である。
The structure of this embodiment is the same as that of the first embodiment.
It is possible to carry out by combining the configurations of (1) to (5).

【0151】(実施例7)ここで、本発明を用いて形成
される発光装置の画素部のさらに詳細な上面構造を図9
(A)に、回路図を図9(B)に示す。図9において、
基板上に設けられたスイッチング用TFT702は図6
のスイッチング用(nチャネル型)TFT1002を用
いて形成される。従って、構造の説明はスイッチング用
(nチャネル型)TFT1002の説明を参照すれば良
い。また、703で示される配線は、スイッチング用T
FT702のゲート電極704a、704bを電気的に接
続するゲート配線である。
(Embodiment 7) Here, a more detailed top structure of a pixel portion of a light emitting device formed by using the present invention is shown in FIG.
FIG. 9A shows a circuit diagram. In FIG.
The switching TFT 702 provided on the substrate is shown in FIG.
Of the switching (n-channel type) TFT 1002. Therefore, for the description of the structure, the description of the switching (n-channel) TFT 1002 may be referred to. The wiring denoted by 703 is a switching T
This is a gate wiring for electrically connecting the gate electrodes 704a and 704b of the FT 702.

【0152】なお、本実施例ではチャネル形成領域が二
つ形成されるダブルゲート構造としているが、チャネル
形成領域が一つ形成されるシングルゲート構造もしくは
三つ形成されるトリプルゲート構造であっても良い。
Although the present embodiment has a double gate structure in which two channel formation regions are formed, a single gate structure in which one channel formation region is formed or a triple gate structure in which three channel formation regions are formed. good.

【0153】また、スイッチング用TFT702のソー
スはソース配線715に接続され、ドレインはドレイン
配線705に接続される。また、ドレイン配線705は
電流制御用TFT706のゲート電極707に電気的に
接続される。なお、電流制御用TFT706は図6の電
流制御用(pチャネル型)TFT1003を用いて形成
される。従って、構造の説明は電流制御用(pチャネル
型)TFT1003の説明を参照すれば良い。なお、本
実施例ではシングルゲート構造としているが、ダブルゲ
ート構造もしくはトリプルゲート構造であっても良い。
The source of the switching TFT 702 is connected to the source wiring 715, and the drain is connected to the drain wiring 705. Further, the drain wiring 705 is electrically connected to the gate electrode 707 of the current controlling TFT 706. Note that the current control TFT 706 is formed using the current control (p-channel type) TFT 1003 in FIG. Therefore, for the description of the structure, the description of the current control (p-channel type) TFT 1003 may be referred to. In this embodiment, a single gate structure is used, but a double gate structure or a triple gate structure may be used.

【0154】また、電流制御用TFT706のソースは
電流供給線716に電気的に接続され、ドレインはドレ
イン配線717に電気的に接続される。また、ドレイン
配線717は点線で示される陽極(画素電極)718に
電気的に接続される。なお、714は発光素子である。
The source of the current controlling TFT 706 is electrically connected to the current supply line 716, and the drain is electrically connected to the drain wiring 717. The drain wiring 717 is electrically connected to an anode (pixel electrode) 718 indicated by a dotted line. Note that 714 is a light emitting element.

【0155】このとき、719で示される領域には保持
容量(コンデンサ)が形成される。コンデンサ719
は、電流供給線716と電気的に接続された半導体膜7
20、ゲート絶縁膜と同一層の絶縁膜(図示せず)及び
ゲート電極707との間で形成される。また、ゲート電
極707、第1層間絶縁膜と同一の層(図示せず)及び
電流供給線716で形成される容量も保持容量として用
いることが可能である。
At this time, a storage capacitor (capacitor) is formed in a region indicated by 719. Capacitor 719
Represents the semiconductor film 7 electrically connected to the current supply line 716
20, formed between an insulating film (not shown) in the same layer as the gate insulating film and the gate electrode 707; Further, a capacitor formed by the gate electrode 707, the same layer (not shown) as the first interlayer insulating film, and the current supply line 716 can be used as a storage capacitor.

【0156】なお、本実施例の構成は、実施例1〜6の
構成を組み合わせて実施することが可能である。
The structure of this embodiment can be implemented by combining the structures of Embodiments 1 to 6.

【0157】(実施例8)本実施例では、第2の層間絶
縁膜(935、3926)上に形成する絶縁膜935B
にDLC膜以外の絶縁膜を適用する例について説明す
る。
(Embodiment 8) In this embodiment, the insulating film 935B formed on the second interlayer insulating film (935, 3926)
An example in which an insulating film other than the DLC film is applied to the first embodiment will be described.

【0158】実施例1または実施例2に従って、第2の
層間絶縁膜(935、3926)まで形成する。続い
て、第2の層間絶縁膜(935、3926)上に絶縁膜
936として、シリコンをターゲットとして用い、スパ
ッタリング法により窒化シリコン膜935Bを形成する
(図17)。成膜条件は適宜選択すれば良いが、特に好
ましくはスパッタガスには窒素(N2)又は窒素とアル
ゴンの混合ガスを用い、高周波電力を印加してスパッタ
リングを行う。基板温度は室温の状態とし、加熱手段を
用いなくても良い。ただし、層間絶縁膜に有機絶縁膜を
用いている場合、基板を加熱せずに成膜することが望ま
しい。また、吸着又は吸蔵している水分を十分除去する
ために、真空中で数分〜数時間、50〜100℃程度で
加熱して脱水処理することは好ましい。なお、成膜条件
の一例としては、硼素が添加された1〜2Ωsq.のシリ
コンターゲットを用い窒素ガスのみを供給して0.4P
a、800Wの高周波電力(13.56MHz)で、タ
ーゲットのサイズは直径152.4mmの条件の時に2
〜4nm/minの成膜速度が得られる。
According to the first embodiment or the second embodiment, up to the second interlayer insulating films (935, 3926) are formed. Subsequently, a silicon nitride film 935B is formed over the second interlayer insulating film (935, 3926) as an insulating film 936 by a sputtering method using silicon as a target (FIG. 17). The film formation conditions may be appropriately selected, and particularly preferably, sputtering is performed by using nitrogen (N 2 ) or a mixed gas of nitrogen and argon as a sputtering gas and applying high-frequency power. The substrate temperature is kept at room temperature, and the heating means may not be used. However, when an organic insulating film is used for the interlayer insulating film, it is preferable to form the film without heating the substrate. In order to sufficiently remove adsorbed or occluded water, it is preferable to perform dehydration by heating at about 50 to 100 ° C. for several minutes to several hours in a vacuum. As an example of film forming conditions, a silicon target of 1 to 2 Ω sq.
a, high-frequency power of 800 W (13.56 MHz), the size of the target is 2 when the diameter is 152.4 mm.
A film formation rate of 44 nm / min is obtained.

【0159】このようにして得られた窒化シリコン膜
は、膜中の不純物元素として挙げられる酸素および水素
の濃度が1原子%以下であり、また、可視光域において
80%以上の透過率を有する。特に、波長400nmに
おいても80%以上の透過率を有しており、この膜の透
明性が示されている。さらに、表面に著しいダメージを
与えることなく、緻密な膜を形成することができる。
The silicon nitride film thus obtained has a concentration of oxygen and hydrogen as impurity elements in the film of 1 atomic% or less, and has a transmittance of 80% or more in the visible light region. . In particular, it has a transmittance of 80% or more even at a wavelength of 400 nm, indicating the transparency of this film. Further, a dense film can be formed without significantly damaging the surface.

【0160】以上のように、絶縁膜935Bに窒化シリ
コン膜を適用することができる。後の工程は、再び、実
施例1または実施例2に従って行えばよい。
[0160] As described above, a silicon nitride film can be used as the insulating film 935B. Subsequent steps may be performed again according to the first embodiment or the second embodiment.

【0161】(実施例9)本実施例では、第2の層間絶
縁膜(935、3926)上に形成する絶縁膜935B
としてDLC膜以外の絶縁膜を適用する例について説明
する。
(Embodiment 9) In this embodiment, an insulating film 935B formed on the second interlayer insulating film (935, 3926) is used.
An example in which an insulating film other than the DLC film is applied will be described.

【0162】実施例1または実施例2に従って、第2の
層間絶縁膜(935、3926)まで形成する。続い
て、第2の層間絶縁膜(935、3926)上に窒化ア
ルミニウム(AlN)ターゲットを用い、アルゴンガス
と窒素ガスを混合した雰囲気下にて、Al膜93
5Bを成膜する(図17)。Al膜に含まれる不
純物、特に酸素は0〜10atomic%未満であれば
よく、スパッタ条件(基板温度、原料ガスおよびその流
量、成膜圧力など)を適宜調節することによって酸素濃
度を調節することができる。また、アルミニウム(A
l)ターゲットを用い、窒素ガスを含む雰囲気下にて成
膜してもよい。なお、スパッタ法に限定されず、蒸着法
やその他の公知技術を用いてもよい。
According to the first or second embodiment, up to the second interlayer insulating film (935, 3926) is formed. Subsequently, using an aluminum nitride (AlN) target on the second interlayer insulating film (935,3926), in an atmosphere of a mixture of argon gas and nitrogen gas, Al X N Y film 93
5B is formed (FIG. 17). The impurities contained in the Al X N y film, particularly oxygen, may be 0 to less than 10 atomic%, and the oxygen concentration is adjusted by appropriately adjusting the sputtering conditions (substrate temperature, source gas and its flow rate, film forming pressure, etc.). can do. Aluminum (A
l) A film may be formed using a target under an atmosphere containing nitrogen gas. Note that the present invention is not limited to the sputtering method, and may use a vapor deposition method or another known technique.

【0163】また、AlxNy膜以外にも、窒化アルミ
ニウム(AlN)ターゲットを用い、アルゴンガスと窒
素ガスと酸素ガスを混合した雰囲気下にて成膜されるA
lN XY膜を絶縁膜935Bとして用いてもよい。Al
NxOy膜は、窒素を数atomic%以上、好ましく
は2.5〜47.5atomic%含む範囲であればよ
く、スパッタ条件(基板温度、原料ガスおよびその流
量、成膜圧力など)を適宜調節することによって窒素濃
度を調節することができる。また、アルミニウム(A
l)ターゲットを用い、窒素ガス及び酸素ガスを含む雰
囲気下にて成膜してもよい。なお、スパッタ法に限定さ
れず、蒸着法やその他の公知技術を用いてもよい。
In addition to the AlxNy film, aluminum nitride
Using an argon (AlN) target, argon gas and nitrogen
A formed in an atmosphere in which a raw gas and an oxygen gas are mixed
1N XOYA film may be used as the insulating film 935B. Al
The NxOy film contains several atomic% or more of nitrogen, preferably
Should be in the range of 2.5-47.5 atomic%
And sputtering conditions (substrate temperature, source gas and its flow
Amount, film forming pressure, etc.) by appropriately adjusting the nitrogen concentration.
The degree can be adjusted. Aluminum (A
l) Using a target, atmosphere containing nitrogen gas and oxygen gas
The film may be formed under an atmosphere. In addition, it is limited to the sputtering method.
Instead, a vapor deposition method or another known technique may be used.

【0164】上記したAlxNy膜またはAlNxOy
膜は透光性が高く(可視光領域で透過率80%〜91.
3%)、発光素子からの発光の妨げにならない。
The above AlxNy film or AlNxOy
The film has high translucency (80% to 91.% transmittance in the visible light region).
3%) and does not hinder light emission from the light emitting element.

【0165】以上のように、絶縁膜935BにAlxN
y膜またはAlNxOy膜を適用することができる。後
の工程は、再び、実施例1または実施例2に従って行え
ばよい。
As described above, the insulating film 935B is made of AlxN
A y film or an AlNxOy film can be applied. Subsequent steps may be performed again according to the first embodiment or the second embodiment.

【0166】(実施例10)発光素子を用いた発光装置
は自発光型であるため、液晶表示装置に比べ、明るい場
所での視認性に優れ、視野角が広い。従って、様々な電
気器具の表示部に用いることができる。
Embodiment 10 Since a light emitting device using a light emitting element is of a self-luminous type, it has better visibility in a bright place and a wider viewing angle than a liquid crystal display device. Therefore, it can be used for display portions of various electric appliances.

【0167】本発明により作製した発光装置を用いた電
気器具として、ビデオカメラ、デジタルカメラ、ゴーグ
ル型ディスプレイ(ヘッドマウントディスプレイ)、ナ
ビゲーションシステム、音響再生装置(カーオーディ
オ、オーディオコンポ等)、ノート型パーソナルコンピ
ュータ、ゲーム機器、携帯情報端末(モバイルコンピュ
ータ、携帯電話、携帯型ゲーム機または電子書籍等)、
記録媒体を備えた画像再生装置(具体的にはDVD等の
記録媒体を再生し、その画像を表示しうる表示装置を備
えた装置)などが挙げられる。特に、斜め方向から画面
を見る機会が多い携帯情報端末は、視野角の広さが重要
視されるため、発光素子を有する発光装置を用いること
が好ましい。それら電気器具の具体例を図10に示す。
Electric appliances using the light emitting device manufactured according to the present invention include a video camera, a digital camera, a goggle type display (head mounted display), a navigation system, a sound reproducing device (car audio, audio component, etc.), a notebook personal computer Computers, game consoles, personal digital assistants (mobile computers, mobile phones, portable game consoles, electronic books, etc.),
An image reproducing device provided with a recording medium (specifically, a device provided with a display device capable of reproducing a recording medium such as a DVD and displaying the image) and the like. In particular, in a portable information terminal in which a screen is often viewed from an oblique direction, since a wide viewing angle is regarded as important, it is preferable to use a light emitting device having a light emitting element. FIG. 10 shows specific examples of these electric appliances.

【0168】図10(A)は表示装置であり、筐体20
01、支持台2002、表示部2003、スピーカー部
2004、ビデオ入力端子2005等を含む。本発明に
より作製した発光装置は、表示部2003に用いること
ができる。発光素子を有する発光装置は自発光型である
ためバックライトが必要なく、液晶表示装置よりも薄い
表示部とすることができる。なお、表示装置は、パソコ
ン用、TV放送受信用、広告表示用などの全ての情報表
示用表示装置が含まれる。
FIG. 10A shows a display device,
01, a support base 2002, a display unit 2003, a speaker unit 2004, a video input terminal 2005, and the like. The light-emitting device manufactured according to the present invention can be used for the display portion 2003. Since a light-emitting device having a light-emitting element is a self-luminous type, it does not require a backlight and can have a thinner display portion than a liquid crystal display device. The display device includes all information display devices for personal computers, TV broadcast reception, advertisement display, and the like.

【0169】図10(B)はデジタルスチルカメラであ
り、本体2101、表示部2102、受像部2103、
操作キー2104、外部接続ポート2105、シャッタ
ー2106等を含む。本発明により作製した発光装置は
表示部2102に用いることができる。
FIG. 10B shows a digital still camera, which includes a main body 2101, a display section 2102, an image receiving section 2103,
An operation key 2104, an external connection port 2105, a shutter 2106, and the like are included. The light-emitting device manufactured according to the present invention can be used for the display portion 2102.

【0170】図10(C)はノート型パーソナルコンピ
ュータであり、本体2201、筐体2202、表示部2
203、キーボード2204、外部接続ポート220
5、ポインティングマウス2206等を含む。本発明に
より作製した発光装置は表示部2203に用いることが
できる。
FIG. 10C shows a notebook personal computer, which includes a main body 2201, a housing 2202, and a display section 2.
203, keyboard 2204, external connection port 220
5, including a pointing mouse 2206 and the like. The light-emitting device manufactured according to the present invention can be used for the display portion 2203.

【0171】図10(D)はモバイルコンピュータであ
り、本体2301、表示部2302、スイッチ230
3、操作キー2304、赤外線ポート2305等を含
む。本発明により作製した発光装置は表示部2302に
用いることができる。
FIG. 10D shows a mobile computer, which includes a main body 2301, a display portion 2302, and a switch 230.
3, an operation key 2304, an infrared port 2305, and the like. The light-emitting device manufactured according to the present invention can be used for the display portion 2302.

【0172】図10(E)は記録媒体を備えた携帯型の
画像再生装置(具体的にはDVD再生装置)であり、本
体2401、筐体2402、表示部A2403、表示部
B2404、記録媒体(DVD等)読み込み部240
5、操作キー2406、スピーカー部2407等を含
む。表示部A2403は主として画像情報を表示し、表
示部B2404は主として文字情報を表示するが、本発
明により作製した発光装置はこれら表示部A、B240
3、2404に用いることができる。なお、記録媒体を
備えた画像再生装置には家庭用ゲーム機器なども含まれ
る。
FIG. 10E shows a portable image reproducing apparatus (specifically, a DVD reproducing apparatus) provided with a recording medium, and includes a main body 2401, a housing 2402, a display portion A 2403, a display portion B 2404, and a recording medium ( DVD, etc.) reading unit 240
5, operation keys 2406, a speaker unit 2407, and the like. The display portion A2403 mainly displays image information and the display portion B2404 mainly displays character information. The light-emitting device manufactured according to the present invention employs these display portions A and B240.
3, 2404. Note that the image reproducing device provided with the recording medium includes a home game machine and the like.

【0173】図10(F)はゴーグル型ディスプレイ
(ヘッドマウントディスプレイ)であり、本体250
1、表示部2502、アーム部2503を含む。本発明
により作製した発光装置は表示部2502に用いること
ができる。
FIG. 10F shows a goggle-type display (head-mounted display).
1, including a display unit 2502 and an arm unit 2503. The light-emitting device manufactured according to the present invention can be used for the display portion 2502.

【0174】図10(G)はビデオカメラであり、本体
2601、表示部2602、筐体2603、外部接続ポ
ート2604、リモコン受信部2605、受像部260
6、バッテリー2607、音声入力部2608、操作キ
ー2609等を含む。本発明により作製した発光装置は
表示部2602に用いることができる。
FIG. 10G shows a video camera, which includes a main body 2601, a display portion 2602, a housing 2603, an external connection port 2604, a remote control receiving portion 2605, and an image receiving portion 260.
6, a battery 2607, a voice input unit 2608, operation keys 2609, and the like. The light-emitting device manufactured according to the present invention can be used for the display portion 2602.

【0175】ここで図10(H)は携帯電話であり、本
体2701、筐体2702、表示部2703、音声入力
部2704、音声出力部2705、操作キー2706、
外部接続ポート2707、アンテナ2708等を含む。
本発明により作製した発光装置は、表示部2703に用
いることができる。なお、表示部2703は黒色の背景
に白色の文字を表示することで携帯電話の消費電力を抑
えることができる。
FIG. 10H shows a mobile phone, which includes a main body 2701, a housing 2702, a display portion 2703, a voice input portion 2704, a voice output portion 2705, operation keys 2706,
An external connection port 2707, an antenna 2708, and the like are included.
The light-emitting device manufactured according to the present invention can be used for the display portion 2703. Note that the display portion 2703 displays white characters on a black background, so that power consumption of the mobile phone can be suppressed.

【0176】なお、将来的に有機材料の発光輝度が高く
なれば、出力した画像情報を含む光をレンズ等で拡大投
影してフロント型若しくはリア型のプロジェクターに用
いることも可能となる。
If the emission luminance of the organic material is increased in the future, the light including the output image information can be enlarged and projected by a lens or the like and used for a front-type or rear-type projector.

【0177】また、上記電気器具はインターネットやC
ATV(ケーブルテレビ)などの電子通信回線を通じて
配信された情報を表示することが多くなり、特に動画情
報を表示する機会が増してきている。有機材料の応答速
度は非常に高いため、発光装置は動画表示に好ましい。
[0177] The above-mentioned electric appliances are available on the Internet or C
Information distributed through an electronic communication line such as an ATV (cable television) is frequently displayed, and in particular, opportunities to display moving image information are increasing. Since the response speed of the organic material is extremely high, the light-emitting device is preferable for displaying moving images.

【0178】また、発光装置は発光している部分が電力
を消費するため、発光部分が極力少なくなるように情報
を表示することが好ましい。従って、携帯情報端末、特
に携帯電話や音響再生装置のような文字情報を主とする
表示部に発光装置を用いる場合には、非発光部分を背景
として文字情報を発光部分で形成するように駆動するこ
とが好ましい。
[0178] In the light emitting device, since the light emitting portion consumes power, it is preferable to display information so that the light emitting portion is reduced as much as possible. Therefore, when a light emitting device is used for a portable information terminal, particularly a display portion mainly for character information such as a mobile phone or a sound reproducing device, the light emitting portion is driven to form character information with a non-light emitting portion as a background. Is preferred.

【0179】以上の様に、本発明を用いて作製された発
光装置の適用範囲は極めて広く、あらゆる分野の電気器
具に用いることが可能である。また、本実施例の電気器
具は実施例1〜実施例9に示した発光装置をその表示部
に用いることができる。
As described above, the applicable range of the light-emitting device manufactured by using the present invention is extremely wide, and the light-emitting device can be used for electric appliances in various fields. Further, in the electric appliance of this embodiment, the light emitting devices shown in Embodiments 1 to 9 can be used for a display portion thereof.

【0180】[0180]

【発明の効果】本発明を用いることにより、陽極の表面
を平坦化することで、有機化合物層における電流密度を
高めることができる。また、駆動電圧を低減でき、発光
素子の寿命を延ばすことができる。
According to the present invention, the current density in the organic compound layer can be increased by flattening the surface of the anode. Further, the driving voltage can be reduced and the life of the light emitting element can be extended.

【0181】また、TFT基板を作製する処理室と発光
素子の作製する処理室とが物理的に離れており、基板を
移動させなければならない場合にも、TFT基板をTF
Tの特性を劣化させたり、静電破壊させたりすることな
く移動することができ、さらに、本発明の構造を適用す
れば、TFTが発光素子の材料となるアルカリ金属によ
り汚染されることや、発光素子が水分やガスにより劣化
する問題を防ぐことができ、良好な発光装置を実現する
ことができる。
Further, when the processing chamber for manufacturing the TFT substrate and the processing chamber for manufacturing the light emitting element are physically separated from each other, and the substrate must be moved, the TFT substrate can be moved to the TF.
It can move without deteriorating the characteristics of T or causing electrostatic breakdown, and furthermore, if the structure of the present invention is applied, the TFT is contaminated by an alkali metal which is a material of a light emitting element, The problem that the light emitting element is deteriorated by moisture or gas can be prevented, and a favorable light emitting device can be realized.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 発光装置の作製方法の実施形態を示す図。FIG. 1 illustrates an embodiment of a method for manufacturing a light-emitting device.

【図2】 従来の発光装置の例を示す図。FIG. 2 illustrates an example of a conventional light emitting device.

【図3】 発光装置の作製工程を示す図。FIG. 3 illustrates a manufacturing process of a light-emitting device.

【図4】 発光装置の作製工程を示す図。FIG. 4 illustrates a manufacturing process of a light-emitting device.

【図5】 発光装置の作製工程を示す図。FIG. 5 illustrates a manufacturing process of a light-emitting device.

【図6】 発光装置の作製工程を示す図。FIG. 6 illustrates a manufacturing process of a light-emitting device.

【図7】 発光装置の作製工程の実施の一例を示す図。FIG. 7 illustrates an example of a manufacturing process of a light-emitting device.

【図8】 発光装置の封止構造を示す図。FIG. 8 illustrates a sealing structure of a light-emitting device.

【図9】 発光装置の画素部の構造を示す図。FIG. 9 illustrates a structure of a pixel portion of a light-emitting device.

【図10】 電気器具の一例を示す図。FIG. 10 illustrates an example of an electric appliance.

【図11】 CMP装置の一例を示す図。FIG. 11 illustrates an example of a CMP apparatus.

【図12】 キャリアの拡大図。FIG. 12 is an enlarged view of a carrier.

【図13】 AMFによる測定結果を示す図。FIG. 13 is a view showing a measurement result by AMF.

【図14】 AMFによる測定結果を示す図。FIG. 14 is a view showing a measurement result by AMF.

【図15】 AMFによる測定結果を示す図。FIG. 15 is a diagram showing a measurement result by AMF.

【図16】 発光装置の作製工程の実施の一例を示す
図。
FIG 16 illustrates an example of a manufacturing process of a light-emitting device.

【図17】 発光装置の作製工程の実施の一例を示す
図。
FIG. 17 illustrates an example of a manufacturing process of a light-emitting device.

【図18】 本発明の生産プロセスのイメージを示す
図。
FIG. 18 is a view showing an image of a production process of the present invention.

【図19】 発光装置の作製工程の実施の一例を示す
図。
FIG. 19 illustrates an example of a manufacturing process of a light-emitting device.

【図20】 発光装置の作製工程の実施の一例を示す
図。
FIG. 20 illustrates an example of a manufacturing process of a light-emitting device.

【図21】 発光装置の作製工程の実施の一例を示す
図。
FIG. 21 illustrates an example of a manufacturing process of a light-emitting device.

【符号の説明】[Explanation of symbols]

100 基板 101 電流制御用TFT 102 層間絶縁膜 103 配線 105 陽極 106 バンク 110 有機化合物層 111 陰極 REFERENCE SIGNS LIST 100 substrate 101 current controlling TFT 102 interlayer insulating film 103 wiring 105 anode 106 bank 110 organic compound layer 111 cathode

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H05B 33/22 H05B 33/22 C Z Fターム(参考) 3K007 AB02 AB06 AB11 AB18 BA06 BB07 CB01 CC00 DB03 FA01 GA04 5C094 AA07 AA10 AA24 AA31 AA43 BA03 BA27 CA19 DA09 DA13 DA15 DB01 DB04 EA04 EA05 EA07 EB02 FA01 FA02 FB01 FB02 FB12 FB14 FB15 FB20 JA08 5G435 AA03 AA14 AA16 AA17 BB05 CC09 EE37 HH01 HH12 HH13 HH14 HH20 KK05 KK10 ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) H05B 33/22 H05B 33/22 CZ F term (Reference) 3K007 AB02 AB06 AB11 AB18 BA06 BB07 CB01 CC00 DB03 FA01 GA04 5C094 AA07 AA10 AA24 AA31 AA43 BA03 BA27 CA19 DA09 DA13 DA15 DB01 DB04 EA04 EA05 EA07 EB02 FA01 FA02 FB01 FB02 FB12 FB14 FB15 FB20 JA08 5G435 AA03 AA14 AA16 AA17 BB05 CC09 EE37H01 H05H09

Claims (16)

【特許請求の範囲】[Claims] 【請求項1】絶縁体上のTFTと、前記TFT上の層間
絶縁膜と、該層間絶縁膜上の陽極と、前記TFTと前記
陽極とを電気的に接続する配線と、前記配線および前記
陽極上にバンクと、前記陽極および前記バンク上に絶縁
膜と、前記陽極上に該絶縁膜を介して有機化合物層と、
前記有機化合物層上に陰極とを含む発光装置。
1. A TFT on an insulator, an interlayer insulating film on the TFT, an anode on the interlayer insulating film, a wiring for electrically connecting the TFT and the anode, the wiring and the anode A bank, an insulating film on the anode and the bank, an organic compound layer on the anode with the insulating film interposed therebetween,
A light emitting device comprising: a cathode on the organic compound layer.
【請求項2】請求項1において、前記陽極表面の平均面
粗さ(Ra)は、0.9nm以下、このましくは0.8
5nm以下であることを特徴とする発光装置。
2. The anode according to claim 1, wherein the average surface roughness (Ra) of the anode surface is 0.9 nm or less, preferably 0.8 nm or less.
A light-emitting device having a thickness of 5 nm or less.
【請求項3】請求項1において、前記層間絶縁膜は、酸
化シリコン膜、酸化窒化シリコン膜または窒化酸化シリ
コン膜で形成されることを特徴とする発光装置。
3. The light emitting device according to claim 1, wherein the interlayer insulating film is formed of a silicon oxide film, a silicon oxynitride film, or a silicon nitride oxide film.
【請求項4】請求項1において、前記バンクは、表面に
プラズマ処理により形成され、水素、窒素、ハロゲン化
炭素、弗化水素または希ガスから選ばれた一種または複
数種の元素を含む硬化膜を有していることを特徴とする
発光装置。
4. A cured film according to claim 1, wherein said bank is formed by plasma treatment on a surface thereof and contains one or more elements selected from hydrogen, nitrogen, carbon halide, hydrogen fluoride and rare gas. A light emitting device comprising:
【請求項5】請求項1において、前記層間絶縁膜上に窒
化珪素膜またはDLC膜からなる絶縁膜を有することを
特徴とする発光装置。
5. The light emitting device according to claim 1, further comprising an insulating film made of a silicon nitride film or a DLC film on the interlayer insulating film.
【請求項6】絶縁体上に形成されたTFT上に層間絶縁
膜を形成する工程と、前記層間絶縁膜をCMP法により
平坦化する工程と、配線を形成する工程と、前記配線を
介して前記TFTに電気的に接続された陽極を形成する
工程と、前記陽極および前記配線を覆う樹脂絶縁膜を形
成し、エッチングしてバンクを形成する工程と、加熱処
理をする工程と、前記陽極を拭浄する工程と、前記陽極
および前記バンクを覆って絶縁膜を形成する工程と、前
記絶縁膜上に有機化合物層を形成する工程と、前記有機
化合物層上に陰極を形成する工程と、を含むことを特徴
とする発光装置の作製方法。
6. A step of forming an interlayer insulating film on a TFT formed on an insulator, a step of flattening the interlayer insulating film by a CMP method, a step of forming a wiring, and a step of forming a wiring through the wiring. Forming an anode electrically connected to the TFT, forming a resin insulating film covering the anode and the wiring, etching to form a bank, performing a heat treatment, Wiping, forming an insulating film covering the anode and the bank, forming an organic compound layer on the insulating film, and forming a cathode on the organic compound layer, A method for manufacturing a light-emitting device, comprising:
【請求項7】絶縁体上に形成されたTFT上に層間絶縁
膜を形成する工程と、前記層間絶縁膜をCMP法により
平坦化する工程と、配線を形成する工程と、前記配線を
介して前記TFTに電気的に接続された陽極を形成する
工程と、第1の加熱処理をする工程と、前記陽極および
前記配線を覆う樹脂絶縁膜を形成し、エッチングしてバ
ンクを形成する工程と、第2の加熱処理をする工程と、
前記陽極を拭浄する工程と、前記陽極および前記バンク
を覆って絶縁膜を形成する工程と、前記絶縁膜上に有機
化合物層を形成する工程と、前記有機化合物層上に陰極
を形成する工程と、を含むことを特徴とする発光装置の
作製方法。
7. A step of forming an interlayer insulating film on a TFT formed on an insulator, a step of flattening the interlayer insulating film by a CMP method, a step of forming a wiring, and a step of forming a wiring A step of forming an anode electrically connected to the TFT, a step of performing a first heat treatment, a step of forming a resin insulating film covering the anode and the wiring, and a step of forming a bank by etching; Performing a second heat treatment;
Wiping the anode, forming an insulating film covering the anode and the bank, forming an organic compound layer on the insulating film, and forming a cathode on the organic compound layer And a method for manufacturing a light-emitting device.
【請求項8】絶縁体上に形成されたTFT上に層間絶縁
膜を形成する工程と、前記層間絶縁膜をCMP法により
平坦化する工程と、配線を形成する工程と、前記配線を
介して前記TFTに電気的に接続された陽極を形成する
工程と、第1の加熱処理をする工程と、前記陽極および
前記配線を覆って、後のバンクを形成する樹脂絶縁膜を
形成する工程と、第2の加熱処理をする工程と、前記樹
脂絶縁膜をエッチングしてバンクを形成する工程と、前
記陽極を拭浄する工程と、前記陽極および前記バンクを
覆って絶縁膜を形成する工程と、前記絶縁膜上に有機化
合物層を形成する工程と、前記有機化合物層上に陰極を
形成する工程と、を含むことを特徴とする発光装置の作
製方法。
8. A step of forming an interlayer insulating film on a TFT formed on an insulator, a step of flattening the interlayer insulating film by a CMP method, a step of forming a wiring, and a step of forming a wiring through the wiring. A step of forming an anode electrically connected to the TFT, a step of performing a first heat treatment, and a step of forming a resin insulating film covering the anode and the wiring and forming a later bank; Performing a second heat treatment, etching the resin insulating film to form a bank, wiping the anode, and forming an insulating film covering the anode and the bank; A method for manufacturing a light-emitting device, comprising: a step of forming an organic compound layer on the insulating film; and a step of forming a cathode on the organic compound layer.
【請求項9】絶縁表面を有する基板上に形成されたTF
T上に層間絶縁膜を形成する工程と、前記層間絶縁膜を
CMP法により平坦化する工程と、配線を形成する工程
と、前記配線を介して前記TFTに電気的に接続された
陽極を形成する工程と、前記陽極および前記配線を覆う
樹脂絶縁膜を形成する工程と、前記TFTが形成された
基板を第1の処理室から第2の処理室へ移動する工程
と、前記樹脂絶縁膜をエッチングしてバンクを形成する
工程と、加熱処理する工程と、前記バンク表面をプラズ
マ処理する工程と、前記陽極を拭浄する工程と、前記陽
極および前記バンクを覆って絶縁膜を形成する工程と、
前記絶縁膜上に有機化合物層を形成する工程と、前記有
機化合物層上に陰極を形成する工程と、を含むことを特
徴とする発光装置の作製方法。
9. A TF formed on a substrate having an insulating surface
Forming an interlayer insulating film on T, flattening the interlayer insulating film by a CMP method, forming a wiring, and forming an anode electrically connected to the TFT via the wiring Performing a step of forming a resin insulating film covering the anode and the wiring; moving a substrate on which the TFT is formed from a first processing chamber to a second processing chamber; A step of forming a bank by etching, a step of performing a heat treatment, a step of performing a plasma treatment on the bank surface, a step of wiping the anode, and a step of forming an insulating film covering the anode and the bank. ,
A method for manufacturing a light-emitting device, comprising: a step of forming an organic compound layer on the insulating film; and a step of forming a cathode on the organic compound layer.
【請求項10】絶縁表面を有する基板上に形成されたT
FT上に層間絶縁膜を形成する工程と、前記層間絶縁膜
をCMP法により平坦化する工程と、配線を形成する工
程と、前記配線を介して前記TFTに電気的に接続され
た陽極を形成する工程と、前記陽極および前記配線を覆
う樹脂絶縁膜を形成する工程と、前記TFTが形成され
た基板を第1の処理室から第2の処理室へ移動する工程
と、前記樹脂絶縁膜を加熱処理し、エッチングしてバン
クを形成する工程と、前記バンク表面をプラズマ処理す
る工程と、前記陽極を拭浄する工程と、前記陽極および
前記バンクを覆って絶縁膜を形成する工程と、前記絶縁
膜上に有機化合物層を形成する工程と、前記有機化合物
層上に陰極を形成する工程と、を含むことを特徴とする
発光装置の作製方法。
10. A T-shaped substrate formed on a substrate having an insulating surface.
A step of forming an interlayer insulating film on the FT, a step of flattening the interlayer insulating film by a CMP method, a step of forming a wiring, and forming an anode electrically connected to the TFT via the wiring Performing a step of forming a resin insulating film covering the anode and the wiring; moving a substrate on which the TFT is formed from a first processing chamber to a second processing chamber; Heat-treating, etching to form a bank, plasma-treating the bank surface, wiping the anode, forming an insulating film covering the anode and the bank, A method for manufacturing a light-emitting device, comprising: a step of forming an organic compound layer over an insulating film; and a step of forming a cathode over the organic compound layer.
【請求項11】請求項6乃至請求項10のいずれか一項
において、前記樹脂絶縁膜上に帯電防止膜を形成する工
程と、前記帯電防止膜を水洗して除去する工程と、を含
むことを特徴とする発光装置の作製方法。
11. The method according to claim 6, further comprising: forming an antistatic film on the resin insulating film; and washing the antistatic film with water to remove the antistatic film. A method for manufacturing a light-emitting device, comprising:
【請求項12】請求項6乃至請求項11において、前記
層間絶縁膜は、酸化珪素、酸化窒化珪素または窒化酸化
珪素から形成されることを特徴とする発光装置の作製方
法。
12. The method for manufacturing a light-emitting device according to claim 6, wherein the interlayer insulating film is formed of silicon oxide, silicon oxynitride, or silicon nitride oxide.
【請求項13】請求項6乃至請求項11において、前記
層間絶縁膜を平坦化した後、平坦化された層間絶縁膜上
に、窒化珪素膜またはDLC膜からなる絶縁膜を形成す
る工程を含むことを特徴とする発光装置の作製方法。
13. The method according to claim 6, further comprising, after planarizing the interlayer insulating film, forming an insulating film made of a silicon nitride film or a DLC film on the planarized interlayer insulating film. A method for manufacturing a light-emitting device, comprising:
【請求項14】請求項10または請求項11において、
プラズマ処理は、水素、窒素、ハロゲン化炭素、弗化水
素または希ガスから選ばれた一種または複数種の気体中
で行われることを特徴とする発光装置の作製方法。
14. The method according to claim 10, wherein
The method for manufacturing a light-emitting device, wherein the plasma treatment is performed in one or more kinds of gases selected from hydrogen, nitrogen, carbon halide, hydrogen fluoride, and a rare gas.
【請求項15】請求項6乃至請求項13のいずれか一項
において、前記陽極を拭浄する工程は、PVA系の多孔
質材料を用いて行われることを特徴とする発光装置の作
製方法。
15. The method for manufacturing a light-emitting device according to claim 6, wherein the step of wiping the anode is performed using a PVA-based porous material.
【請求項16】請求項6乃至請求項13のいずれか一項
において、前記陽極を拭浄する工程は、陽極の表面を平
坦化する工程であることを特徴とする発光装置の作製方
法。
16. The method for manufacturing a light-emitting device according to claim 6, wherein the step of wiping the anode is a step of flattening the surface of the anode.
JP2002038071A 2001-02-19 2002-02-15 Light emitting device and method of making the same Withdrawn JP2002318546A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002038071A JP2002318546A (en) 2001-02-19 2002-02-15 Light emitting device and method of making the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2001041201 2001-02-19
JP2001-41201 2001-02-19
JP2002038071A JP2002318546A (en) 2001-02-19 2002-02-15 Light emitting device and method of making the same

Publications (2)

Publication Number Publication Date
JP2002318546A true JP2002318546A (en) 2002-10-31
JP2002318546A5 JP2002318546A5 (en) 2005-08-25

Family

ID=26609596

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002038071A Withdrawn JP2002318546A (en) 2001-02-19 2002-02-15 Light emitting device and method of making the same

Country Status (1)

Country Link
JP (1) JP2002318546A (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006113568A (en) * 2004-09-17 2006-04-27 Semiconductor Energy Lab Co Ltd Display device, and method for manufacturing the same
WO2006092952A1 (en) * 2005-03-02 2006-09-08 Sharp Kabushiki Kaisha Connector, electronic device inspecting method and electronic device manufacturing method
JP2007066775A (en) * 2005-08-31 2007-03-15 Sanyo Electric Co Ltd Manufacturing method of organic el element and organic el element
JP2007188743A (en) * 2006-01-13 2007-07-26 Fuji Electric Holdings Co Ltd Organic el display panel and its manufacturing method
US7442950B2 (en) 2004-12-06 2008-10-28 Semiconductor Energy Laboratory Co., Ltd. Light emitting device
US7923937B2 (en) 2004-08-13 2011-04-12 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and driving method thereof
JP2011513915A (en) * 2008-02-29 2011-04-28 グローバル・オーエルイーディー・テクノロジー・リミテッド・ライアビリティ・カンパニー OLED device with short-circuit reducing layer
US7973670B2 (en) 2005-12-28 2011-07-05 Semiconductor Energy Laboratory Co., Ltd. Display device and method for inspecting the same
US8044949B2 (en) 2005-05-02 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and electronic apparatus for displaying images
US8350466B2 (en) 2004-09-17 2013-01-08 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
KR20150136725A (en) * 2014-05-27 2015-12-08 엘지디스플레이 주식회사 Organic light emitting display panel and method of manufacturing the same
WO2019207736A1 (en) * 2018-04-26 2019-10-31 堺ディスプレイプロダクト株式会社 Organic el device and method for manufacturing same
JP2019203957A (en) * 2018-05-22 2019-11-28 株式会社ジャパンディスプレイ Display and array substrate
JP2020102456A (en) * 2020-02-18 2020-07-02 堺ディスプレイプロダクト株式会社 Organic EL device and manufacturing method thereof

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7923937B2 (en) 2004-08-13 2011-04-12 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and driving method thereof
US8354794B2 (en) 2004-08-13 2013-01-15 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and driving method thereof
KR101256357B1 (en) * 2004-09-17 2013-04-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Display device and manufacturing method thereof
US9444069B2 (en) 2004-09-17 2016-09-13 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing the display device
JP2006113568A (en) * 2004-09-17 2006-04-27 Semiconductor Energy Lab Co Ltd Display device, and method for manufacturing the same
JP2021131560A (en) * 2004-09-17 2021-09-09 株式会社半導体エネルギー研究所 Display device
US10096795B2 (en) 2004-09-17 2018-10-09 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing the display device
US8350466B2 (en) 2004-09-17 2013-01-08 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
US7902533B2 (en) 2004-12-06 2011-03-08 Semiconductor Energy Laboratory Co., Ltd. Light emitting device
US7442950B2 (en) 2004-12-06 2008-10-28 Semiconductor Energy Laboratory Co., Ltd. Light emitting device
WO2006092952A1 (en) * 2005-03-02 2006-09-08 Sharp Kabushiki Kaisha Connector, electronic device inspecting method and electronic device manufacturing method
US8044949B2 (en) 2005-05-02 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and electronic apparatus for displaying images
JP2007066775A (en) * 2005-08-31 2007-03-15 Sanyo Electric Co Ltd Manufacturing method of organic el element and organic el element
US7973670B2 (en) 2005-12-28 2011-07-05 Semiconductor Energy Laboratory Co., Ltd. Display device and method for inspecting the same
JP2007188743A (en) * 2006-01-13 2007-07-26 Fuji Electric Holdings Co Ltd Organic el display panel and its manufacturing method
JP2011513915A (en) * 2008-02-29 2011-04-28 グローバル・オーエルイーディー・テクノロジー・リミテッド・ライアビリティ・カンパニー OLED device with short-circuit reducing layer
KR102203447B1 (en) * 2014-05-27 2021-01-18 엘지디스플레이 주식회사 Organic light emitting display panel and method of manufacturing the same
KR20150136725A (en) * 2014-05-27 2015-12-08 엘지디스플레이 주식회사 Organic light emitting display panel and method of manufacturing the same
US11711955B2 (en) 2018-04-26 2023-07-25 Sakai Display Products Corporation Organic electroluminescent device with organic flattening layer having surface Ra of 50 nm or less and method for producing same
JP6664567B1 (en) * 2018-04-26 2020-03-13 堺ディスプレイプロダクト株式会社 Organic EL device and manufacturing method thereof
US11107876B2 (en) 2018-04-26 2021-08-31 Sakai Display Products Corporation Organic electroluminescent device and method for producing same
WO2019207736A1 (en) * 2018-04-26 2019-10-31 堺ディスプレイプロダクト株式会社 Organic el device and method for manufacturing same
JP2019203957A (en) * 2018-05-22 2019-11-28 株式会社ジャパンディスプレイ Display and array substrate
JP2020102456A (en) * 2020-02-18 2020-07-02 堺ディスプレイプロダクト株式会社 Organic EL device and manufacturing method thereof
JP7109492B2 (en) 2020-02-18 2022-07-29 堺ディスプレイプロダクト株式会社 Method for manufacturing organic EL device

Similar Documents

Publication Publication Date Title
US9768405B2 (en) Light emitting device and method of manufacturing the same
US6720198B2 (en) Light emitting device and manufacturing method thereof
JP6715974B2 (en) Light emitting device
US7301279B2 (en) Light-emitting apparatus and method of manufacturing the same
TWI280818B (en) Light emitting device, method of manufacturing the same, and manufacturing apparatus therefor
US8362693B2 (en) Display device and manufacturing method of display device
JP2009032699A (en) Light emitting device
JP4223218B2 (en) Light emitting device
JP2002318546A (en) Light emitting device and method of making the same
JP4592989B2 (en) Method for manufacturing light emitting device
JP2005135929A (en) Formation method of light emitting device
JP2002280186A (en) Light emitting device and method for producing it
JP4090743B2 (en) Method for manufacturing light-emitting device
JP4044360B2 (en) Semiconductor device and manufacturing method thereof
JP2005196225A (en) Light emitting device and method of manufacturing light emitting device

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050215

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050215

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20061222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071002

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080123

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080304

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20080402