JP2002280450A - Semiconductor device and method for manufacturing it - Google Patents

Semiconductor device and method for manufacturing it

Info

Publication number
JP2002280450A
JP2002280450A JP2001076816A JP2001076816A JP2002280450A JP 2002280450 A JP2002280450 A JP 2002280450A JP 2001076816 A JP2001076816 A JP 2001076816A JP 2001076816 A JP2001076816 A JP 2001076816A JP 2002280450 A JP2002280450 A JP 2002280450A
Authority
JP
Japan
Prior art keywords
etching
wiring
insulating film
via hole
interlayer insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001076816A
Other languages
Japanese (ja)
Other versions
JP4211910B2 (en
Inventor
Daisuke Komada
大輔 駒田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu VLSI Ltd
Fujitsu Ltd
Original Assignee
Fujitsu VLSI Ltd
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu VLSI Ltd, Fujitsu Ltd filed Critical Fujitsu VLSI Ltd
Priority to JP2001076816A priority Critical patent/JP4211910B2/en
Publication of JP2002280450A publication Critical patent/JP2002280450A/en
Application granted granted Critical
Publication of JP4211910B2 publication Critical patent/JP4211910B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a method for manufacturing a semiconductor integrated circuit device having a dual damascene wiring capable of etching a wiring groove while protecting a lower layer wiring and keeping the shape of a via hole good without using an etching stopper in the case of etching the wiring groove. SOLUTION: The method for manufacturing a semiconductor device includes (a) a process for accumulating an etching stopper film and an inter-layer insulation film on a base including a semiconductor substrate and having a conductive area on a surface, (b) a process for forming the via hole to the semiconductor area through the inter-layer insulation film and the etching stopper film, (c) a process for forming the first barrier metal layer on the bottom surface of the via hole, (d) a process or forming a wiring groove overlapping with the via hole on a plane view at the inter-layer insulation film, and (e) a process for embedding the wring groove and the via hole to form a dual damascene wiring including a second barrier metal layer and a main wiring layer.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置とその
製造方法に関し、特にダマシン配線を有する半導体装置
とその製造方法に関する。
The present invention relates to a semiconductor device and a method of manufacturing the same, and more particularly, to a semiconductor device having damascene wiring and a method of manufacturing the same.

【0002】[0002]

【従来の技術】半導体集積回路装置は、半導体チップ内
に多数の素子を形成し、半導体チップ上に多層配線を形
成することによって作成される。多層配線は、多層の配
線層と、配線層間を絶縁する層間絶縁膜によって形成さ
れる。従来、異なる層間の電気的接続を形成するため、
層間絶縁膜上に上層の配線層を形成する前に、層間絶縁
膜を貫通するビア孔が形成される。上層配線を形成する
際に、ビア孔内も配線層で埋められる。
2. Description of the Related Art A semiconductor integrated circuit device is manufactured by forming a large number of elements in a semiconductor chip and forming a multilayer wiring on the semiconductor chip. The multilayer wiring is formed by a multilayer wiring layer and an interlayer insulating film that insulates the wiring layers. Traditionally, to form electrical connections between different layers,
Before forming an upper wiring layer on the interlayer insulating film, a via hole penetrating the interlayer insulating film is formed. When forming the upper layer wiring, the inside of the via hole is also filled with the wiring layer.

【0003】配線パターンの形成は、層間絶縁膜上に配
線層を形成し、その上にレジストマスクを形成し、レジ
ストマスクをエッチングマスクとして配線層をエッチン
グすることによって行なわれる。配線パターン側壁上の
堆積物等は、アルカリ薬液等によって除去される。その
後、同層内の配線パターン間及び上層及び下層の配線パ
ターン間を絶縁するために、酸化シリコン等で形成され
る層間絶縁膜をプラズマCVD等を用いて形成する。
[0003] A wiring pattern is formed by forming a wiring layer on an interlayer insulating film, forming a resist mask thereon, and etching the wiring layer using the resist mask as an etching mask. Deposits and the like on the wiring pattern side walls are removed by an alkaline chemical or the like. Thereafter, in order to insulate between wiring patterns in the same layer and between upper and lower wiring patterns, an interlayer insulating film made of silicon oxide or the like is formed using plasma CVD or the like.

【0004】従来、配線材料としては、エッチングの可
能なアルミニウム(Al)やタングステン(W)等が用
いられた。配線パターン形成後、レジストマスクを除去
するためのアッシングにおいて、配線パターン表面が酸
化されるのを防止するため、AlやWの主配線層の上
に、TiN等の酸化防止層を形成することも行なわれ
る。
Conventionally, as a wiring material, aluminum (Al), tungsten (W), or the like that can be etched has been used. After the wiring pattern is formed, an antioxidant layer such as TiN may be formed on the main wiring layer of Al or W in order to prevent the surface of the wiring pattern from being oxidized in ashing for removing the resist mask. Done.

【0005】半導体集積回路装置においては、常に集積
度の向上が求められている。集積度を向上するため、半
導体素子は微細化され、単位面積内により多くの半導体
素子を形成する。半導体素子が微細化され、集積度が向
上すると、その上に形成される配線の密度も増加する。
配線密度が増加すると、各配線の幅及び同層内の隣接す
る配線間の間隔は減少する。
[0005] In a semiconductor integrated circuit device, an improvement in integration degree is always required. In order to improve the degree of integration, semiconductor devices are miniaturized and more semiconductor devices are formed in a unit area. As semiconductor elements are miniaturized and the degree of integration is improved, the density of wiring formed thereon is also increased.
As the interconnect density increases, the width of each interconnect and the spacing between adjacent interconnects in the same layer decreases.

【0006】配線層の厚さを同一に保つと、配線幅の減
少は抵抗の増加を伴う。また、隣接する配線間の間隔の
減少は、配線間の容量の増加を伴う。配線抵抗の増加を
低減するためには、配線層の厚さを厚くすることが必要
である。配線の断面積を一定に保とうとすれば、配線幅
の減少分を配線層厚さの増加により補償しなければなら
ない。
If the thickness of the wiring layer is kept the same, a decrease in the wiring width is accompanied by an increase in the resistance. Further, a decrease in the distance between adjacent wirings is accompanied by an increase in capacitance between the wirings. In order to reduce the increase in wiring resistance, it is necessary to increase the thickness of the wiring layer. If the cross-sectional area of the wiring is to be kept constant, the reduction in the wiring width must be compensated for by increasing the wiring layer thickness.

【0007】しかしながら、配線層の厚さを増加する
と、隣接する配線間の対向面積が増大し、配線間の容量
をさらに増加させることになる。配線抵抗の増大および
配線間容量の増大は、信号伝達スピードを減少させるこ
とになる。メモリー装置においては、高集積化と低消費
電力化が主な課題であるため、従来通りAl等の配線材
料が用いられている。
However, when the thickness of the wiring layer is increased, the facing area between the adjacent wirings is increased, and the capacitance between the wirings is further increased. An increase in the wiring resistance and an increase in the capacitance between the wirings decrease the signal transmission speed. In a memory device, since high integration and low power consumption are the main issues, a wiring material such as Al is used as in the past.

【0008】ロジック回路においては演算速度が主な課
題であり、信号伝達スピードの減少は極力防がなければ
ならない。このため、配線の抵抗を低減し、付随容量を
低減することが望まれる。配線の抵抗を低減するために
は、配線材料としてAlよりも抵抗率の低いCu等の高
融点金属を用いることが提案されている。配線の付随容
量を低減するためには、配線間を絶縁する絶縁膜の誘電
率を低減することが提案されている。例えば、低誘電率
の絶縁膜として、弗素を含むシリコン酸化膜(FSG)
等が用いられる。
In a logic circuit, the operation speed is a major problem, and it is necessary to prevent the signal transmission speed from decreasing as much as possible. For this reason, it is desired to reduce the resistance of the wiring and the associated capacitance. In order to reduce the resistance of the wiring, it has been proposed to use a high melting point metal such as Cu having a lower resistivity than Al as the wiring material. In order to reduce the associated capacitance of wiring, it has been proposed to reduce the dielectric constant of an insulating film for insulating between wirings. For example, as a low dielectric constant insulating film, a silicon oxide film containing fluorine (FSG)
Are used.

【0009】Cu配線は、エッチングによってパターニ
ングすることが困難である。このため、Cu層のパター
ンを形成するために、絶縁膜に溝(トレンチ)を形成
し、溝を埋め戻すようにCu層を形成し、絶縁膜上の不
要のCu層を化学機械研磨(CMP)等によって除去す
るダマシンプロセスが用いられる。ダマシンプロセスと
して、シングルダマシンプロセスとデュアルダマシンプ
ロセスとが知られている。
It is difficult to pattern the Cu wiring by etching. Therefore, in order to form a pattern of the Cu layer, a groove (trench) is formed in the insulating film, a Cu layer is formed so as to fill the groove, and an unnecessary Cu layer on the insulating film is subjected to chemical mechanical polishing (CMP). A damascene process for removing by means of (1) or the like is used. As a damascene process, a single damascene process and a dual damascene process are known.

【0010】シングルダマシンプロセスでは、下層絶縁
膜上にビア孔用ホトレジストパターンを形成し、ビア孔
をエッチングし、ホトレジストパターンを除去した後ビ
ア孔を埋め込んでCu層を形成し、下層絶縁膜上の不要
のCu層をCMPで除去し、さらに上層絶縁膜を形成
し、配線溝用ホトレジストパターンを形成し、上の絶縁
膜に配線溝をエッチングし、ホトレジストパターンを除
去した後配線溝を埋め込んでCu層を形成し、上層絶縁
膜上の不要のCu層をCMPで除去する。
In the single damascene process, a photoresist pattern for a via hole is formed on a lower insulating film, the via hole is etched, the photoresist pattern is removed, and the via hole is buried to form a Cu layer. Unnecessary Cu layer is removed by CMP, an upper insulating film is further formed, a photoresist pattern for a wiring groove is formed, a wiring groove is etched in the upper insulating film, and the wiring groove is buried after removing the photoresist pattern. A layer is formed, and an unnecessary Cu layer on the upper insulating film is removed by CMP.

【0011】デュアルダマシンプロセスでは、絶縁膜上
にビア孔用ホトレジストパターンを形成し、ビア孔をエ
ッチングし、同一絶縁膜上に配線溝用ホトレジストパタ
ーンを形成し、配線溝をエッチングし、その後同一プロ
セスでビア孔と配線溝とを埋め戻すCu層を形成し、C
MPにより絶縁膜上の不要Cu層を除去する。
In the dual damascene process, a photoresist pattern for a via hole is formed on an insulating film, the via hole is etched, a photoresist pattern for a wiring groove is formed on the same insulating film, and the wiring groove is etched. To form a Cu layer that backfills the via hole and the wiring groove,
The unnecessary Cu layer on the insulating film is removed by MP.

【0012】なお、ビア孔を形成した後、ホトレジスト
パターンをアッシングで除去する時、下層Cu配線層が
露出していると、露出しているCu配線表面が酸化され
てしまう。Cu配線表面の酸化を防止するために、Cu
配線パターンを形成した後、Cu配線表面を覆ってエッ
チングストッパの機能を有する酸化防止膜を形成する。
このエッチングストッパ兼用酸化防止膜は、例えばSi
N層によって形成される。
When the photoresist pattern is removed by ashing after the formation of the via hole, if the lower Cu wiring layer is exposed, the exposed surface of the Cu wiring is oxidized. In order to prevent oxidation of the Cu wiring surface, Cu
After forming the wiring pattern, an oxidation prevention film having a function of an etching stopper is formed to cover the surface of the Cu wiring.
The oxidation stopper film also serving as an etching stopper is made of, for example, Si
It is formed by an N layer.

【0013】エッチングストッパ兼用酸化防止膜を絶縁
膜の下に配置した場合、絶縁膜を貫通し、エッチングス
トッパ兼用酸化防止膜を露出するビア孔をエッチングに
より形成し、この段階でホトレジストパターンはアッシ
ングにより除去する。その後ビア孔底に露出したエッチ
ングストッパ兼用酸化防止膜を除去する。簡単のため、
エッチングストッパ兼用酸化防止膜をエッチングストッ
パ膜(層)と呼ぶ。
When the etching stopper / oxidation preventing film is arranged under the insulating film, a via hole is formed by etching, penetrating the insulating film and exposing the etching stopper / oxidation preventing film. At this stage, the photoresist pattern is formed by ashing. Remove. Thereafter, the etching stopper and antioxidant film exposed at the bottom of the via hole is removed. For simplicity,
The oxidation stopper film also serving as an etching stopper is called an etching stopper film (layer).

【0014】なお、Cuは酸化シリコン等の絶縁膜中に
拡散し、絶縁膜の誘電特性を劣化させる性質を有する。
Cuの拡散を防止するために、Cu配線層形成前にTi
N、TaN等のバリア層を形成し、その上にCu配線層
を形成する。Cu配線上のエッチングストッパ(Si
N)膜もCuの拡散を防止する機能を有する。
[0014] Cu has the property of diffusing into an insulating film such as silicon oxide and deteriorating the dielectric properties of the insulating film.
In order to prevent the diffusion of Cu, Ti
A barrier layer such as N or TaN is formed, and a Cu wiring layer is formed thereon. Etching stopper on Cu wiring (Si
The N) film also has a function of preventing the diffusion of Cu.

【0015】配線溝エッチング時の深さ制御のため、層
間絶縁膜中間深さにエッチングストッパ層を介在させる
方法が知られている。エッチングストッパ層としては、
例えばプラズマSiN膜が用いられる。このエッチング
ストッパ膜上方の層間絶縁膜をエッチングした後、レレ
ジストマスクをアッシングし、配線溝底面に露出したエ
ッチングストッパ膜を除去する。しかしながら、配線溝
側面には、エッチングストッパ膜が露出し、配線間容量
を増加させる原因となる。そこで、エッチングストッパ
膜なしで配線溝深さをコントロールする方法が提案され
ている。
A method of interposing an etching stopper layer at an intermediate depth of an interlayer insulating film for controlling the depth at the time of etching a wiring groove is known. As an etching stopper layer,
For example, a plasma SiN film is used. After etching the interlayer insulating film above the etching stopper film, the resist mask is ashed to remove the etching stopper film exposed at the bottom of the wiring groove. However, the etching stopper film is exposed on the side surface of the wiring groove, which causes an increase in the capacity between wirings. Therefore, a method of controlling the wiring groove depth without using an etching stopper film has been proposed.

【0016】デュアルダマシン法においては、ビア孔と
配線溝の位置ずれによるビア孔の開口面積が変わらない
方式が有利である。このため、先ずレジストパターンを
エッチングマスクとしてビア孔をエッチング加工し、次
にレジストパターンをエッチングマスクとして配線溝を
エッチングする方式(先ビア方式デュアルダマシン法と
呼ぶ)が提案されている。この先ビア方式の場合には、
ビア孔加工後に配線溝のエッチングを行なうので、配線
溝エッチング時にビア孔底面がエッチングされてしまう
問題がある。ビア孔に有機材料を埋め込んで配線溝エッ
チング時のビア孔底面を保護する方法がとられる。
In the dual damascene method, it is advantageous to use a method in which the opening area of the via hole does not change due to the misalignment between the via hole and the wiring groove. For this reason, a method has been proposed in which a via hole is first etched using a resist pattern as an etching mask, and then a wiring groove is etched using the resist pattern as an etching mask (referred to as a first via dual damascene method). In the case of this via method,
Since the wiring groove is etched after the via hole processing, there is a problem that the via hole bottom surface is etched at the time of wiring groove etching. A method is employed in which an organic material is embedded in the via hole to protect the bottom surface of the via hole at the time of etching the wiring groove.

【0017】ビア孔に有機材料を埋め込んで配線溝エッ
チングを行なう場合、有機材料の詰物の高さを制御する
ことが必要となる。有機材料の詰物の埋め込み高さが低
いと、溝エッチング時に有機材料の詰物が消滅し、ビア
孔底面の保護の役割が不充分となる。保護詰物の高さが
高いと、有機材料の保護詰物がマスクとなり、シャドー
イングと呼ばれる異常エッチングを生じる。すなわち、
詰物周辺が深くエッチングされるが、この時詰物に接し
た部分の層間絶縁膜が残されてしまう。この残る層間絶
縁膜は、詰物を除去した後上方に鋭く突出する形状を有
する。このエッチング残りがビア孔周辺に残ると、Cu
等の高融点金属層形成時に埋め込み不良が発生してしま
う。
When wiring grooves are etched by burying an organic material in the via hole, it is necessary to control the height of the filling of the organic material. When the filling height of the filling of the organic material is low, the filling of the organic material disappears during the groove etching, and the role of protecting the bottom surface of the via hole becomes insufficient. When the height of the protective filling is high, the protective filling of the organic material serves as a mask, and causes abnormal etching called shadowing. That is,
Although the periphery of the filling is deeply etched, an interlayer insulating film in a portion in contact with the filling is left at this time. The remaining interlayer insulating film has a shape that projects sharply upward after removing the filler. If this etching residue remains around the via hole, Cu
When a high melting point metal layer is formed, defective filling occurs.

【0018】図5を参照して配線溝エッチング時にエッ
チングストッパ層を用いない先ビア方式デュアルダマシ
ン法を説明する。
Referring to FIG. 5, a dual-damascene via-via method without using an etching stopper layer at the time of etching a wiring groove will be described.

【0019】図5(A)に示すように、表面にCu配線
等の導電性領域Lを有する下地表面上に、例えば厚さ約
50nmのプラズマSiN膜sをエッチングストッパ膜
として成膜し、その上に例えば厚さ1500nmの弗素
含有シリケートガラス(FSG)で形成された層間絶縁
膜dを成膜する。層間絶縁膜dの表面上に、例えば厚さ
50nmのSiN膜で形成された反射防止膜arを成膜
する。
As shown in FIG. 5A, a plasma SiN film s having a thickness of, for example, about 50 nm is formed as an etching stopper film on a base surface having a conductive region L such as Cu wiring on the surface. An interlayer insulating film d made of, for example, fluorine-containing silicate glass (FSG) having a thickness of 1500 nm is formed thereon. On the surface of the interlayer insulating film d, an antireflection film ar formed of, for example, a 50 nm-thick SiN film is formed.

【0020】反射防止膜ar上にレジストパターンM1
を作成する。レジストパターンM1をエッチングマスク
とし、反射防止膜ar、層間絶縁膜dをエッチングして
ビア孔VHを形成し、エッチングストッパ膜sを露出す
る。この段階でレジストパターンM1をアッシングによ
り除去する。
A resist pattern M1 is formed on the anti-reflection film ar.
Create Using the resist pattern M1 as an etching mask, the antireflection film ar and the interlayer insulating film d are etched to form via holes VH, and the etching stopper film s is exposed. At this stage, the resist pattern M1 is removed by ashing.

【0021】図5(B)に示すように、ビア孔VH内に
有機材料の詰物ppを装填し、反射防止膜ar上に配線
溝を形成するためのレジストパターンM2を作成する。
As shown in FIG. 5B, a filling pp of an organic material is loaded in the via hole VH, and a resist pattern M2 for forming a wiring groove on the antireflection film ar is formed.

【0022】図5(C)に示すように、レジストパター
ンM2をエッチングマスクとし、反射防止膜ar、層間
絶縁膜dの厚さ800nm分をエッチングし、配線溝W
Tを形成する。この際、配線溝WT下面にはエッチング
ストッパ膜が存在しないため、ビア孔VH肩部のエッチ
ングも進行し、有機材料の保護詰物pp上面よりも下ま
でエッチングが進行する。この時、保護詰物ppの周辺
に上方に鋭く突出する層間絶縁膜のエッチング残りxが
形成される。
As shown in FIG. 5C, using the resist pattern M2 as an etching mask, the anti-reflection film ar and the interlayer insulating film d are etched by a thickness of 800 nm to form a wiring groove W.
Form T. At this time, since the etching stopper film does not exist on the lower surface of the wiring groove WT, the etching of the shoulder of the via hole VH also progresses, and the etching progresses below the upper surface of the protection pad pp of the organic material. At this time, an etching residue x of the interlayer insulating film protruding sharply upward around the protective filling pp is formed.

【0023】配線溝エッチングの後、レジストパターン
M2、保護詰物ppをアッシングで除去し、反射防止膜
arとビア孔内に露出したエッチングストッパsをエッ
チングで除去する。
After the wiring groove etching, the resist pattern M2 and the protection pad pp are removed by ashing, and the anti-reflection film ar and the etching stopper s exposed in the via hole are removed by etching.

【0024】図5(D)がSiNの反射防止膜及びエッ
チングストッパ膜を除去した状態を示す。ビア孔VHの
周辺に上方に鋭く突出するエッチング残りxが形成され
ている。
FIG. 5D shows a state in which the antireflection film and the etching stopper film of SiN are removed. An etching residue x that protrudes sharply upward is formed around the via hole VH.

【0025】図5(E)に示すように、例えば厚さ約2
5nmのTaN膜をバリアメタル層bとしてスッパッタ
リングで成膜し、続いて厚さ約200nmのCu層をシ
ード層としてスッパッタリングで成膜する。
As shown in FIG. 5E, for example, a thickness of about 2
A 5 nm TaN film is formed as a barrier metal layer b by sputtering, and subsequently, a Cu layer having a thickness of about 200 nm is formed as a seed layer by sputtering.

【0026】次に、シード層上に、Cuメッキ層を厚さ
約1300nm成膜し、Cuの主配線層を形成する。こ
のメッキ工程において、エッチング残りxの周辺にボイ
ドvdが形成されることがある。ボイドvdが形成され
ると、下地の導電性領域Lから配線への接続抵抗が増加
してしまう。
Next, a Cu plating layer having a thickness of about 1300 nm is formed on the seed layer to form a Cu main wiring layer. In this plating step, a void vd may be formed around the remaining etching x. When the void vd is formed, the connection resistance from the underlying conductive region L to the wiring increases.

【0027】図5(F)に示すように、層間絶縁膜d上
面上に堆積した主配線層w、バリアメタル層bを化学機
械研磨(CMP)で除去する。このようにして、デュア
ルダマシン配線が形成される。ボイドvdが形成される
と、導電性領域Lから配線パターンへの抵抗が増大して
しまう。
As shown in FIG. 5F, the main wiring layer w and the barrier metal layer b deposited on the upper surface of the interlayer insulating film d are removed by chemical mechanical polishing (CMP). Thus, a dual damascene wiring is formed. When the void vd is formed, the resistance from the conductive region L to the wiring pattern increases.

【0028】ボイド発生を防止するため、有機材料の保
護詰物の高さを制御してビア孔肩部のエッチングよりも
低くなるようにすると、配線溝エッチング時に有機材料
の保護詰物が消滅し、ビア孔低部のエッチングストッパ
膜がエッチングされ、下地の導電性領域L表面に悪影響
を与えることがある。
If the height of the protective padding of the organic material is controlled to be lower than the etching of the shoulder of the via hole in order to prevent the occurrence of voids, the protective padding of the organic material disappears during the etching of the wiring groove, and the via hole is removed. The etching stopper film in the lower part of the hole is etched, which may adversely affect the surface of the underlying conductive region L.

【0029】多層配線では、上層配線ほど配線ルールは
緩くなる。しかし、上層配線ほど配線幅、厚さ(高さ)
は大きくなる。つまり深い配線溝形成が必要となる。深
い配線溝をエッチングするほどビア孔肩部のエッチング
量も多くなる。一方、長いエッチング時間に耐えるた
め、有機材料の保護詰物の高さも高くする必要がある。
従って、有機材料の保護詰物の高さとビア孔内部のエッ
チング残りの発生はトレードオフの関係となり、上層配
線程難しくなる。
In the case of multi-layer wiring, the wiring rule becomes looser in the upper layer wiring. However, the wiring width and thickness (height) of the upper layer wiring
Becomes larger. That is, it is necessary to form a deep wiring groove. As the deeper wiring groove is etched, the etching amount at the via hole shoulder increases. On the other hand, in order to withstand a long etching time, the height of the protective padding of the organic material also needs to be increased.
Therefore, there is a trade-off relationship between the height of the organic material's protective padding and the generation of the etching residue inside the via hole, and it becomes more difficult for the upper layer wiring.

【0030】[0030]

【発明が解決しようとする課題】エッチングストッパ膜
を用いず、先ビア方式のデュアルダマシン配線を形成す
る場合、ビア孔下部を保護し、かつ異常エッチングを防
止することが望まれる。
In the case of forming a dual damascene wiring of a via-via type without using an etching stopper film, it is desired to protect the lower portion of the via hole and prevent abnormal etching.

【0031】本発明の目的は、配線溝エッチングのため
にエッチングストッパ膜を用いることなく、ビア孔周辺
にエッチング残りを生じることなく、下地の導電性領域
に悪影響を与えることの無い半導体装置の製造方法を提
供することである。
An object of the present invention is to manufacture a semiconductor device which does not use an etching stopper film for etching a wiring groove, causes no etching residue around a via hole, and does not adversely affect the underlying conductive region. Is to provide a way.

【0032】本発明の他の目的は、上述の製造方法によ
り製造するのに適した構造を有する半導体装置を提供す
ることである。
Another object of the present invention is to provide a semiconductor device having a structure suitable for being manufactured by the above-described manufacturing method.

【0033】[0033]

【課題を解決するための手段】本発明の一観点によれ
ば、(a)半導体基板を含み、表面に導電性領域を有す
る下地の上に、エッチングストッパ膜と層間絶縁膜とを
堆積する工程と、(b)前記層間絶縁膜、エッチングス
トッパ膜を貫通し、前記導電性領域に達するビア孔を形
成する工程と、(c)前記ビア孔底面上に第1バリアメ
タル層を形成する工程と、(d)前記層間絶縁膜に、平
面視上前記ビア孔と重複する配線溝を形成する工程と、
(e)前記配線溝、前記ビア孔を埋め込んで第2バリア
メタル層、主配線層を含むデュアルダマシン配線を形成
する工程とを含む半導体装置の製造方法が提供される。
According to one aspect of the present invention, (a) a step of depositing an etching stopper film and an interlayer insulating film on a base including a semiconductor substrate and having a conductive region on the surface. (B) forming a via hole penetrating the interlayer insulating film and the etching stopper film and reaching the conductive region; and (c) forming a first barrier metal layer on the bottom surface of the via hole. (D) forming a wiring groove in the interlayer insulating film that overlaps with the via hole in plan view;
(E) forming a dual damascene wiring including a second barrier metal layer and a main wiring layer by filling the wiring groove and the via hole.

【0034】本発明の他の観点によれば、半導体基板を
含み、表面に導電性領域を有する下地と、前記下地上に
形成されたエッチングストッパ膜と層間絶縁膜を含む積
層と、前記積層表面から前記層間絶縁膜中間深さまで形
成された配線溝と、前記配線溝底面から前記層間絶縁膜
の残りの厚さ及び前記エッチングストッパ膜を貫通し、
前記導電性領域に達するビア孔と、前記ビア孔底面上に
形成された第1バリアメタル層と、前記第1バリアメタ
ル層上方の前記ビア孔および前記配線溝を埋め込んで形
成され、第2バリアメタル層と主配線領域とを含むデュ
アルダマシン配線とを有する半導体装置が提供される。
According to another aspect of the present invention, a base including a semiconductor substrate and having a conductive region on the surface, a stack including an etching stopper film and an interlayer insulating film formed on the base, A wiring groove formed up to the intermediate depth of the interlayer insulating film, and penetrates the remaining thickness of the interlayer insulating film and the etching stopper film from the bottom of the wiring groove,
A second barrier formed by burying the via hole reaching the conductive region, a first barrier metal layer formed on the bottom surface of the via hole, and the via hole and the wiring groove above the first barrier metal layer; A semiconductor device having a dual damascene wiring including a metal layer and a main wiring region is provided.

【0035】ビア孔底面上に第1バリアメタル層を形成
した後、配線溝を形成するため、ビア孔に有機材料の保
護詰物を装填する必要がなくなる。有機材料の保護詰物
を用いず、第1バリアメタル層によってエッチングスト
ッパ膜を保護するため、ビア孔周辺の層間絶縁膜中にエ
ッチング残りは発生せず、エッチング異常を防止でき
る。
After the first barrier metal layer is formed on the bottom surface of the via hole, the wiring groove is formed, so that there is no need to load a protective padding of an organic material into the via hole. Since the etching stopper film is protected by the first barrier metal layer without using a protective padding of an organic material, no etching residue is generated in the interlayer insulating film around the via hole, and abnormal etching can be prevented.

【0036】配線溝のエッチングを制御するためにエッ
チングストッパ膜を用いないため、配線溝エッチングと
共に上部の肩が削られて間口が広くなる。すなわち、ビ
ア孔の断面形状はワイングラス状になる。このため、ス
トレスマイグレーションに対して耐性の高い配線構造が
得られる。
Since the etching stopper film is not used to control the etching of the wiring groove, the upper shoulder is cut off with the etching of the wiring groove, and the frontage becomes wide. That is, the cross-sectional shape of the via hole becomes a wine glass shape. Therefore, a wiring structure having high resistance to stress migration can be obtained.

【0037】[0037]

【発明の実施の形態】以下、図面を参照して本発明の実
施例による半導体装置及びその製造方法を説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A semiconductor device according to an embodiment of the present invention and a method for manufacturing the same will be described below with reference to the drawings.

【0038】図1(A)に示すように、表面に導電性領
域Lを有する下地Uを準備する。下地Uは、半導体基板
を含み、半導体基板中には複数のトラジスタQが形成さ
れている。なお、導電性領域LがトランジスタQの電極
領域であってもよい。
As shown in FIG. 1A, a base U having a conductive region L on the surface is prepared. The base U includes a semiconductor substrate, and a plurality of transistors Q are formed in the semiconductor substrate. Note that the conductive region L may be an electrode region of the transistor Q.

【0039】下地Uの導電性領域Lを覆って、エッチン
グストッパ膜sを形成し、その上に層間絶縁膜dを形成
する。層間絶縁膜は、例えば酸化シリコン、弗素含有シ
リケートガラス(FSG)、水素シルセスキオキサン
(HSQ)から形成した酸化シリコン、テトラエトキシ
シリケート(TEOS)から形成した酸化シリコン等で
形成できる。エッチングストッパ膜sは、層間絶縁膜d
のエッチングに対し、エッチングストッパの機能を有す
る膜であり、例えば窒化シリコン、シリコンカーバイト
等で形成される。
An etching stopper film s is formed so as to cover the conductive region L of the base U, and an interlayer insulating film d is formed thereon. The interlayer insulating film can be formed of, for example, silicon oxide, silicon oxide formed from fluorine-containing silicate glass (FSG), hydrogen silsesquioxane (HSQ), silicon oxide formed from tetraethoxysilicate (TEOS), or the like. The etching stopper film s is an interlayer insulating film d
Is a film having a function of an etching stopper for the etching of the substrate, and is formed of, for example, silicon nitride, silicon carbide, or the like.

【0040】層間絶縁膜dの上に、ビア孔を形成するた
めの開口を有するマスクM1を作成する。マスクM1
は、例えばレジストパターンで形成される。マスクM1
の開口は、下地Uの導電性領域Lと位置合わせされてい
る。
A mask M1 having an opening for forming a via hole is formed on the interlayer insulating film d. Mask M1
Is formed, for example, with a resist pattern. Mask M1
Are aligned with the conductive region L of the base U.

【0041】マスクM1をエッチングマスクとし、層間
絶縁膜dのエッチングを行なう。エッチングストッパs
を露出させてエッチングを停止し、マスクM1をアッシ
ング等により除去する。その後、形成したビア孔VH底
面に露出したエッチングストッパ膜sを除去する。
Using the mask M1 as an etching mask, the interlayer insulating film d is etched. Etching stoppers
Is exposed, the etching is stopped, and the mask M1 is removed by ashing or the like. After that, the etching stopper film s exposed on the bottom surface of the formed via hole VH is removed.

【0042】図1(B)に示すように、このようにして
形成したビア孔VHの底面上にTiN、TaN等の第1
バリアメタルb1を形成する。第1バリアメタル層b1
は、層間絶縁膜dのエッチングに対し、著しく低いエッ
チングレート比を有する材料で形成される。
As shown in FIG. 1B, a first layer of TiN, TaN or the like is formed on the bottom surface of the via hole VH thus formed.
The barrier metal b1 is formed. First barrier metal layer b1
Is formed of a material having an extremely low etching rate ratio with respect to the etching of the interlayer insulating film d.

【0043】図1(C)に示すように、層間絶縁膜dの
表面上に配線溝に対応する開口を有するマスクM2を作
成する。マスクM2の開口は、ビア孔を完全に含むこと
が望ましく、少なくともビア孔と重複することが必要で
ある。マスクM2は、第1バリアメタル層と同一材料で
形成しても、レジスト等で形成しても良い。マスクM2
をエッチングマスクとし、層間絶縁膜dのエッチングを
行なう。層間絶縁膜dの所定厚さをエッチングし、配線
溝WTを形成する。この時、ビア孔VHの肩部のエッチ
ングも進行し、ビア孔上部では断面形状が上方に向うに
従って次第に広がるワイングラス型ビア孔となる。
As shown in FIG. 1C, a mask M2 having an opening corresponding to the wiring groove is formed on the surface of the interlayer insulating film d. The opening of the mask M2 desirably completely includes the via hole, and needs to overlap at least the via hole. The mask M2 may be formed of the same material as the first barrier metal layer, or may be formed of a resist or the like. Mask M2
Is used as an etching mask to etch the interlayer insulating film d. A predetermined thickness of the interlayer insulating film d is etched to form a wiring groove WT. At this time, the etching of the shoulder portion of the via hole VH also progresses, and the upper portion of the via hole becomes a wine glass type via hole whose cross-sectional shape gradually expands upward.

【0044】配線溝のエッチングにおいて、ビア孔底面
は第1バリアメタル層b1により覆われているため、下
地Uの導電性領域Lがエッチングの影響を受けることが
防止される。
In the etching of the wiring groove, since the bottom surface of the via hole is covered with the first barrier metal layer b1, the conductive region L of the base U is prevented from being affected by the etching.

【0045】図1(D)に示すように、第1バリアメタ
ル層b1を残したまま、ビア孔VH、配線溝WT内に第
2バリアメタル層b2、主配線層wの形成を行なう。例
えば、TaN、TiN等のバリアメタル層b2をスパッ
タリングで形成し、続いてCuのシード層をスパッタリ
ングで形成し、Cuのメッキを行なって主配線層wを形
成する。
As shown in FIG. 1D, the second barrier metal layer b2 and the main wiring layer w are formed in the via hole VH and the wiring groove WT while leaving the first barrier metal layer b1. For example, a barrier metal layer b2 of TaN, TiN, or the like is formed by sputtering, a Cu seed layer is formed by sputtering, and Cu is plated to form a main wiring layer w.

【0046】その後、層間絶縁膜d上に堆積した不要の
バリアメタル層、主配線層をCMP、エッチバック等よ
り除去する。なお、マスクM2は、配線溝のエッチング
後アッシング、CMP等により除去する。
Thereafter, unnecessary barrier metal layers and main wiring layers deposited on the interlayer insulating film d are removed by CMP, etch back, or the like. The mask M2 is removed by ashing, CMP or the like after the etching of the wiring groove.

【0047】以上説明した工程によれば、図1(C)に
示す配線用エッチング時、ビア孔VH底面は第1バリア
メタル層b1により覆われているため、下地Uの導電性
領域L表面を保護するために、エッチングストッパ膜、
有機材料の保護詰物等を設ける必要がなくなる。有機材
料の保護詰物を用いないため、エッチング異常(エッチ
ング残り)を生じることも無い。
According to the above-described process, the bottom surface of the via hole VH is covered with the first barrier metal layer b1 during the wiring etching shown in FIG. Etching stopper film to protect,
There is no need to provide a protective padding of an organic material. Since no protective padding of an organic material is used, there is no occurrence of abnormal etching (residual etching).

【0048】図2(A)〜(H)は、より具体的な半導
体装置の製造方法を示す断面図である。銅配線Lを表面
に有する下地上に、厚さ約50nmの窒化シリコン膜で
形成されたエッチングストッパ膜sが形成されている。
エッチングストッパ膜sの上に、厚さ約1500nmの
FSG膜で形成された層間絶縁膜dを成膜する。層間絶
縁膜d表面に厚さ約50nmの窒化シリコン膜で形成さ
れた反射防止膜arを形成する。反射防止膜ar上に、
レジスト膜を塗布、露光、現像し、ビア孔に対応する開
口を有するレジストパターンM1を作成する。
FIGS. 2A to 2H are cross-sectional views showing a more specific method for manufacturing a semiconductor device. An etching stopper film s made of a silicon nitride film having a thickness of about 50 nm is formed on a base having a copper wiring L on the surface.
On the etching stopper film s, an interlayer insulating film d made of an FSG film having a thickness of about 1500 nm is formed. An anti-reflection film ar made of a silicon nitride film having a thickness of about 50 nm is formed on the surface of the interlayer insulating film d. On the anti-reflection film ar,
A resist film is applied, exposed, and developed to form a resist pattern M1 having an opening corresponding to the via hole.

【0049】レジストパターンM1をエッチングマスク
とし、CHF3+O2を主エッチングガスとするエッチン
グを行ない、窒化シリコンの反射防止膜arをエッチン
グする。
Using the resist pattern M1 as an etching mask, etching is performed using CHF 3 + O 2 as a main etching gas to etch the silicon nitride antireflection film ar.

【0050】次に、C48を主エッチングガスとして、
層間絶縁膜dのエッチングを行なって、ビア孔VHを形
成する。エッチングストッパ膜sが露出した段階でエッ
チングを停止する。エッチングストッパ膜でエッチング
を自動停止させるには[C]/[F]比の大きいCxy
ガスを用いるのが好ましい。例えばC48、C58ガス
等を用いる。レジストマスクM1をアッシングで除去す
る。このアッシングにおいて、銅配線L表面はエッチン
グストッパ膜sで覆われているため、酸化を防止され
る。
Next, using C 4 F 8 as a main etching gas,
The interlayer insulating film d is etched to form a via hole VH. The etching is stopped when the etching stopper film s is exposed. To automatically stop etching with an etching stopper film, C x F y having a large [C] / [F] ratio
Preferably, a gas is used. For example, C 4 F 8 or C 5 F 8 gas is used. The resist mask M1 is removed by ashing. In this ashing, oxidation is prevented because the surface of the copper wiring L is covered with the etching stopper film s.

【0051】図2(B)に示すように、CHF3+O2
エッチングガスとし、窒化シリコン膜のエッチングを行
なう。層間絶縁膜d表面上の反射防止膜ar及びビア孔
VH底面に露出したエッチングストッパsが除去され
る。
As shown in FIG. 2B, etching of the silicon nitride film is performed using CHF 3 + O 2 as an etching gas. The anti-reflection film ar on the surface of the interlayer insulating film d and the etching stopper s exposed on the bottom surface of the via hole VH are removed.

【0052】図2(C)に示すように、上方より指向性
を高めたイオン化スパッタリング(イオン化物理気相堆
積iPVD)により、TiN層から形成された第1バリ
アメタル層b1を層間絶縁膜d表面上で厚さ約200n
m成膜する。なお、層間絶縁膜d表面上に厚さ約200
nmのTiN層が成膜された時、ビア孔底面には厚さ約
60nmの第1バリアメタル層b1が成膜される。な
お、第1バリアメタル層をTi/TiNの積層で形成し
ても良い。
As shown in FIG. 2C, the first barrier metal layer b1 formed from a TiN layer is formed on the surface of the interlayer insulating film d by ionization sputtering (ionized physical vapor deposition iPVD) with a higher directivity from above. About 200n thick
m is formed. Note that a thickness of about 200 is formed on the surface of the interlayer insulating film d.
When a TiN layer having a thickness of 10 nm is formed, a first barrier metal layer b1 having a thickness of about 60 nm is formed on the bottom surface of the via hole. Note that the first barrier metal layer may be formed by stacking Ti / TiN.

【0053】第1バリアメタル層b1を成膜したビア孔
VH内に有機材料の保護詰物ppを装填する。
The protective filling pp of the organic material is loaded in the via hole VH on which the first barrier metal layer b1 is formed.

【0054】図2(D)に示すように、層間絶縁膜d上
に形成した第1バリアメタル層b1の表面上に、レジス
ト膜を塗布、露光、現像し、配線溝をエッチングするた
めのレジストパターンM2を作成する。
As shown in FIG. 2D, a resist film is applied on the surface of the first barrier metal layer b1 formed on the interlayer insulating film d, exposed and developed, and a resist for etching the wiring groove is formed. Create the pattern M2.

【0055】図2(E)に示すように、レジストパター
ンM2をエッチングマスクとし、Cl2+BCl3を主エ
ッチングガスとしたエッチングを行ない、層間絶縁膜d
表面上の第1バリアメタル層b1のエッチングを行な
う。なお、有機材料の保護詰物ppは、第1バリアメタ
ル層よりもエッチングレートが速く、ビア孔VH上部の
保護詰物はエッチングにより消失する。しかしながら、
保護詰物pp下に配置された第1バリアメタル層b1は
エッチングされず残る。
As shown in FIG. 2E, etching is performed using the resist pattern M2 as an etching mask and Cl 2 + BCl 3 as a main etching gas to form an interlayer insulating film d.
The first barrier metal layer b1 on the surface is etched. The protective filling pp of the organic material has a higher etching rate than the first barrier metal layer, and the protective filling above the via hole VH disappears by etching. However,
The first barrier metal layer b1 disposed under the protection padding pp remains without being etched.

【0056】このエッチングにおいては、保護詰物pp
のエッチングレートが速いため、エッチング異常は発生
し難い。その後レジストパターンM1及び保護詰物pp
をアッシング等により除去する。層間絶縁膜d上に第1
バリアメタル層b1で形成されたハードマスクが残る。
In this etching, the protective filler pp
Since the etching rate is high, abnormal etching hardly occurs. After that, the resist pattern M1 and the protective padding pp
Is removed by ashing or the like. First on the interlayer insulating film d
The hard mask formed of the barrier metal layer b1 remains.

【0057】図2(F)に示すように、層間絶縁膜d表
面上に形成された第1バリアメタル層b1のハードマス
クをエッチングマスクとし、層間絶縁膜dを深さ約80
0nmエッチングする。このエッチングにおいて、ビア
孔VHの肩部のエッチングも進行し、ビア孔VH上部は
断面がワイングラス形状となる。又、ビア孔VH底面に
は第1バリアメタル層b1が形成されており、下地の銅
配線Lがエッチングの悪影響を受けることが防止され
る。このようにして、ビア孔VHの上部に配線溝WTが
形成される。
As shown in FIG. 2F, the hard mask of the first barrier metal layer b1 formed on the surface of the interlayer insulating film d is used as an etching mask, and the interlayer insulating film d has a depth of about 80.
Etch 0 nm. In this etching, the etching of the shoulder portion of the via hole VH also proceeds, and the cross section of the upper portion of the via hole VH becomes a wine glass shape. Further, the first barrier metal layer b1 is formed on the bottom surface of the via hole VH, so that the underlying copper wiring L is prevented from being adversely affected by the etching. Thus, the wiring groove WT is formed above the via hole VH.

【0058】図2(G)に示すように、配線溝WT及び
ビア孔VH表面に指向性を弱めたイオン化スパッタリン
グにより、例えば厚さ約25nmのTiN層で形成され
た第2バリアメタル層b2を堆積し、続いて厚さ約25
0nmのCu層で形成されたシード層を形成する。指向
性を弱めたスパッタリングにより、ビア孔VHおよび配
線溝WTの側壁上にも堆積が生じる。シード層上に厚さ
約1300nmのCu層をメッキにより成膜し、主配線
層wを形成する。
As shown in FIG. 2G, a second barrier metal layer b2 formed of, for example, a TiN layer having a thickness of about 25 nm is formed on the surfaces of the wiring trenches WT and the via holes VH by ionization sputtering with reduced directivity. Deposited, followed by a thickness of about 25
A seed layer made of a 0 nm Cu layer is formed. Deposition also occurs on the sidewalls of the via holes VH and the wiring trenches WT due to the sputtering with reduced directivity. A Cu layer having a thickness of about 1300 nm is formed on the seed layer by plating to form a main wiring layer w.

【0059】図2(H)に示すように、層間絶縁膜d上
面上に堆積したCu層w、バリアメタル層b2、b1を
化学機械研磨(CMP)により除去する。
As shown in FIG. 2H, the Cu layer w and the barrier metal layers b2 and b1 deposited on the upper surface of the interlayer insulating film d are removed by chemical mechanical polishing (CMP).

【0060】なお、バリアメタルとしてTiNを用いる
場合を説明したが、バリアメタルはチタン、チタン化合
物、タンタル、タンタル化合物からなる群から選択する
ことができる。主配線としてCuを用いる場合を説明し
たが、主配線の材料として金、銀、白金、銅、アルミニ
ウム、アルミニウム合金、タングステン、タングステン
化合物、モリブデン、モリブデン化合物、チタン、チタ
ン化合物、タンタル、タンタル化合物からなる群から選
択した材料を用いることができる。
Although the case where TiN is used as the barrier metal has been described, the barrier metal can be selected from the group consisting of titanium, a titanium compound, tantalum, and a tantalum compound. The case where Cu is used as the main wiring has been described. Materials selected from the group can be used.

【0061】層間絶縁膜は、プラズマSiO2、燐含有
シリケートガラス(PSG)、ボロンー燐含有シリケー
トガラス(BPSG)、弗素含有シリケートガラス(F
SG)、水素シルセキオキサン(HSQ)、テトラエト
キシシリケート(TEOS)からなら群から選択するこ
とができる。又、バリアメタル層、シードメタル層の堆
積は、イオン化物理気相堆積、ロングスロースパッタリ
ング、コリメータースパッタリング等により行なうこと
ができる。イオン化物理堆積とロングスロースパッタリ
ングを組み合わせることも有効である。
The interlayer insulating film is made of plasma SiO 2 , phosphorus-containing silicate glass (PSG), boron-phosphorus-containing silicate glass (BPSG), or fluorine-containing silicate glass (F
SG), hydrogen silsequioxane (HSQ), and tetraethoxysilicate (TEOS). The barrier metal layer and the seed metal layer can be deposited by ionized physical vapor deposition, long throw sputtering, collimator sputtering, or the like. It is also effective to combine ionized physical deposition with long throw sputtering.

【0062】図3(A)〜(F)は、本発明の他の実施
例による半導体装置の製造方法を示す断面図である。
FIGS. 3A to 3F are cross-sectional views showing a method of manufacturing a semiconductor device according to another embodiment of the present invention.

【0063】図3(A)は、図2(C)と同様の状態を
示す。すなわち、層間絶縁膜d、エッチングストッパ膜
sにはビア孔VHが形成され、ビアVH底面及び層間絶
縁膜d上面上にTiNに代え、TaNの第1バリアメタ
ル層b1が成膜されている。又、ビア孔VH内には、有
機材料の保護詰物ppが装填されている。
FIG. 3A shows a state similar to FIG. 2C. That is, a via hole VH is formed in the interlayer insulating film d and the etching stopper film s, and a first barrier metal layer b1 of TaN is formed on the bottom surface of the via VH and on the upper surface of the interlayer insulating film d instead of TiN. Further, a protective filling pp of an organic material is loaded in the via hole VH.

【0064】図3(B)に示すように、層間絶縁膜d表
面上の第1バリアメタル層b1をCMPにより除去す
る。その後、ビア孔VH内の保護詰物ppもアッシング
等により除去する。なお、本実施例において保護詰物p
pは、CMPにおいてビア孔VH内面を保護するもので
あるが、必須の構成要件ではない。
As shown in FIG. 3B, the first barrier metal layer b1 on the surface of the interlayer insulating film d is removed by CMP. Thereafter, the protective filling pp in the via hole VH is also removed by ashing or the like. In this embodiment, the protective filling p
p protects the inner surface of the via hole VH in CMP, but is not an essential component.

【0065】図3(C)に示すように、層間絶縁膜d上
面上に配線溝の開口を有するレジストパターンM2を作
成する。
As shown in FIG. 3C, a resist pattern M2 having an opening of a wiring groove is formed on the upper surface of the interlayer insulating film d.

【0066】レジストパターンM2をエッチングマスク
とし、C48を主エッチングガスとし、層間絶縁膜dを
深さ約800nmエッチングする。このエッチングにお
いて、ビア孔VHの肩部のエッチングも進行し、ビア孔
VHはワイングラス形状となる。
Using the resist pattern M2 as an etching mask and C 4 F 8 as a main etching gas, the interlayer insulating film d is etched to a depth of about 800 nm. In this etching, the etching of the shoulder of the via hole VH also proceeds, and the via hole VH becomes a wine glass shape.

【0067】図3(D)に示すように、エッチング終了
後レジストパターンM2をアッシングにより除去する。
この時、下地銅配線Lの表面は第1バリアメタル層b1
に覆われており、酸化を防止される。
As shown in FIG. 3D, after the etching is completed, the resist pattern M2 is removed by ashing.
At this time, the surface of the underlying copper wiring L is the first barrier metal layer b1
To prevent oxidation.

【0068】図3(E)に示すように、イオン化スパッ
タリングにより、厚さ約25nmのTaN層で形成され
た第2バリアメタル層b2、厚さ約250nmのCu層
で形成されたシード層を成膜する。続いて、厚さ約13
00nmのCu層をメッキで成膜する。このようにし
て、主配線層wが形成される。
As shown in FIG. 3E, a second barrier metal layer b2 formed of a TaN layer having a thickness of about 25 nm and a seed layer formed of a Cu layer having a thickness of about 250 nm were formed by ionization sputtering. Film. Subsequently, the thickness of about 13
A 00 nm Cu layer is formed by plating. Thus, the main wiring layer w is formed.

【0069】図3(F)に示すように、層間絶縁膜d上
面上に堆積した不要な主配線層w、バリアメタル層b2
をCMPにより除去する。
As shown in FIG. 3F, unnecessary main wiring layers w and barrier metal layers b2 deposited on the upper surface of the interlayer insulating film d.
Is removed by CMP.

【0070】本実施例においても、図3(C)に示す配
線溝エッチング工程において、ビア孔VH内部には保護
詰物を装填しておらず、ビア孔VH周辺にエッチング異
常を生じることがない。ビア孔底面は第1バリアメタル
層で保護されているため、下地配線に悪影響を与えるこ
とも防止される。
Also in this embodiment, in the wiring groove etching step shown in FIG. 3C, no protective padding is loaded inside the via hole VH, and no etching abnormality occurs around the via hole VH. Since the bottom surface of the via hole is protected by the first barrier metal layer, it does not adversely affect the underlying wiring.

【0071】ビア孔上部がワイングラス状に広がるた
め、配線層の堆積と、配線層に接する部分のビア導電体
の体積の比が小さくなり、ストレスマイグレーションが
緩和される。
Since the upper portion of the via hole spreads like a wine glass, the ratio of the volume of the via conductor in the portion in contact with the wiring layer to the portion in contact with the wiring layer is reduced, and stress migration is reduced.

【0072】以上説明した実施例においては、1つのデ
ュアルダマシン配線を形成した。実際の半導体装置にお
いては、多層の配線層を形成し、各配線層において複数
のデュアルダマシン構造を形成する。
In the embodiment described above, one dual damascene wiring is formed. In an actual semiconductor device, multiple wiring layers are formed, and a plurality of dual damascene structures are formed in each wiring layer.

【0073】図4は、半導体集積回路装置の構成例を示
す断面図である。シリコン基板10の表面には、シャロ
ートレンチアイソレーションにより素子分離領域STI
が形成され、活性領域が画定されている。図に示す構造
においては、1つの活性領域内にnチャネルMOSトラ
ンジスタn‐MOSが形成され、他の活性領域内にpチ
ャネルMOSトランジスタp‐MOSが形成されてい
る。
FIG. 4 is a sectional view showing a configuration example of a semiconductor integrated circuit device. An element isolation region STI is formed on the surface of the silicon substrate 10 by shallow trench isolation.
Are formed, and an active region is defined. In the structure shown in the figure, an n-channel MOS transistor n-MOS is formed in one active region, and a p-channel MOS transistor p-MOS is formed in another active region.

【0074】各トランジスタは、基板表面上に絶縁ゲー
ト電極構造を有し、ゲート電極の両側の基板内にn型又
はp型のソース/ドレイン領域11が形成されている。
これらのソース/ドレイン領域は、前述の実施例におけ
る導電性領域である。
Each transistor has an insulated gate electrode structure on the substrate surface, and n-type or p-type source / drain regions 11 are formed in the substrate on both sides of the gate electrode.
These source / drain regions are the conductive regions in the above embodiment.

【0075】シリコン基板10表面上に第1エッチスト
ッパ層12、第1層間絶縁膜15の積層が形成され、上
述の実施例同様、バリアメタル層18、19、主配線層
20のデュアルダマシン第1配線構造が形成されてい
る。これらのデュアルダマシン配線も、その上方に形成
される配線に対しては前述の実施例における導電性領域
となる。
A first etch stopper layer 12 and a first interlayer insulating film 15 are formed on the surface of the silicon substrate 10, and the dual damascene first barrier layers 18 and 19 and the main wiring layer 20 are formed in the same manner as in the above embodiment. A wiring structure is formed. These dual damascene wirings also serve as conductive regions in the above-described embodiment with respect to wirings formed thereabove.

【0076】図においては、両端の導電性領域11上に
それぞれ引き出し配線構造が形成され、中央の2つの導
電領域11上に相互を接続する他の配線構造が形成され
ている。すなわち、図に示す2つのMOSトランジスタ
は、コンプリメンタリMOS(CMOS)トランジスタ
を構成している。
In the figure, a lead-out wiring structure is formed on each of the conductive regions 11 at both ends, and another wiring structure for interconnecting the two is formed on the two central conductive regions 11. That is, the two MOS transistors shown in the figure constitute a complementary MOS (CMOS) transistor.

【0077】以上説明した第1配線層の上に、第2エッ
チストッパ層22、第2層間絶縁膜25の積層が形成さ
れ、この積層内に、第1配線層同様、バリアメタル層2
8、29、主配線層30のデュアルダマシン第2配線構
造が形成されている。
A second etch stopper layer 22 and a second interlayer insulating film 25 are formed on the first wiring layer described above. In this stack, the barrier metal layer 2 is formed as in the first wiring layer.
8, 29, and a dual damascene second wiring structure of the main wiring layer 30 is formed.

【0078】さらに上層には、第3エッチストッパ層3
2、第3層間絶縁膜35が積層され、この積層内にバリ
アメタル層38、39、主配線層40のデュアルダマシ
ン第3配線構造が形成されている。
Further, the third etch stopper layer 3
Second and third interlayer insulating films 35 are stacked, and a dual damascene third wiring structure including barrier metal layers 38 and 39 and a main wiring layer 40 is formed in the stacked layers.

【0079】さらに上層には、第4エッチストッパ層4
2、第4層間絶縁膜45の積層が形成され、この積層内
にバリアメタル層48、49、主配線層50のデュアル
ダマシン第4配線構造が形成されている。このデュアル
ダマシン配線構造の表面を覆って、保護膜52が形成さ
れている。
Further, a fourth etch stopper layer 4
A stack of the second and fourth interlayer insulating films 45 is formed, and a dual damascene fourth wiring structure of the barrier metal layers 48 and 49 and the main wiring layer 50 is formed in the stack. A protective film 52 is formed to cover the surface of the dual damascene wiring structure.

【0080】これらのデュアルダマシン配線も、前述の
実施例のデュアルダマシン配線に相当する。このよう
に、多層配線構造をデュアルダマシン配線構造を用いて
形成することにより、高集積度で付随容量が小さく、配
線抵抗の小さい配線構造を形成することができる。
These dual damascene wirings also correspond to the dual damascene wirings of the above embodiment. In this manner, by forming the multilayer wiring structure using the dual damascene wiring structure, it is possible to form a wiring structure with a high degree of integration, small accompanying capacitance, and low wiring resistance.

【0081】以上実施例に沿って本発明を説明したが、
本発明はこれらに制限されるものではない。銅配線を用
いた高速動作可能な半導体集積回路装置を説明したが、
デュアルダマシン配線はアルミニウム配線を高密度に形
成するのにも有効である。高速動作の要求が緩和される
場合、配線材料、層間絶縁膜材料等は、より広い範囲か
ら選択できる。
The present invention has been described in connection with the preferred embodiments.
The present invention is not limited to these. A semiconductor integrated circuit device that can operate at high speed using copper wiring has been described.
Dual damascene wiring is also effective for forming aluminum wiring at high density. When the demand for high-speed operation is relaxed, the wiring material, the interlayer insulating film material, and the like can be selected from a wider range.

【0082】例えば層間絶縁膜は、シリコン酸化膜、弗
素、燐、ボロン等を添加した添加物含有シリコン酸化
膜、水素シルセスキオキサン(HSQ),テトラエトキ
シシリケート(TEOS)など原料の異なるシリコン酸
化膜、シリコン窒化膜、シリコン酸化窒化膜、シロキサ
ン結合を有する無機化合物膜、有機化合物膜等から選択
する事ができる。エッチストップ層として、シリコン窒
化膜の他、シリコン酸化窒化膜、シリコンカーバイド
(SiC,SiC:H)等を用いてもよい。
For example, the interlayer insulating film may be made of a silicon oxide film, a silicon oxide film containing an additive to which fluorine, phosphorus, boron or the like is added, or a silicon oxide film of a different material such as hydrogen silsesquioxane (HSQ) or tetraethoxysilicate (TEOS). It can be selected from a film, a silicon nitride film, a silicon oxynitride film, an inorganic compound film having a siloxane bond, an organic compound film, and the like. In addition to the silicon nitride film, a silicon oxynitride film, silicon carbide (SiC, SiC: H), or the like may be used as the etch stop layer.

【0083】デュアルダマシン配線は、金属または金属
化合物で形成できる。金属としては、金、銀、白金、
銅、アルミニウム、タングステン、チタン、タンタル、
モリブデン等、またはこれらの合金を用いることができ
る。金属化合物としては、チタンナイトライド、タンタ
ルナイトライド、タングステンナイトライド、またはモ
リブデンナイトライド等を用いることができる。
The dual damascene wiring can be formed of a metal or a metal compound. Metals include gold, silver, platinum,
Copper, aluminum, tungsten, titanium, tantalum,
Molybdenum or the like, or an alloy thereof can be used. As the metal compound, titanium nitride, tantalum nitride, tungsten nitride, molybdenum nitride, or the like can be used.

【0084】その他、種々の変更、改良、組み合わせが
可能なことは当業者に自明であろう。
It will be apparent to those skilled in the art that various other modifications, improvements, and combinations are possible.

【0085】[0085]

【発明の効果】以上説明したように、本発明によれば、
デュアルダマシン配線構造を有する半導体集積回路装置
において、下地配線層の表面を酸化させず、良好なビア
孔形状を有するデュアルダマシン配線構造を作成するこ
とができる。
As described above, according to the present invention,
In a semiconductor integrated circuit device having a dual damascene wiring structure, a dual damascene wiring structure having a good via hole shape can be formed without oxidizing the surface of the underlying wiring layer.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の実施例による半導体集積回路装置の
製造方法を概略的に示す断面図である。
FIG. 1 is a sectional view schematically showing a method of manufacturing a semiconductor integrated circuit device according to an embodiment of the present invention.

【図2】 本発明の他の実施例による半導体集積回路装
置の製造を説明する断面図である。
FIG. 2 is a sectional view illustrating the manufacture of a semiconductor integrated circuit device according to another embodiment of the present invention.

【図3】 本発明の他の実施例による半導体集積回路装
置の製造を説明する断面図である。
FIG. 3 is a cross-sectional view illustrating the manufacture of a semiconductor integrated circuit device according to another embodiment of the present invention.

【図4】 半導体集積回路装置の構成を示す断面図であ
る。
FIG. 4 is a cross-sectional view illustrating a configuration of a semiconductor integrated circuit device.

【図5】 従来の技術によるデュアルダマシンプロセス
の例を示す断面図である。
FIG. 5 is a cross-sectional view illustrating an example of a dual damascene process according to a conventional technique.

【符号の説明】[Explanation of symbols]

U 下地 L 導電性領域 s エッチングストッパ膜 d 層間絶縁膜 ar 反射防止膜 M マスク VH ビア孔 WT 配線溝 b バリアメタル層 w 主配線層 U base L conductive region s etching stopper film d interlayer insulating film ar antireflection film M mask VH via hole WT wiring groove b barrier metal layer w main wiring layer

フロントページの続き Fターム(参考) 4M104 BB04 BB30 BB32 CC01 DD08 DD16 DD17 DD37 DD51 EE14 EE17 FF22 HH20 5F033 HH07 HH08 HH09 HH11 HH13 HH14 HH18 HH19 HH20 HH21 HH32 HH33 JJ01 JJ07 JJ08 JJ09 JJ11 JJ13 JJ14 JJ18 JJ19 JJ20 JJ21 JJ32 JJ33 KK01 KK11 MM02 MM12 MM13 NN03 NN06 NN07 PP15 PP21 PP22 PP26 QQ04 QQ09 QQ10 QQ12 QQ21 QQ25 QQ35 QQ37 QQ48 RR01 RR04 RR06 RR11 RR14 RR15 XX00 Continued on the front page F-term (reference) 4M104 BB04 BB30 BB32 CC01 DD08 DD16 DD17 DD37 DD51 EE14 EE17 FF22 HH20 5F033 HH07 HH08 HH09 HH11 HH13 HH14 HH18 HH19 HH20 HH21 HH32 HH33 JJ01 JJ19 JJ13 JJ01 JJ19 JJ19 JJ18 JJ19 JJ18 JJ19 JJ19 JJ19 JJ18 JJ18 JJ18 JJ18 JJ01 JJ18 JJ01 JJ18 JJ18 JJ18 JJ18 JJ18 JJ01 JJ18 JJ18 JJ18 JJ19 JJ19 JJ19 JJ18 JJ18 JJ18 JJ18 JJ18 JJ01 JJ19 JJ18 JJ19 JJ18 JJ18 JJ18 JJ18 JJ18 JJ18 KK11 MM02 MM12 MM13 NN03 NN06 NN07 PP15 PP21 PP22 PP26 QQ04 QQ09 QQ10 QQ12 QQ21 QQ25 QQ35 QQ37 QQ48 RR01 RR04 RR06 RR11 RR14 RR15 XX00

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】 (a)半導体基板を含み、表面に導電性
領域を有する下地の上に、エッチングストッパ膜と層間
絶縁膜とを堆積する工程と、 (b)前記層間絶縁膜、エッチングストッパ膜を貫通
し、前記導電性領域に達するビア孔を形成する工程と、 (c)前記ビア孔底面上に第1バリアメタル層を形成す
る工程と、 (d)前記層間絶縁膜に、平面視上前記ビア孔と重複す
る配線溝を形成する工程と、 (e)前記配線溝、前記ビア孔を埋め込んで第2バリア
メタル層、主配線層を含むデュアルダマシン配線を形成
する工程とを含む半導体装置の製造方法。
(A) depositing an etching stopper film and an interlayer insulating film on a base including a semiconductor substrate and having a conductive region on the surface; and (b) a step of depositing the interlayer insulating film and the etching stopper film. (C) forming a first barrier metal layer on the bottom surface of the via hole; and (d) forming a first barrier metal layer on the bottom surface of the via hole. Forming a wiring groove overlapping the via hole; and (e) forming a dual damascene wiring including a second barrier metal layer and a main wiring layer by filling the wiring groove and the via hole. Manufacturing method.
【請求項2】 前記工程(b)が、前記層間絶縁膜上に
第1レジストパターンを形成し、該レジストパターンを
エッチングマスクとして該層間絶縁膜をエッチングし、
該レジストパターンを除去した後、前記エッチングスト
ッパ膜をエッチングし、 前記工程(c)が前記ビア孔底面上と前記層間絶縁膜上
面上に前記第1バリアメタル層を形成し、 前記工程(d)が、前記ビア孔内の第1バリアメタル層
上に保護詰物を装填し、前記層間絶縁膜上面上の前記第
1バリアメタル層上に第2レジストパターンを形成し、
該第2レジストパターンをエッチングマスクとして前記
層間絶縁膜上面上の該第1バリアメタル層をエッチング
してハードマスクを形成し、該第2レジストパターンを
除去し、該ハードマスクをエッチングマスクとして該層
間絶縁膜をエッチングする請求項1記載の半導体装置の
製造方法。
2. The step (b) includes forming a first resist pattern on the interlayer insulating film, etching the interlayer insulating film using the resist pattern as an etching mask,
Removing the resist pattern, etching the etching stopper film; forming the first barrier metal layer on the bottom surface of the via hole and on the upper surface of the interlayer insulating film in the step (c); Loading a protective filling on the first barrier metal layer in the via hole, forming a second resist pattern on the first barrier metal layer on the upper surface of the interlayer insulating film,
Using the second resist pattern as an etching mask, etching the first barrier metal layer on the upper surface of the interlayer insulating film to form a hard mask, removing the second resist pattern, and using the hard mask as an etching mask to form the hard mask. 2. The method according to claim 1, wherein the insulating film is etched.
【請求項3】 前記工程(b)が、前記層間絶縁膜上に
第1レジストパターンを形成し、該レジストパターンを
エッチングマスクとして該層間絶縁膜をエッチングし、
該レジストパターン除去した後、前記エッチングストッ
パ膜をエッチングし、 前記工程(c)が前記ビア孔底面上と前記層間絶縁膜上
面上に前記第1バリアメタル層を形成し、前記層間絶縁
膜上面上の第1バリアメタル層を除去し、 前記工程(d)が、露出した層間絶縁膜上面上に第2レ
ジストパターンを形成し、該第2レジストパターンをエ
ッチングマスクとして該層間絶縁膜をエッチングする請
求項1記載の半導体装置の製造方法。
3. The step (b) includes forming a first resist pattern on the interlayer insulating film, etching the interlayer insulating film using the resist pattern as an etching mask,
After the resist pattern is removed, the etching stopper film is etched. The step (c) forms the first barrier metal layer on the bottom surface of the via hole and on the upper surface of the interlayer insulating film. Removing the first barrier metal layer, forming a second resist pattern on the exposed upper surface of the interlayer insulating film, and etching the interlayer insulating film using the second resist pattern as an etching mask. Item 2. The method for manufacturing a semiconductor device according to Item 1.
【請求項4】 前記工程(d)が、前記配線溝形成と同
時に前記ビア孔上部を配線溝に向って広がる形状にエッ
チングする請求項1〜3のいずれか1項記載の半導体装
置の製造方法。
4. The method of manufacturing a semiconductor device according to claim 1, wherein in the step (d), the upper portion of the via hole is etched so as to expand toward the wiring groove simultaneously with the formation of the wiring groove. .
【請求項5】 半導体基板を含み、表面に導電性領域を
有する下地と、 前記下地上に形成されたエッチングストッパ膜と層間絶
縁膜を含む積層と、 前記積層表面から前記層間絶縁膜中間深さまで形成され
た配線溝と、 前記配線溝底面から前記層間絶縁膜の残りの厚さ及び前
記エッチングストッパ膜を貫通し、前記導電性領域に達
するビア孔と、 前記ビア孔底面上に形成された第1バリアメタル層と、 前記第1バリアメタル層上方の前記ビア孔および前記配
線溝を埋め込んで形成され、第2バリアメタル層と主配
線領域とを含むデュアルダマシン配線とを有する半導体
装置。
5. A stack including a semiconductor substrate and having a conductive region on the surface, a stack including an etching stopper film and an interlayer insulating film formed on the base, and from the stacked surface to an intermediate depth of the interlayer insulating film. A formed wiring groove; a via hole penetrating from the bottom of the wiring groove to the remaining thickness of the interlayer insulating film and the etching stopper film to reach the conductive region; A semiconductor device, comprising: a first barrier metal layer; and a dual damascene wiring formed by filling the via hole and the wiring groove above the first barrier metal layer and including a second barrier metal layer and a main wiring region.
JP2001076816A 2001-03-16 2001-03-16 Manufacturing method of semiconductor device Expired - Fee Related JP4211910B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001076816A JP4211910B2 (en) 2001-03-16 2001-03-16 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001076816A JP4211910B2 (en) 2001-03-16 2001-03-16 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2002280450A true JP2002280450A (en) 2002-09-27
JP4211910B2 JP4211910B2 (en) 2009-01-21

Family

ID=18933687

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001076816A Expired - Fee Related JP4211910B2 (en) 2001-03-16 2001-03-16 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP4211910B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003068848A (en) * 2001-08-29 2003-03-07 Fujitsu Ltd Semiconductor device and its manufacturing method
JP2006216964A (en) * 2005-02-05 2006-08-17 Samsung Electronics Co Ltd Method for manufacturing dual damascene wiring of semiconductor element using via capping protection film
US7354856B2 (en) 2005-03-04 2008-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming dual damascene structures with tapered via portions and improved performance
CN103730410A (en) * 2012-10-10 2014-04-16 格罗方德半导体公司 Semiconductor device having a self-forming barrier layer at via bottom

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003068848A (en) * 2001-08-29 2003-03-07 Fujitsu Ltd Semiconductor device and its manufacturing method
JP2006216964A (en) * 2005-02-05 2006-08-17 Samsung Electronics Co Ltd Method for manufacturing dual damascene wiring of semiconductor element using via capping protection film
US7354856B2 (en) 2005-03-04 2008-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming dual damascene structures with tapered via portions and improved performance
CN100444329C (en) * 2005-03-04 2008-12-17 台湾积体电路制造股份有限公司 Inlaid structure and its forming method
CN103730410A (en) * 2012-10-10 2014-04-16 格罗方德半导体公司 Semiconductor device having a self-forming barrier layer at via bottom
USRE47630E1 (en) 2012-10-10 2019-10-01 Globalfoundries Inc. Semiconductor device having a self-forming barrier layer at via bottom

Also Published As

Publication number Publication date
JP4211910B2 (en) 2009-01-21

Similar Documents

Publication Publication Date Title
JP4118029B2 (en) Semiconductor integrated circuit device and manufacturing method thereof
US7501347B2 (en) Semiconductor device and manufacturing method of the same
JP5396065B2 (en) Manufacturing method of semiconductor device
JP4858895B2 (en) Manufacturing method of semiconductor device
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US8039963B2 (en) Semiconductor device having seal ring structure
US8368221B2 (en) Hybrid contact structure with low aspect ratio contacts in a semiconductor device
KR20070036528A (en) Image sensor and method for manufacturing the same
US20100155949A1 (en) Low cost process flow for fabrication of metal capping layer over copper interconnects
KR20070063499A (en) Semiconductor device and semiconductor device manufacturing method
JP4050876B2 (en) Semiconductor integrated circuit device and manufacturing method thereof
JP2005050903A (en) Semiconductor device and its manufacturing method
JP4272168B2 (en) Semiconductor device and semiconductor integrated circuit device
US20060255428A1 (en) Semiconductor device and a method of manufacturing the same
US6313037B1 (en) Semiconductor device and method for manufacturing the same
JPH1092924A (en) Semiconductor device and manufacture thereof
JP5613272B2 (en) Semiconductor device
JP2006179515A (en) Method for manufacturing semiconductor element and etching method
JP4211910B2 (en) Manufacturing method of semiconductor device
JP2012080133A (en) Manufacturing method of semiconductor device
JP5104924B2 (en) Semiconductor device
KR100703097B1 (en) Semiconductor device
JP2002190517A (en) Semiconductor device and its fabricating method
JP2005150268A (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050830

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050913

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080603

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080604

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080729

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080731

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080912

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081007

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081022

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111107

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111107

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111107

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111107

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121107

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121107

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131107

Year of fee payment: 5

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees