JP2002269164A - Net list generating system and program for integrated circuit - Google Patents

Net list generating system and program for integrated circuit

Info

Publication number
JP2002269164A
JP2002269164A JP2001066453A JP2001066453A JP2002269164A JP 2002269164 A JP2002269164 A JP 2002269164A JP 2001066453 A JP2001066453 A JP 2001066453A JP 2001066453 A JP2001066453 A JP 2001066453A JP 2002269164 A JP2002269164 A JP 2002269164A
Authority
JP
Japan
Prior art keywords
information
name
net
storage means
macro
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001066453A
Other languages
Japanese (ja)
Other versions
JP3491618B2 (en
Inventor
Koki Ono
公揮 小野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP2001066453A priority Critical patent/JP3491618B2/en
Publication of JP2002269164A publication Critical patent/JP2002269164A/en
Application granted granted Critical
Publication of JP3491618B2 publication Critical patent/JP3491618B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To enable proper and efficient location and assignment to an array enable area on the base when preparing the net list of a circuit in the source synchronous I/O part of soft macro configuration. SOLUTION: After an array and assign check means 21 judges the array and assignment of I/O soft, macro is proper by using external LSI net information 31, I/O part circuit connection information 32 and I/O macro specification information 33 read from a storage device 2 by a file reading means 20, a signal line connection rule generating means 22 generates connection rules, a connection/hierarchy assembling means 23 generates the net list by assembling the entire I/O soft macro as a hierarchy of I/O part circuits, and a hierarchy expanding means 24 generates an I/O part circuit net list 36 by expanding the hierarchy to a primitive block.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、集積回路における
入出力(I/O)部分の回路のネットリストを生成する
集積回路のネットリスト生成方式及びプログラムに関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an integrated circuit netlist generation method and a program for generating a netlist of circuits in an input / output (I / O) portion of an integrated circuit.

【0002】[0002]

【従来の技術】従来から、LSI(Large Scale Integr
ated Circuit)等の集積回路を設計する場合に、CAD
(Computer Aided Design)を用いた自動設計が行われ
ている(例えば、特開2000−105782号公報、
特開2000−340753号公報、特開平11−30
7644号公報、特許第3024593号公報参
照。)。集積回路の設計に際しては、あるまとまった動
作を行う単位ブロックであるマクロを用いて行われる。
マクロは、ハードマクロとソフトマクロに分けられる。
2. Description of the Related Art Conventionally, LSI (Large Scale Integr
CAD) when designing integrated circuits such as
Automatic design using (Computer Aided Design) is performed (for example, Japanese Patent Application Laid-Open No. 2000-105782,
JP-A-2000-340753, JP-A-11-30
No. 7644 and Japanese Patent No. 3024593. ). When designing an integrated circuit, it is performed using a macro, which is a unit block that performs a certain operation.
Macros are divided into hard macros and soft macros.

【0003】ハードマクロは、回路を構成する個々の回
路素子の半導体チップ上の配置及び配線位置(レイアウ
ト)を規定するものであり、トランジスタ、抵抗等の個
々の素子を半導体チップ上に組み合わせて各素子を配置
して各素子間を配線接続したものや、NAND、NO
R、フリップフロップ(FF)等基本的な論理回路を組
み合わせ、各論理回路を配置して各論理回路間を配線し
たものがある。
A hard macro defines the arrangement and wiring position (layout) of individual circuit elements constituting a circuit on a semiconductor chip. Each element such as a transistor and a resistor is combined on a semiconductor chip to form each circuit element. A device in which devices are arranged and wires are connected between the devices, NAND, NO
There is a type in which basic logic circuits such as R, flip-flop (FF) are combined, each logic circuit is arranged, and wiring between the logic circuits is performed.

【0004】これに対して、ソフトマクロは、ハードマ
クロのように各回路素子間の配置を規定するものではな
く、例えば、ネットリスト等、個々の回路の接続関係の
みを記述したもので、レイアウトした結果の情報である
配置情報や配線情報は含まないものである。ところで、
前述した特開2000−105782号公報には、スタ
ンダードセル方式のLSI論理設計において、単ビット
(単体)I/Oプリミティブ構成のI/O部分の回路の
ネットリストを効率的に作成する発明が開示されてい
る。
On the other hand, the soft macro does not define the arrangement between the circuit elements unlike the hard macro, and for example, describes only the connection relationship between the individual circuits, such as a netlist, and has a layout. It does not include the placement information and the wiring information which are the information of the result of the above. by the way,
The above-mentioned Japanese Patent Application Laid-Open No. 2000-105782 discloses an invention for efficiently creating a netlist of a circuit of an I / O portion of a single-bit (single) I / O primitive configuration in an LSI logic design of a standard cell system. Have been.

【0005】[0005]

【発明が解決しようとする課題】しかしながら、前記公
報に記載された発明では、ソースシンクロナスI/O
(データの送信側が転送クロックに同期してデータ及び
前記転送クロック信号をデータの受信側に送信し、前記
データの受信側が前記転送クロックに同期して前記デー
タを受信するように構成したI/O)をソフトマクロで
構成した場合、下地上で配置できる領域が限定され、且
つ、遅延設計の観点からアサイン(I/Oパッドへの外
部ネット、I/Oプリミティブの割付け)ずれが許され
ず、正確にアサインするのが困難であるため、ソースシ
ンクロナスI/Oの様な複数ビットを賄うマクロに対応
できないという問題がある。
However, in the invention described in the above publication, the source synchronous I / O
(I / O configured such that a data transmission side transmits data and the transfer clock signal to a data reception side in synchronization with a transfer clock, and the data reception side receives the data in synchronization with the transfer clock. ) Is composed of a soft macro, the area that can be arranged on the base is limited, and assignment (assignment of external nets and I / O primitives to I / O pads) is not allowed from the viewpoint of delay design. Since it is difficult to assign a macro to a plurality of bits, such as source synchronous I / O, there is a problem that it is not possible to deal with macros.

【0006】アサインずれが生じた場合の例を図11の
模式図で示す。図11は、4ビットのソースシンクロナ
スI/Oの例で、1101はLSIのI/Oパッド、1
102、1103は複数ビットを賄うソースシンクロナ
スマクロの割付け、1104はLSIの下地を示してい
る。同図(a)ではソースシンクロナスI/Oのマクロ
が適正に割付られているが、(b)では、(a)と同一
のマクロを使用しているものの不正な割付けがなされ
て、アサイン(割付け)ずれが生じている。
FIG. 11 is a schematic diagram showing an example of a case where an assignment shift occurs. FIG. 11 shows an example of a 4-bit source synchronous I / O.
Reference numerals 102 and 1103 denote assignments of a source synchronous macro covering a plurality of bits, and reference numeral 1104 denotes an LSI base. In FIG. 11A, the source synchronous I / O macros are properly assigned, but in FIG. 10B, the same macros as those in FIG. 9A are used, but the assignments are made incorrectly. (Assignment) misalignment has occurred.

【0007】また、ソースシンクロナスI/Oにおいて
は、信号接続の際には、データピン(複数ビット)のみ
ならず、転送クロック(正極性、負極性)やリファレン
ス信号も正確に繋ぐ必要があるが、前記公報に記載され
た発明では対応できないという問題がある。一方、前述
したようなアサインずれを解決するために、ソースシン
クロナスI/Oをハードマクロ化する手法があるが、論
理的には数品種であっても、I/Oパッドへのアサイン
のバリエーションが複数考えられるため、多数のハード
マクロを作成する必要性が生じ、多大な作業工数が発生
するという問題がある。
In the source synchronous I / O, when connecting signals, not only data pins (a plurality of bits), but also transfer clocks (positive and negative) and reference signals must be accurately connected. However, there is a problem that the invention described in the above publication cannot cope with the problem. On the other hand, in order to solve the above-described assignment deviation, there is a method of converting the source synchronous I / O into a hard macro. There is a problem that a large number of hard macros need to be created and a large number of work steps are required.

【0008】本発明は、ソフトマクロ構成のソースシン
クロナスI/O部分の回路のネットリスト作成におい
て、下地上の配置可能領域に適正且つ効率的に配置及び
割付け可能にすることを課題としている。
An object of the present invention is to make it possible to properly and efficiently arrange and allocate a circuit in a placeable area on a base in creating a netlist of a circuit of a source synchronous I / O portion having a soft macro configuration.

【0009】[0009]

【課題を解決するための手段】本発明によれば、ソース
シンクロナスI/O部分回路のネットリストを生成する
集積回路のネットリスト生成方式において、論理設計の
対象である集積回路の各外部端子に関する外部ネット情
報を記憶する集積回路外部ネット情報記憶手段と、ネッ
トリストの生成を行う対象であるI/O部分回路内部の
接続情報を記憶するI/O部分回路接続情報記憶手段
と、ネットリストの生成に使用するI/Oソフトマクロ
の仕様に関する情報を記憶するI/Oマクロ仕様情報記
憶手段と、前記集積回路外部ネット情報記憶手段、I/
O部分回路接続情報記憶手段、I/Oマクロ仕様情報記
憶手段から、前記外部ネット情報、I/O部分回路内部
の接続情報及びI/Oソフトマクロの仕様に関する情報
を読み込む読み込み手段と、前記読み込み手段で読み込
んだ情報に基づいて、I/Oソフトマクロの配置及び割
付けの適否を検査する検査手段と、前記検査手段がI/
Oソフトマクロの配置及び割付けを適正と判断したとき
に、前記読み込み手段で読み込んだ情報に基づいて前記
I/O部分回路のネットリストを生成するネットリスト
生成手段とを備えて成ることを特徴とする集積回路のネ
ットリスト生成方式が提供される。
According to the present invention, in an integrated circuit netlist generation system for generating a netlist of source synchronous I / O partial circuits, each external terminal of an integrated circuit to be logically designed is provided. Integrated circuit external net information storage means for storing external net information related to I / O partial circuit connection information storage means for storing connection information inside an I / O partial circuit for which a net list is to be generated; I / O macro specification information storage means for storing information on the specifications of I / O soft macros used for generating the
Reading means for reading the external net information, connection information inside the I / O partial circuit, and information on the specifications of the I / O soft macro from the O partial circuit connection information storage means and the I / O macro specification information storage means; Checking means for checking the placement and allocation of I / O soft macros based on the information read by the means;
And a netlist generating means for generating a netlist of the I / O partial circuit based on the information read by the reading means when the arrangement and allocation of the O soft macros are determined to be proper. A netlist generation method for an integrated circuit is provided.

【0010】集積回路外部ネット情報記憶手段は、論理
設計の対象である集積回路の各外部端子に関する外部ネ
ット情報を記憶している。I/O部分回路接続情報記憶
手段は、ネットリストの生成を行う対象であるI/O部
分回路内部の接続情報を記憶している。I/Oマクロ仕
様情報記憶手段は、ネットリストの生成に使用するI/
Oソフトマクロの仕様に関する情報を記憶している。読
み込み手段は、前記集積回路外部ネット情報記憶手段、
I/O部分回路接続情報記憶手段、I/Oマクロ仕様情
報記憶手段から、前記外部ネット情報、I/O部分回路
内部の接続情報及びI/Oソフトマクロの仕様に関する
情報を読み込む。検査手段は、前記読み込み手段で読み
込んだ情報に基づいて、I/Oソフトマクロの配置及び
割付けの適否を検査する。ネットリスト生成手段は、前
記検査手段がI/Oソフトマクロの配置及び割付けを適
正と判断したときに、前記読み込み手段で読み込んだ情
報に基づいて前記I/O部分回路のネットリストを生成
する。
The integrated circuit external net information storage means stores external net information relating to each external terminal of the integrated circuit to be logically designed. The I / O partial circuit connection information storage means stores connection information inside the I / O partial circuit for which a net list is to be generated. The I / O macro specification information storage means stores the I / O macro specification information used for generating the netlist.
O Stores information on the specifications of the soft macro. Reading means, the integrated circuit external net information storage means,
From the I / O partial circuit connection information storage means and I / O macro specification information storage means, the external net information, the connection information inside the I / O partial circuit, and the information on the specifications of the I / O soft macro are read. The inspecting unit inspects the placement and allocation of the I / O soft macros based on the information read by the reading unit. The netlist generation means generates a netlist of the I / O partial circuit based on the information read by the reading means when the inspection means determines that the arrangement and allocation of the I / O soft macros are appropriate.

【0011】ここで、前記集積回路外部ネット情報記憶
手段には、少なくとも、複数の外部ネット名及び前記外
部ネット名に対応付けられた、所定領域に配置可能な複
数のI/Oソフトマクロ名を含む配置可能情報が記憶さ
れ、前記I/O部分回路接続情報記憶手段には、少なく
とも、複数の外部ネット名及び前記外部ネット名に対応
付けられた複数のI/Oソフトマクロ名が記憶され、前
記I/Oマクロ仕様情報記憶手段には、少なくとも、複
数のI/Oソフトマクロ名及び前記I/Oソフトマクロ
名に対応付けられた複数の外部ネット名が記憶され、前
記読み込み手段は、少なくとも前記集積回路外部ネット
情報記憶手段から読み込んだ複数の前記外部ネット名及
び前記外部ネット名に対応付けられた複数の配置可能情
報を格納する第1のテーブルと、少なくとも前記I/O
部分回路接続情報記憶手段から読み込んだ複数の前記外
部ネット名及び前記外部ネット名に対応付けられた複数
のI/Oソフトマクロ名を格納する第2のテーブルと、
少なくとも前記I/Oマクロ仕様情報記憶手段から読み
込んだ複数の前記I/Oソフトマクロ名及び前記I/O
ソフトマクロ名に対応付けられた複数の外部ネット名を
格納する第3のテーブルとを読み込み記憶手段に記憶
し、前記検査手段は、前記第2のテーブルの各外部ネッ
ト名について、前記第2のテーブルの外部ネット名に基
づいて前記第1のテーブルを検索して前記第2のテーブ
ルの外部ネット名に対応する前記第1のテーブルの配置
可能情報に含まれるI/Oソフトマクロ名を抽出し、前
記第2のテーブルの前記外部ネット名に対応付けられた
I/Oソフトマクロ名と前記第1のテーブルの配置可能
情報に含まれる前記I/Oソフトマクロ名が一致すると
きに適正な配置と判断するように構成してもよい。
Here, the integrated circuit external net information storage means stores at least a plurality of external net names and a plurality of I / O soft macro names which can be arranged in a predetermined area and are associated with the external net names. The I / O partial circuit connection information storage means stores at least a plurality of external net names and a plurality of I / O soft macro names associated with the external net names, At least a plurality of I / O soft macro names and a plurality of external net names associated with the I / O soft macro names are stored in the I / O macro specification information storage means. A first storing a plurality of the external net names read from the integrated circuit external net information storage means and a plurality of allocable information associated with the external net names; A table, at least the I / O
A second table storing a plurality of external net names read from the partial circuit connection information storage means and a plurality of I / O soft macro names associated with the external net names;
At least a plurality of I / O soft macro names read from the I / O macro specification information storage means and the I / O
A third table for storing a plurality of external net names associated with the soft macro names, and storing the read third table in the storage means, wherein the inspection means determines, for each external net name in the second table, Searching the first table based on the external net name of the table and extracting an I / O soft macro name included in the allocable information of the first table corresponding to the external net name of the second table; An appropriate arrangement when the I / O soft macro name associated with the external net name in the second table matches the I / O soft macro name included in the arrangement enable information of the first table. May be determined.

【0012】また、前記各配置可能情報には、割付の種
別を表す割付情報が含まれており、前記検査手段は、前
記第2のテーブルの外部ネット名に基づいて前記第1の
テーブルを検索して前記第2のテーブルの外部ネット名
に対応する前記第1のテーブルの配置可能情報に含まれ
る割付情報を抽出して記憶する割付情報記憶手段を有
し、前記第2のテーブルの各外部ネット名について、1
ネット目の前記割付情報を前記割付情報記憶手段に記憶
した後に、前記第2のテーブルの外部ネット名に基づい
て前記第1のテーブルを検索して得られた、前記第2の
テーブルの外部ネット名に対応する前記第1のテーブル
の配置可能情報に含まれる割付情報が、前記割付情報記
憶手段に記憶した割付情報と一致する場合に、I/Oソ
フトマクロの割付を適正と判断するように構成してもよ
い。
[0012] Each of the allocable information includes allocation information indicating a type of allocation, and the inspection means searches the first table based on an external net name of the second table. And allocation information storage means for extracting and storing allocation information included in the allocable information of the first table corresponding to the external net name of the second table. About net name 1
After storing the allocation information of the net in the allocation information storage means, the external network of the second table obtained by searching the first table based on the external net name of the second table When the allocation information included in the allocable information of the first table corresponding to the name matches the allocation information stored in the allocation information storage means, the allocation of the I / O soft macro is determined to be appropriate. You may comprise.

【0013】また、更に、前記集積回路外部ネット情報
記憶手段には前記外部ネット名に対応付けられた、転送
クロックに関する情報である転送クロックネット名、リ
ファレンス信号に関する情報であるリファレンスネット
名及び分配クロックに関する情報である分配クロック名
が含まれ、前記I/O部分回接続情報記憶手段には前記
I/Oソフトマクロ名に対応付けられた内部論理へのネ
ット名が記憶され、前記I/Oマクロ仕様情報記憶手段
には前記I/Oソフトマクロ名に対応付けられた分配ク
ロック名、リファレンス名、内部論理へのネット名が記
憶されているように構成してもよい。
Further, the integrated circuit external net information storage means stores a transfer clock net name which is information relating to a transfer clock, a reference net name which is information relating to a reference signal, and a distribution clock which are associated with the external net name. The I / O partial connection information storage means stores a net name to internal logic associated with the I / O soft macro name, and The specification information storage means may be configured to store a distribution clock name, a reference name, and a net name to internal logic associated with the I / O soft macro name.

【0014】また、本発明によれば、ソースシンクロナ
スI/O部分回路のネットリストを生成する集積回路の
ネットリスト生成方式において、少なくとも複数の外部
ネット名及び前記外部ネット名に対応付けられ配置可能
なI/Oソフトマクロ名と割付の種別を表す割付情報を
有する複数の配置可能情報を含み、論理設計の対象であ
る集積回路の各外部端子に関する外部ネット情報を記憶
する第1の記憶手段と、少なくとも複数の外部ネット名
及び前記外部ネット名に対応付けられた複数のI/Oソ
フトマクロ名を含み、ネットリストの生成を行う対象で
あるI/O部分回路内部の接続情報を記憶する第2の記
憶手段と、少なくとも複数のI/Oソフトマクロ名及び
前記I/Oソフトマクロ名に対応付けられた複数の外部
ネット名を含み、ネットリストの生成に使用するI/O
ソフトマクロの仕様に関する情報を記憶する第3の記憶
手段と、I/Oソフトマクロの配置及び割付が適正か否
かを検査する検査手段と、前記配置可能情報に含まれる
割付情報を記憶する割付情報記憶手段と、前記検査手段
がI/Oソフトマクロの配置及び割付けを適正と判断し
たときに、前記I/O部分回路のネットリストを生成す
るネットリスト生成手段とを備えて成り、前記検査手段
は、前記第2の記憶手段の外部ネット名各々について、
該外部ネット名に基づいて前記第1の記憶手段を検索し
て前記第2の記憶手段の外部ネット名に対応する前記第
1の記憶手段の配置可能情報を抽出し、前記第2の記憶
手段の前記外部ネット名に対応付けられたI/Oソフト
マクロ名と前記第1の記憶手段の前記配置可能情報に含
まれるI/Oソフトマクロ名が一致するときに適正な配
置と判断すると共に、前記配置可能情報に含まれる1ネ
ット目の割付情報を割付情報記憶手段に記憶し、前記割
付情報を前記割付情報記憶手段に記憶した後に、前記第
2の記憶手段の外部ネット名に基づいて前記第1の記憶
手段を検索して得られた、前記第2の記憶手段の外部ネ
ット名に対応する前記第1の記憶手段の配置可能情報に
含まれる割付情報が、前記割付情報記憶手段に記憶した
割付情報と一致するときに、I/Oソフトマクロの割付
を適正と判断することを特徴とする集積回路のネットリ
スト生成方式が提供される。
According to the present invention, in an integrated circuit netlist generation method for generating a netlist of a source synchronous I / O partial circuit, at least a plurality of external net names and an arrangement corresponding to the external net names are arranged. First storage means for storing external net information relating to each external terminal of an integrated circuit to be logically designed, including a plurality of allocable information having allocation information indicating a possible I / O soft macro name and an allocation type And at least a plurality of external net names and a plurality of I / O soft macro names associated with the external net names, and store connection information inside an I / O partial circuit for which a net list is to be generated. A second storage means, including at least a plurality of I / O soft macro names and a plurality of external net names associated with the I / O soft macro names; I / O to be used to generate the Ttorisuto
Third storage means for storing information related to the specifications of the soft macros, checking means for checking whether the arrangement and allocation of the I / O soft macros are appropriate, and allocation for storing the allocation information included in the allocable information Information storage means; and netlist generation means for generating a netlist of the I / O partial circuit when the inspection means determines that the arrangement and allocation of the I / O soft macros are proper. Means for each external net name of the second storage means,
Searching the first storage means based on the external net name, extracting the allocable information of the first storage means corresponding to the external net name of the second storage means, When the I / O soft macro name associated with the external net name and the I / O soft macro name included in the allocable information in the first storage means match, it is determined that the arrangement is proper, After storing the allocation information of the first net included in the allocable information in the allocation information storage means, and storing the allocation information in the allocation information storage means, based on the external net name of the second storage means, Assignment information, which is obtained by searching the first storage means and is included in the allocable information of the first storage means corresponding to the external net name of the second storage means, is stored in the assignment information storage means. Matches the assigned information When the netlist generation method of the integrated circuit, characterized in that to determine the proper allocation of I / O software macros are provided.

【0015】第1の記憶手段は、少なくとも複数の外部
ネット名及び前記外部ネット名に対応付けられ配置可能
なI/Oソフトマクロ名と割付の種別を表す割付情報を
有する複数の配置可能情報を含み、論理設計の対象であ
る集積回路の各外部端子に関する外部ネット情報を記憶
している。第2の記憶手段は、少なくとも複数の外部ネ
ット名及び前記外部ネット名に対応付けられた複数のI
/Oソフトマクロ名を含み、ネットリストの生成を行う
対象であるI/O部分回路内部の接続情報を記憶してい
る。第3の記憶手段は、少なくとも複数のI/Oソフト
マクロ名及び前記I/Oソフトマクロ名に対応付けられ
た複数の外部ネット名を含み、ネットリストの生成に使
用するI/Oソフトマクロの仕様に関する情報を記憶し
ている。割付情報記憶手段は、前記配置可能情報に含ま
れる割付情報を記憶する。ネットリスト生成手段は、I
/Oソフトマクロの配置及び割付が適正か否かを検査す
る検査手段がI/Oソフトマクロの配置及び割付けを適
正と判断したときに、前記I/O部分回路のネットリス
トを生成する。前記検査手段は、前記第2の記憶手段の
外部ネット名各々について、該外部ネット名に基づいて
前記第1の記憶手段を検索して前記第2の記憶手段の外
部ネット名に対応する前記第1の記憶手段の配置可能情
報を抽出し、前記第2の記憶手段の前記外部ネット名に
対応付けられたI/Oソフトマクロ名と前記第1の記憶
手段の前記配置可能情報に含まれるI/Oソフトマクロ
名が一致するときに適正な配置と判断すると共に、前記
配置可能情報に含まれる1ネット目の割付情報を割付情
報記憶手段に記憶し、前記割付情報を前記割付情報記憶
手段に記憶した後に、前記第2の記憶手段の外部ネット
名に基づいて前記第1の記憶手段を検索して得られた、
前記第2の記憶手段の外部ネット名に対応する前記第1
の記憶手段の配置可能情報に含まれる割付情報が、前記
割付情報記憶手段に記憶した割付情報と一致するとき
に、I/Oソフトマクロの割付を適正と判断する。
[0015] The first storage means stores at least a plurality of external net names, a plurality of I / O soft macro names which can be arranged in association with the external net names, and a plurality of arrangement possible information having allocation information indicating the type of allocation. And external net information relating to each external terminal of the integrated circuit to be logically designed. The second storage means stores at least a plurality of external net names and a plurality of I
Contains the / O soft macro name and stores connection information inside the I / O partial circuit for which the netlist is to be generated. The third storage means includes at least a plurality of I / O soft macro names and a plurality of external net names associated with the I / O soft macro names, and stores the I / O soft macro names used for generating the netlist. Stores information about specifications. The allocation information storage unit stores the allocation information included in the allocable information. The net list generating means is I
When the checking means for checking whether the arrangement and allocation of the I / O soft macros are appropriate determines that the arrangement and allocation of the I / O soft macros are appropriate, a net list of the I / O partial circuit is generated. For each external net name of the second storage unit, the inspection unit searches the first storage unit based on the external net name, and searches the first storage unit corresponding to the external net name of the second storage unit. And extracting I / O soft macro names associated with the external net names in the second storage means and I / O soft macro names included in the first storage means. When the / O soft macro name matches, it is determined that the layout is proper, and the allocation information of the first net included in the layout possible information is stored in the allocation information storage means, and the allocation information is stored in the allocation information storage means. After storing, obtained by searching the first storage means based on the external net name of the second storage means,
The first storage unit corresponding to the external net name in the second storage unit;
When the allocation information included in the arrangement possible information of the storage unit of the storage unit matches the allocation information stored in the allocation information storage unit, the allocation of the I / O soft macro is determined to be appropriate.

【0016】前記ネットリスト生成手段は、前記外部ネ
ット情報、I/O部分回路内部の接続情報及びI/Oソ
フトマクロの仕様に関する情報に基づいて接続ルールを
生成する信号線接続ルール生成手段と、信号線接続ルー
ル生成手段によって生成された接続ルールにしたがっ
て、前記I/Oソフトマクロ間を接続し、接続されたI
/Oソフトマクロ全体をI/O部分回路の階層として組
み上げ、ネットリストを生成する接続・階層組み上げ手
段と、前記接続・階層組み上げ手段によって生成された
ネットリストをプリミティブブロックレベルまで展開
し、所望のレベルのネットリストを生成する階層展開手
段とを備えて成るように構成してもよい。
[0016] The net list generating means includes signal line connection rule generating means for generating a connection rule based on the external net information, connection information inside the I / O partial circuit, and information on the specifications of the I / O soft macro. The I / O soft macros are connected according to the connection rule generated by the signal line connection rule generation means, and the connected I / O soft macros are connected.
The entire I / O soft macro is assembled as a hierarchy of I / O partial circuits, a connection / hierarchy assembling means for generating a netlist, and the netlist generated by the connection / hierarchy assembling means is expanded to a primitive block level, and And a hierarchy expanding means for generating a level netlist.

【0017】また、本発明によれば、コンピュータを、
論理設計の対象である集積回路の各外部端子に関する外
部ネット情報を記憶する集積回路外部ネット情報記憶手
段と、ネットリストの生成を行う対象であるI/O部分
回路内部の接続情報を記憶するI/O部分回路接続情報
記憶手段と、ネットリストの生成に使用するI/Oソフ
トマクロの仕様に関する情報を記憶するI/Oマクロ仕
様情報記憶手段と、前記集積回路外部ネット情報記憶手
段、I/O部分回路接続情報記憶手段、I/Oマクロ仕
様情報記憶手段から、前記外部ネット情報、I/O部分
回路内部の接続情報及びI/Oソフトマクロの仕様に関
する情報を読み込む読み込み手段と、前記読み込み手段
で読み込んだ情報に基づいて、I/Oソフトマクロの配
置及び割付けの適否を検査する検査手段と、前記検査手
段がI/Oソフトマクロの配置及び割付けを適正と判断
したときに、前記読み込み手段で読み込んだ情報に基づ
いて前記I/O部分回路のネットリストを生成するネッ
トリスト生成手段として機能させることを特徴とするプ
ログラムが提供される。
According to the present invention, a computer is
Integrated circuit external net information storage means for storing external net information relating to each external terminal of an integrated circuit which is a target of logic design; and I which stores connection information inside an I / O partial circuit for which a net list is generated / O partial circuit connection information storage means, I / O macro specification information storage means for storing information relating to specifications of I / O soft macros used for generating a netlist, and the integrated circuit external net information storage means, Reading means for reading the external net information, connection information inside the I / O partial circuit, and information on the specifications of the I / O soft macro from the O partial circuit connection information storage means and the I / O macro specification information storage means; Inspection means for inspecting the I / O software macro for proper placement and assignment based on the information read by the means; A program is provided, which, when it is determined that the arrangement and assignment of the crosses are appropriate, function as a net list generating means for generating a net list of the I / O partial circuit based on the information read by the reading means. Is done.

【0018】本発明に係るプログラムをコンピュータで
実行することにより、前記コンピュータは、論理設計の
対象である集積回路の各外部端子に関する外部ネット情
報を記憶する集積回路外部ネット情報記憶手段と、ネッ
トリストの生成を行う対象であるI/O部分回路内部の
接続情報を記憶するI/O部分回路接続情報記憶手段
と、ネットリストの生成に使用するI/Oソフトマクロ
の仕様に関する情報を記憶するI/Oマクロ仕様情報記
憶手段と、前記集積回路外部ネット情報記憶手段、I/
O部分回路接続情報記憶手段、I/Oマクロ仕様情報記
憶手段から、前記外部ネット情報、I/O部分回路内部
の接続情報及びI/Oソフトマクロの仕様に関する情報
を読み込む読み込み手段と、前記読み込み手段で読み込
んだ情報に基づいて、I/Oソフトマクロの配置及び割
付けの適否を検査する検査手段と、前記検査手段がI/
Oソフトマクロの配置及び割付けを適正と判断したとき
に、前記読み込み手段で読み込んだ情報に基づいて前記
I/O部分回路のネットリストを生成するネットリスト
生成手段として機能する。
By executing the program according to the present invention on a computer, the computer comprises: an integrated circuit external net information storage means for storing external net information relating to each external terminal of the integrated circuit to be logically designed; I / O partial circuit connection information storage means for storing connection information inside the I / O partial circuit for which the generation of the I / O is performed, and I for storing information relating to the specifications of the I / O soft macro used for generating the netlist. / O macro specification information storage means, the integrated circuit external net information storage means,
Reading means for reading the external net information, connection information inside the I / O partial circuit, and information on the specifications of the I / O soft macro from the O partial circuit connection information storage means and the I / O macro specification information storage means; Checking means for checking the placement and allocation of I / O soft macros based on the information read by the means;
When it is determined that the arrangement and allocation of the O soft macros are proper, it functions as a net list generating means for generating a net list of the I / O partial circuit based on the information read by the reading means.

【0019】ここで、コンピュータを、前記集積回路外
部ネット情報記憶手段には、少なくとも、複数の外部ネ
ット名及び前記外部ネット名に対応付けられた、所定領
域に配置可能な複数のI/Oソフトマクロ名を含む配置
可能情報を記憶し、前記I/O部分回路接続情報記憶手
段には、少なくとも、複数の外部ネット名及び前記外部
ネット名に対応付けられた複数のI/Oソフトマクロ名
を記憶し、前記I/Oマクロ仕様情報記憶手段には、少
なくとも、複数のI/Oソフトマクロ名及び前記I/O
ソフトマクロ名に対応付けられた複数の外部ネット名を
記憶し、前記読み込み手段は、少なくとも前記集積回路
外部ネット情報記憶手段から読み込んだ複数の前記外部
ネット名及び前記外部ネット名に対応付けられた複数の
配置可能情報を格納する第1のテーブルと、少なくとも
前記I/O部分回路接続情報記憶手段から読み込んだ複
数の前記外部ネット名及び前記外部ネット名に対応付け
られた複数のI/Oソフトマクロ名を格納する第2のテ
ーブルと、少なくとも前記I/Oマクロ仕様情報記憶手
段から読み込んだ複数の前記I/Oソフトマクロ名及び
前記I/Oソフトマクロ名に対応付けられた複数の外部
ネット名を格納する第3のテーブルとを読み込み記憶手
段に記憶し、前記検査手段は、前記第2のテーブルの各
外部ネット名について、前記第2のテーブルの外部ネッ
ト名に基づいて前記第1のテーブルを検索して前記第2
のテーブルの外部ネット名に対応する前記第1のテーブ
ルの配置可能情報に含まれるI/Oソフトマクロ名を抽
出し、前記第2のテーブルの前記外部ネット名に対応付
けられたI/Oソフトマクロ名と前記第1のテーブルの
配置可能情報に含まれる前記I/Oソフトマクロ名が一
致するときに適正な配置と判断するように機能させるよ
うに、プログラムを構成してもよい。
Here, the computer may be provided in the integrated circuit external net information storage means with at least a plurality of external net names and a plurality of I / O software which can be arranged in a predetermined area associated with the external net names. The I / O partial circuit connection information storage means stores at least a plurality of external net names and a plurality of I / O soft macro names associated with the external net names. The I / O macro specification information storage means stores at least a plurality of I / O soft macro names and the I / O macro name.
A plurality of external net names associated with the soft macro name are stored, and the reading unit is associated with the plurality of external net names and the external net names read from at least the integrated circuit external net information storage unit. A first table for storing a plurality of allocable information; a plurality of external net names read from at least the I / O partial circuit connection information storage means; and a plurality of I / O software associated with the external net names A second table for storing macro names, at least a plurality of I / O soft macro names read from the I / O macro specification information storage means, and a plurality of external networks associated with the I / O soft macro names; A third table for storing the names of the external nets in the second table; Te, the second by searching the first table based on an external net name of the second table
The I / O software macro name included in the allocable information of the first table corresponding to the external net name of the table is extracted, and the I / O software associated with the external net name of the second table is extracted. The program may be configured to function so as to determine an appropriate arrangement when a macro name matches the I / O soft macro name included in the arrangement possible information of the first table.

【0020】また、コンピュータを、前記各配置可能情
報には、割付の種別を表す割付情報が含ませ、前記検査
手段は、前記第2のテーブルの外部ネット名に基づいて
前記第1のテーブルを検索して前記第2のテーブルの外
部ネット名に対応する前記第1のテーブルの配置可能情
報に含まれる割付情報を抽出して記憶する割付情報記憶
手段を有し、前記第2のテーブルの各外部ネット名につ
いて、1ネット目の前記割付情報を前記割付情報記憶手
段に記憶した後に、前記第2のテーブルの外部ネット名
に基づいて前記第1のテーブルを検索して得られた、前
記第2のテーブルの外部ネット名に対応する前記第1の
テーブルの配置可能情報に含まれる割付情報が、前記割
付情報記憶手段に記憶した割付情報と一致する場合に、
I/Oソフトマクロの割付を適正と判断するように機能
させるように、プログラムを構成してもよい。
[0020] Further, the computer may be arranged such that each of the allocable information includes allocation information indicating a type of allocation, and the inspection means reads the first table based on the external net name of the second table. An allocation information storage unit for searching and extracting allocation information included in the allocable information of the first table corresponding to the external net name of the second table, and storing the extracted allocation information; For the external net name, after storing the allocation information of the first net in the allocation information storage means, the second table obtained by searching the first table based on the external net name of the second table, When the allocation information included in the allocable information of the first table corresponding to the external net name of the second table matches the allocation information stored in the allocation information storage means,
The program may be configured to function so as to determine that the allocation of the I / O soft macro is appropriate.

【0021】また、コンピュータを、更に、前記集積回
路外部ネット情報記憶手段には前記外部ネット名に対応
付けられた、転送クロックに関する情報である転送クロ
ックネット名、リファレンス信号に関する情報であるリ
ファレンスネット名及び分配クロックに関する情報であ
る分配クロック名を記憶し、前記I/O部分回接続情報
記憶手段には前記I/Oソフトマクロ名に対応付けられ
た内部論理へのネット名を記憶し、前記I/Oマクロ仕
様情報記憶手段には前記I/Oソフトマクロ名に対応付
けられた分配クロック名、リファレンス名、内部論理へ
のネット名を記憶するように機能させるように、プログ
ラムを構成してもよい。
The integrated circuit external net information storage means further includes a transfer clock net name as information relating to a transfer clock and a reference net name as information relating to a reference signal, which are associated with the external net name. And a distribution clock name, which is information relating to the distribution clock, and the I / O partial connection information storage means stores a net name to internal logic associated with the I / O soft macro name. The program may be configured so that the / O macro specification information storage means functions to store a distribution clock name, a reference name, and a net name to internal logic associated with the I / O soft macro name. Good.

【0022】また、本発明によれば、コンピュータを、
少なくとも複数の外部ネット名及び前記外部ネット名に
対応付けられ配置可能なI/Oソフトマクロ名と割付の
種別を表す割付情報を有する複数の配置可能情報を含
み、論理設計の対象である集積回路の各外部端子に関す
る外部ネット情報を記憶する第1の記憶手段と、少なく
とも複数の外部ネット名及び前記外部ネット名に対応付
けられた複数のI/Oソフトマクロ名を含み、ネットリ
ストの生成を行う対象であるI/O部分回路内部の接続
情報を記憶する第2の記憶手段と、少なくとも複数のI
/Oソフトマクロ名及び前記I/Oソフトマクロ名に対
応付けられた複数の外部ネット名を含み、ネットリスト
の生成に使用するI/Oソフトマクロの仕様に関する情
報を記憶する第3の記憶手段と、I/Oソフトマクロの
配置及び割付が適正か否かを検査する検査手段と、前記
配置可能情報に含まれる割付情報を記憶する割付情報記
憶手段と、前記検査手段がI/Oソフトマクロの配置及
び割付けを適正と判断したときに、前記I/O部分回路
のネットリストを生成するネットリスト生成手段とを備
えて成り、前記検査手段は、前記第2の記憶手段の外部
ネット名各々について、該外部ネット名に基づいて前記
第1の記憶手段を検索して前記第2の記憶手段の外部ネ
ット名に対応する前記第1の記憶手段の配置可能情報を
抽出し、前記第2の記憶手段の前記外部ネット名に対応
付けられたI/Oソフトマクロ名と前記第1の記憶手段
の前記配置可能情報に含まれるI/Oソフトマクロ名が
一致するときに適正な配置と判断すると共に、前記配置
可能情報に含まれる1ネット目の割付情報を割付情報記
憶手段に記憶し、前記割付情報を前記割付情報記憶手段
に記憶した後に、前記第2の記憶手段の外部ネット名に
基づいて前記第1の記憶手段を検索して得られた、前記
第2の記憶手段の外部ネット名に対応する前記第1の記
憶手段の配置可能情報に含まれる割付情報が、前記割付
情報記憶手段に記憶した割付情報と一致するときに、I
/Oソフトマクロの割付を適正と判断するように機能さ
せることを特徴とするプログラムが提供される。
According to the present invention, a computer is
An integrated circuit to be subjected to logical design, including at least a plurality of external net names and a plurality of allocable information having allocation information indicating a type of allocation and an I / O soft macro name which can be allocated in association with the external net name; A first storage unit for storing external net information relating to each external terminal, and at least a plurality of external net names and a plurality of I / O soft macro names associated with the external net names, and generating a net list. A second storage unit for storing connection information inside an I / O partial circuit to be performed;
Third storage means for storing information relating to the specifications of an I / O soft macro used for generating a netlist, the information including a / O soft macro name and a plurality of external net names associated with the I / O soft macro name Checking means for checking whether the arrangement and allocation of the I / O soft macros are proper; allocation information storing means for storing allocation information included in the allocable information; And a net list generating means for generating a net list of the I / O partial circuit when it is determined that the placement and allocation of the external nets are appropriate. , The first storage means is searched based on the external net name, and the allocable information of the first storage means corresponding to the external net name of the second storage means is extracted; When the I / O soft macro name associated with the external net name in the storage unit and the I / O soft macro name included in the arrangement possible information in the first storage unit match, it is determined that the arrangement is proper. At the same time, the allocation information of the first net included in the allocable information is stored in the allocation information storage means, and after the allocation information is stored in the allocation information storage means, based on the external net name of the second storage means. The allocation information included in the allocable information of the first storage means corresponding to the external net name of the second storage means, which is obtained by searching the first storage means, When it matches the assignment information stored in
A program is provided which causes the assignment of the / O soft macro to be determined to be appropriate.

【0023】本発明に係るプログラムをコンピュータで
実行することにより、前記コンピュータは、少なくとも
複数の外部ネット名及び前記外部ネット名に対応付けら
れ配置可能なI/Oソフトマクロ名と割付の種別を表す
割付情報を有する複数の配置可能情報を含み、論理設計
の対象である集積回路の各外部端子に関する外部ネット
情報を記憶する第1の記憶手段と、少なくとも複数の外
部ネット名及び前記外部ネット名に対応付けられた複数
のI/Oソフトマクロ名を含み、ネットリストの生成を
行う対象であるI/O部分回路内部の接続情報を記憶す
る第2の記憶手段と、少なくとも複数のI/Oソフトマ
クロ名及び前記I/Oソフトマクロ名に対応付けられた
複数の外部ネット名を含み、ネットリストの生成に使用
するI/Oソフトマクロの仕様に関する情報を記憶する
第3の記憶手段と、I/Oソフトマクロの配置及び割付
が適正か否かを検査する検査手段と、前記配置可能情報
に含まれる割付情報を記憶する割付情報記憶手段と、前
記検査手段がI/Oソフトマクロの配置及び割付けを適
正と判断したときに、前記I/O部分回路のネットリス
トを生成するネットリスト生成手段とを備えて成り、前
記検査手段は、前記第2の記憶手段の外部ネット名各々
について、該外部ネット名に基づいて前記第1の記憶手
段を検索して前記第2の記憶手段の外部ネット名に対応
する前記第1の記憶手段の配置可能情報を抽出し、前記
第2の記憶手段の前記外部ネット名に対応付けられたI
/Oソフトマクロ名と前記第1の記憶手段の前記配置可
能情報に含まれるI/Oソフトマクロ名が一致するとき
に適正な配置と判断すると共に、前記配置可能情報に含
まれる1ネット目の割付情報を割付情報記憶手段に記憶
し、前記割付情報を前記割付情報記憶手段に記憶した後
に、前記第2の記憶手段の外部ネット名に基づいて前記
第1の記憶手段を検索して得られた、前記第2の記憶手
段の外部ネット名に対応する前記第1の記憶手段の配置
可能情報に含まれる割付情報が、前記割付情報記憶手段
に記憶した割付情報と一致するときに、I/Oソフトマ
クロの割付を適正と判断するように機能する。
By executing the program according to the present invention on a computer, the computer indicates at least a plurality of external net names, I / O soft macro names that can be arranged in association with the external net names, and types of allocation. First storage means including a plurality of allocable information having allocation information and storing external net information on each external terminal of the integrated circuit to be logically designed; and at least a plurality of external net names and the external net names Second storage means for storing connection information inside an I / O partial circuit for which a net list is to be generated, including a plurality of associated I / O software macro names, and at least a plurality of I / O software macro names I / O software used for generating a netlist, including a macro name and a plurality of external net names associated with the macro name Third storage means for storing information relating to black specifications, inspection means for checking whether the arrangement and allocation of I / O soft macros are appropriate, and allocation information for storing allocation information included in the layout possible information Storage means; and netlist generation means for generating a netlist of the I / O partial circuit when the inspection means determines that the arrangement and allocation of the I / O soft macros are appropriate. Retrieves, for each external net name of the second storage means, the first storage means based on the external net name, and retrieves the first storage corresponding to the external net name of the second storage means. Means that can be arranged by the means is extracted, and the I information associated with the external net name in the second storage means is extracted.
When the / O soft macro name and the I / O soft macro name included in the allocable information in the first storage unit match, it is determined that the arrangement is appropriate, and the first net included in the allocable information is determined. After storing the allocation information in the allocation information storage means and storing the allocation information in the allocation information storage means, the allocation information is obtained by searching the first storage means based on the external net name of the second storage means. When the allocation information included in the allocable information of the first storage device corresponding to the external net name of the second storage device matches the allocation information stored in the allocation information storage device, O Functions to determine that the assignment of the soft macro is appropriate.

【0024】コンピュータを、前記ネットリスト生成手
段が、前記外部ネット情報、I/O部分回路内部の接続
情報及びI/Oソフトマクロの仕様に関する情報に基づ
いて接続ルールを生成する信号線接続ルール生成手段
と、信号線接続ルール生成手段によって生成された接続
ルールにしたがって、前記I/Oソフトマクロ間を接続
し、接続されたI/Oソフトマクロ全体をI/O部分回
路の階層として組み上げ、ネットリストを生成する接続
・階層組み上げ手段と、前記接続・階層組み上げ手段に
よって生成されたネットリストをプリミティブブロック
レベルまで展開し、所望のレベルのネットリストを生成
する階層展開手段としての機能を有するように機能させ
るように、プログラムを構成してもよい。
The computer may be configured such that the net list generating means generates a connection rule based on the external net information, the connection information inside the I / O partial circuit, and the information on the specifications of the I / O soft macro. Means for connecting the I / O soft macros in accordance with the connection rule generated by the signal line connection rule generation means, and assembling the entire connected I / O soft macro as a hierarchy of I / O partial circuits; A connection / hierarchy assembling means for generating a list, and a netlist generated by the connection / hierarchy assembling means are expanded to a primitive block level to have a function as a hierarchy expanding means for generating a netlist of a desired level. The program may be configured to function.

【0025】[0025]

【発明の実施の形態】以下、本発明の実施の形態に係る
集積回路のネットリスト生成方式及びプログラムについ
て説明する。図1は、本発明の実施の形態に使用する集
積回路のネットリスト自動生成システムのブロック図
で、ソースシンクロナスI/O(データの送信側が転送
クロックに同期してデータ及び前記転送クロック信号を
データの受信側に送信し、前記データの受信側が前記転
送クロックに同期して前記データを受信するように構成
したI/O)部分の回路のネットリストを生成するソー
スシンクロナスI/O部分の回路のネットリスト自動生
成システムの例を示している。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, a netlist generation method and a program for an integrated circuit according to an embodiment of the present invention will be described. FIG. 1 is a block diagram of a system for automatically generating a netlist of an integrated circuit used in an embodiment of the present invention. The source synchronous I / O (a data transmitting side synchronizes a data and a transfer clock signal with a transfer clock). A source synchronous I / O part for transmitting to a data receiving side and generating a netlist of an I / O) part circuit configured such that the data receiving side receives the data in synchronization with the transfer clock. 1 shows an example of a circuit netlist automatic generation system.

【0026】図1において、集積回路のネットリスト生
成システムは、ネットリストを生成するデータ処理手段
としてのデータ処理装置1と、データ処理装置1による
ネットリストの生成に必要な情報を記憶すると共に、デ
ータ処理装置1によって生成されたネットリストを記憶
する記憶手段としての記憶装置2とから構成されてい
る。データ処理装置1は中央処理装置(CPU)とプロ
グラムを記憶した記憶装置とを備えたコンピュータによ
って構成することができ、又、記憶装置2は磁気ディス
クや半導体メモリ等の各種の記憶媒体によって構成する
ことができる。尚、本実施の形態では、前記CPUが処
理するプログラムは記憶装置2に記憶されている。
In FIG. 1, a net list generation system for an integrated circuit stores a data processing device 1 as data processing means for generating a net list, and information necessary for the data processing device 1 to generate a net list. And a storage device 2 as storage means for storing the netlist generated by the data processing device 1. The data processing device 1 can be configured by a computer including a central processing unit (CPU) and a storage device storing a program, and the storage device 2 is configured by various storage media such as a magnetic disk and a semiconductor memory. be able to. In the present embodiment, a program processed by the CPU is stored in the storage device 2.

【0027】記憶装置2は、LSI外部ネット情報31
を予め記憶した集積回路外部ネット情報記憶手段と、I
/O部分回路接続情報32を予め記憶したI/O部分回
路接続情報記憶手段と、I/Oマクロ仕様情報33を予
め記憶したI/Oマクロ仕様情報記憶手段と、I/Oマ
クロネットリスト34を予め記憶したI/Oマクロネッ
トリスト記憶手段と、ライブラリ35を予め記憶したラ
イブラリ記憶手段とを備えており又、データ処理装置1
で生成したネットリストの出力先として、I/O部分回
路ネットリスト記憶手段を備えている。前記I/O部分
回路ネットリスト記憶手段には、データ処理装置1によ
って生成されたI/O部分回路ネットリスト36が記憶
される。
The storage device 2 stores LSI external net information 31
An integrated circuit external net information storage means in which
I / O partial circuit connection information storage means in which I / O partial circuit connection information 32 is stored in advance, I / O macro specification information storage means in which I / O macro specification information 33 is stored in advance, and I / O macro netlist 34 And an I / O macro net list storing means for storing the library 35 in advance, and a library storing means for storing the library 35 in advance.
An I / O partial circuit netlist storage means is provided as an output destination of the netlist generated in step (1). The I / O partial circuit netlist 36 generated by the data processing device 1 is stored in the I / O partial circuit netlist storage means.

【0028】尚、LSI外部ネット情報31、I/O部
分回路接続情報32、I/Oマクロ仕様情報33は、デ
ータ処理装置1によってネットリストを生成する際に、
各種の接続情報(接続ルール)を生成するために用いる
各種の情報であり又、I/Oマクロネットリスト34及
びライブラリ35は、I/O部分の回路のネットリスト
を作成する際に用いる各種の情報である。
The LSI external net information 31, I / O partial circuit connection information 32, and I / O macro specification information 33 are used when the data processing apparatus 1 generates a net list.
The I / O macro netlist 34 and the library 35 are various types of information used for generating various types of connection information (connection rules). Information.

【0029】LSI外部ネット情報31は、論理設計の
対象であるLSIの外部ネット情報であり、LSI外部
端子の各々について、外部端子名、該外部端子に繋がる
外部ネットのネット名、外部信号名、ソースシンクロナ
スI/O配置可能情報、転送クロックネット名、リファ
レンスネット名を含む品種毎の情報である。前記配置可
能情報は、該当領域に配置可能なI/Oソフトマクロを
示す情報(少なくともマクロ名)が含まれている。尚、
外部ネット名は、後述するように、X(開始ビット−終
了ビット)のように、開始ビット及び終了ビットを指定
して1行で記述することが可能である。
The LSI external net information 31 is external net information of the LSI to be logically designed. For each of the LSI external terminals, an external terminal name, a net name of an external net connected to the external terminal, an external signal name, This is information for each product type including source synchronous I / O allocable information, a transfer clock net name, and a reference net name. The allocable information includes information (at least a macro name) indicating an I / O soft macro allocable to the corresponding area. still,
The external net name can be described in one line by specifying a start bit and an end bit, such as X (start bit-end bit), as described later.

【0030】I/O部分回接続情報32は、ネットリス
トの生成を行う対象であるソースシンクロナスI/O部
分の回路の内部接続情報を、ソースシンクロナスI/O
マクロ(I/Oバッファ)のレベルで定義したI/O部
分の回路の接続情報である。I/Oマクロ仕様情報33
は、ネットリストの生成に使用するソースシンクロナス
I/Oマクロの仕様に関する情報で、ソースシンクロナ
スI/Oのタイプ毎に規定された、マクロ名、マクロ内
信号名(外部信号、内部論理へ接続される信号、分配ク
ロック信号、リファレンス信号)が含まれている。
The I / O partial connection information 32 contains the source synchronous I / O connection information of the circuit of the source synchronous I / O part for which the netlist is generated.
This is the connection information of the circuit of the I / O part defined at the macro (I / O buffer) level. I / O macro specification information 33
Is information relating to the specification of the source synchronous I / O macro used for generating the netlist. The macro name, the signal name in the macro (external signal, internal logic) defined for each type of source synchronous I / O. Connected signals, a distribution clock signal, and a reference signal).

【0031】I/Oマクロネットリスト34はソースシ
ンクロナスI/Oソフトマクロのネットリストであり、
予め記憶された情報である。ライブラリ35には、I/
Oバッファを含むプリミティブブロック(リーフセル;
対象となる回路の具体的なANDゲートやORゲート)
の論理情報、該プリミティブブロックの端子の端子名情
報が予め記憶されている。I/O部分回路ネットリスト
36は、データ処理装置1から出力された、ソースシン
クロナスI/Oマクロが展開されプリミティブブロック
レベルのシンクロナスI/O部分の回路のネットリスト
である。
The I / O macro netlist 34 is a netlist of source synchronous I / O soft macros.
This is information stored in advance. Library 35 contains I /
Primitive blocks containing O-buffers (leaf cells;
(Specific AND gate and OR gate of the target circuit)
, And terminal name information of the terminal of the primitive block are stored in advance. The I / O partial circuit netlist 36 is a netlist of circuits of the synchronous I / O part at the primitive block level, in which the source synchronous I / O macro is expanded and output from the data processing device 1.

【0032】データ処理装置1は、記憶装置2から必要
なファイルを読み込むファイル読み込み手段20、I/
Oソフトマクロの配置及びアサインのチェックを行う配
置及びアサインチェック手段21、各種の接続ルールを
生成する信号線接続ルール生成手段22、I/O部分回
路のネットリストを生成する接続・階層組み上げ手段2
3及び階層展開手段24とを備えている。ファイル読み
込み手段20は、LSI外部ネット情報31、I/O部
分回路接続情報32及びI/Oマクロ仕様情報33から
情報を読み込み、その内部に設けられたテーブルにその
情報をセットする。ファイル読み込み手段20には読み
込み記憶手段(図示せず)が設けられており、後述する
ように前記読み込み記憶手段内には、LSI外部ネット
情報31、I/O部分回路接続情報32及びI/Oマク
ロ仕様情報33に対応して、2つの連想テーブル(テー
ブル1、テーブル3)と1つのリストテーブル(テーブ
ル2)が用意されている。尚、前記読み込み記憶手段の
テーブル1を記憶する部分と前記LSI外部ネット情報
記憶手段は第1の記憶手段を構成し、前記読み込み記憶
手段のテーブル2を記憶する部分と前記I/O部分回路
接続情報記憶手段は第2の記憶手段を構成し、前記読み
込み記憶手段のテーブル3を記憶する部分と前記I/O
マクロ仕様情報記憶手段は第3の記憶手段を構成してい
る。
The data processing device 1 includes a file reading means 20 for reading a necessary file from the storage device 2,
An arrangement and assignment check unit 21 for checking the arrangement and assignment of O soft macros, a signal line connection rule generation unit 22 for generating various connection rules, and a connection / hierarchy assembling unit 2 for generating a netlist of I / O partial circuits
3 and a hierarchy expanding means 24. The file reading means 20 reads information from the LSI external net information 31, I / O partial circuit connection information 32, and I / O macro specification information 33, and sets the information in a table provided therein. The file reading means 20 is provided with a reading storage means (not shown). As described later, the reading storage means includes LSI external net information 31, I / O partial circuit connection information 32, and I / O Two association tables (table 1 and table 3) and one list table (table 2) are prepared corresponding to the macro specification information 33. The part storing the table 1 of the read storage means and the LSI external net information storage means constitute a first storage means, and the part storing the table 2 of the read storage means and the I / O partial circuit connection. The information storage means constitutes a second storage means, and a portion for storing the table 3 of the read storage means and the I / O
The macro specification information storage means constitutes a third storage means.

【0033】配置及びアサインチェック手段21は、L
SI外部ネット情報31のソースシンクロナスI/O配
置可能情報、転送クロックネット名に従い、適切な領域
に正しいタイプのソースシンクロナスI/Oソフトマク
ロが配置及びアサインされているかをチェックし、転送
クロック(正極性あるいは負極性の転送クロック)ネッ
トの接続性の適否をチェックする。配置及びアサインチ
ェック手段は、配置及びアサインの適否を検査する検査
手段を構成している。
The arrangement and assignment checking means 21 includes L
According to the source synchronous I / O allocable information of the SI external net information 31 and the transfer clock net name, it is checked whether a correct type of source synchronous I / O soft macro is allocated and assigned to an appropriate area, and the transfer clock is determined. (Positive or negative transfer clock) Check the suitability of net connectivity. The arrangement and assignment checking means constitutes inspection means for inspecting the suitability of the arrangement and assignment.

【0034】信号線接続ルール生成手段22は、I/O
部分回路接続情報32、LSI外部ネット情報31とを
参照して、接続ルールの形式で接続情報を作成し、接続
・階層組み上げ手段23に出力する。接続・階層組み上
げ手段23は、信号線接続ルール生成手段22によって
作成された接続ルールに従い、I/Oマクロ間を接続
し、接続されたI/Oマクロ全体を、I/O部分の回路
の階層として組み上げ、ネットリストを作成する。この
とき、I/O部分の回路の外部端子も生成する。
The signal line connection rule generation means 22 has an I / O
With reference to the partial circuit connection information 32 and the LSI external net information 31, connection information is created in the form of a connection rule and output to the connection / hierarchy assembling means 23. The connection / hierarchy assembling means 23 connects the I / O macros in accordance with the connection rules created by the signal line connection rule generating means 22, and connects the entire connected I / O macros to the circuit hierarchy of the I / O part. And create a netlist. At this time, an external terminal of the I / O circuit is also generated.

【0035】階層展開手段24は、接続・階層組み上げ
手段23によって作成されたI/O部分の回路のネット
リストを、適宜I/Oマクロネットリスト34、ライブ
ラリ35を参照し、プリミティブブロックレベルまで階
層を展開する。この出力が、I/O部分回路ネットリス
ト36である。階層展開手段24は、生成したI/O部
分回路ネットリスト36を記憶装置2に出力し、記憶装
置2のI/O部分回路ネットリスト記憶手段はI/O部
分回路ネットリスト36を記憶する。
The hierarchy expanding means 24 refers to the I / O macro netlist 34 and the library 35 as needed to convert the netlist of the circuit of the I / O part created by the connection / hierarchy assembling means 23 to the primitive block level. To expand. This output is the I / O partial circuit netlist 36. The hierarchy expanding means 24 outputs the generated I / O partial circuit netlist 36 to the storage device 2, and the I / O partial circuit netlist storage means of the storage device 2 stores the I / O partial circuit netlist 36.

【0036】次に、前記の如く構成されたソースシンク
ロナスI/O部分の回路自動生成システムの動作概要を
説明する。本システムは、I/O部分の回路の場合、I
/OプリミティブのI/Oパッドへの割付(アサイン)
が決まれば、レイアウト設計を行うまでもなく、I/O
プリミティブはI/Oパッド近傍に配置されることが自
明であることに鑑み、論理設計段階で配置チェック及び
アサインチェックを行うようにしたものである。ここ
で、配置とはレイアウト上のI/Oプリミティブの配置
を意味し、アサインとはどのI/Oパッドに外部ネッ
ト、I/Oプリミティブを割り付けるかを意味してい
る。
Next, an outline of the operation of the circuit automatic generation system for the source synchronous I / O portion configured as described above will be described. In the case of the circuit of the I / O part,
/ O Primitive Assignment to I / O Pad (Assign)
Is decided, I / O can be done without layout design.
Considering that it is obvious that the primitive is arranged near the I / O pad, the arrangement check and the assignment check are performed at the logic design stage. Here, the arrangement means the arrangement of I / O primitives on the layout, and the assignment means to which I / O pad the external net and I / O primitive are assigned.

【0037】本システムによれば、集積回路におけるソ
ースシンクロナスI/O部分の回路のネットリストを出
力するソースシンクロナスI/O部分回路ネットリスト
生成方式において、接続情報等のネットリスト生成に必
要な情報を表計算ソフト等のデータベースに予め入力し
ておき、ソースシンクロナスI/Oソフトマクロの配置
チェック、及び、アサインチェックを行った上で、各信
号を接続し、ソースシンクロナスI/O部分の回路のネ
ットリストを出力できる構成が提供される。ここで、ソ
ースシンクロナスI/Oソフトマクロは、複数ビットの
転送を賄うもので、転送データピン以外に、転送クロッ
ク、リファレンス信号、分配クロック等のピンを有する
ソフトマクロである。
According to the present system, in the source synchronous I / O partial circuit netlist generation method for outputting the netlist of the circuit of the source synchronous I / O part in the integrated circuit, it is necessary to generate a netlist such as connection information. Information is input in advance to a database such as a spreadsheet software, a source synchronous I / O soft macro arrangement check and an assignment check are performed, and each signal is connected to the source synchronous I / O. A configuration is provided that can output a netlist of a partial circuit. Here, the source synchronous I / O soft macro is a soft macro that supports transfer of a plurality of bits and has pins for a transfer clock, a reference signal, a distribution clock, and the like in addition to a transfer data pin.

【0038】LSI外部ネット情報31には、I/Oネ
ット名の他に、配置可能なソースシンクロナスI/Oマ
クロの情報であるソースシンクロナスI/O配置可能情
報、転送クロックネット名、及び、分配するリファレン
ス信号のネット名であるリファレンスネット名、分配ク
ロックネット名が含まれている。配置及びアサインチェ
ック手段21は、I/O部分回路接続情報32の外部ネ
ットから対応するソースシンクロナスI/O配置可能情
報を得て、使用しているマクロの妥当性とアサインずれ
を判定する。信号の接続関係については、信号線接続ル
ール生成手段22によって処理される。この時、ソース
シンクロナスI/Oソフトマクロのリファレンスネット
や分配クロックは前記の分配すべきネットに接続するル
ールが出力される。
The LSI external net information 31 includes, in addition to the I / O net name, source synchronous I / O allocable information which is information on allocable source synchronous I / O macros, a transfer clock net name, and , A reference net name which is a net name of a reference signal to be distributed, and a distribution clock net name. The arrangement and assignment checking means 21 obtains the corresponding source synchronous I / O arrangement possible information from the external net of the I / O partial circuit connection information 32, and judges the validity and the assignment deviation of the macro used. The connection relation of the signals is processed by the signal line connection rule generation unit 22. At this time, the rules for connecting the reference net and the distribution clock of the source synchronous I / O soft macro to the net to be distributed are output.

【0039】I/O部分回路接続情報32では、ネット
リストの実際の生成は、前記接続ルールに従いネットを
接続する接続・階層組み上げ手段23、階層展開手段2
4によって出力される。このようにして、外部ネットと
内部論理へのネットのみ入力されたI/O部分回路接続
情報32から、配置及びアサインがチェックされたソー
スシンクロナスI/O部分回路ネットリストの出力を可
能にする。尚、信号線接続ルール生成手段22、接続・
階層組み上げ手段23及び階層展開手段24はネットリ
スト生成手段を構成している。
In the I / O partial circuit connection information 32, the net list is actually generated by the connection / hierarchy assembling means 23 and the hierarchy expanding means 2 for connecting the nets in accordance with the connection rules.
4 output. In this way, it is possible to output a source synchronous I / O partial circuit netlist whose arrangement and assignment have been checked from the I / O partial circuit connection information 32 input only to external nets and nets to internal logic. . Note that the signal line connection rule generation means 22,
The hierarchy assembling means 23 and the hierarchy expanding means 24 constitute a net list generating means.

【0040】図2〜図4は、本発明の実施の形態におけ
る処理を示すフローチャートで、図2は全体的な処理を
示すフローチャート、図3及び図4は図2における分岐
処理の詳細を示すフローチャートである。また、図5は
LSI外部ネット情報31の内容を示すテーブル、図6
はI/O部分回路接続情報32の内容を示すテーブル、
図7はI/Oマクロ仕様情報33の内容を示すテーブ
ル、図8は第1のテーブルであるテーブル1を示す図、
図9は第2のテーブルであるテーブル2を示す図、図1
0は第3のテーブルであるテーブル3を示す図である。
FIGS. 2 to 4 are flowcharts showing the processing in the embodiment of the present invention. FIG. 2 is a flowchart showing the overall processing, and FIGS. 3 and 4 are flowcharts showing the details of the branch processing in FIG. It is. FIG. 5 is a table showing the contents of the LSI external net information 31, and FIG.
Is a table showing the contents of the I / O partial circuit connection information 32;
FIG. 7 is a table showing the contents of the I / O macro specification information 33, FIG. 8 is a view showing Table 1, which is a first table,
FIG. 9 is a diagram showing Table 2 which is a second table.
0 is a diagram showing Table 3, which is the third table.

【0041】以下、図1〜図10を参照して、本実施の
形態の全体的な動作について詳細に説明する。図1にお
いて、ファイル読み込み手段20が、記憶装置2のLS
I外部ネット情報31、I/O部分回路接続情報32及
びI/Oマクロ仕様情報33を読み込む。ファイル読み
込み手段20は、これらのファイルを解析し、連想テー
ブル及びリストテーブルにセットする(図2のステップ
A1)。尚、連想テーブルとは、キーとなる値と、関係
するデータ、あるいは、データの集合とを関連付けて格
納する方式のデータ構造を有するテーブルである。従っ
て、データを格納した後に、前記キーを用いて、該キー
に関連付けられたデータを取得することができる。
Hereinafter, the overall operation of the present embodiment will be described in detail with reference to FIGS. In FIG. 1, the file reading means 20
It reads I external net information 31, I / O partial circuit connection information 32, and I / O macro specification information 33. The file reading means 20 analyzes these files and sets them in the association table and the list table (step A1 in FIG. 2). The associative table is a table having a data structure of a method of storing a key value and related data or a set of data in association with each other. Therefore, after storing the data, the key can be used to obtain data associated with the key.

【0042】ステップA1において、ファイル読み込み
手段20は、LSI外部ネット情報31から、LSIの
外部端子に繋がる外部ネットの名称をキーとして、図8
のテーブル1に示すように、外部ネット名(A列)、ソ
ースシンクロナスI/O配置可能情報(B列)、転送ク
ロックネット名(C列)、リファレンスネット名(D
列)、I/Oマクロへ入力する分配クロック(E列)を
連想テーブル1にセットする。ここで、ソースシンクロ
ナスI/O配置可能情報のフォーマットは、該当するI
/O領域に配置しても良いソースシンクロナスI/Oマ
クロ名(図8ではSSX1、SSX2)と全I/Oマク
ロでユニークな識別記号(ID)(図8では0、1)と
をシャープ記号‘#’で連結したものとなっている。
尚、マクロ名はマクロの種別を表す情報であり、IDは
I/Oパッドへの割付けの種別を表す割付情報である。
At step A1, the file reading means 20 uses the name of the external net connected to the external terminal of the LSI as a key from the LSI external net information 31 as shown in FIG.
As shown in Table 1, the external net name (column A), source synchronous I / O allocable information (column B), transfer clock net name (column C), and reference net name (D
Column) and the distribution clock (column E) to be input to the I / O macro are set in the association table 1. Here, the format of the source synchronous I / O allocable information is the
The source synchronous I / O macro names (SSX1 and SSX2 in FIG. 8) which may be arranged in the / O area and the unique identification symbols (ID) (0 and 1 in FIG. 8) for all I / O macros are sharpened. They are connected by the symbol '#'.
The macro name is information indicating the type of macro, and the ID is allocation information indicating the type of allocation to the I / O pad.

【0043】また、ファイル読み込み手段20は、図9
のテーブル2に示すように、I/O部分回路接続情報3
2から、外部ネット、使用するソースシンクロナスI/
Oマクロ名、内部論理へ導かれるネットをリストテーブ
ル2にセットし又、図10のテーブル3に示すように、
I/Oマクロ仕様情報33から、ソースシンクロナスI
/Oマクロ名をキーとして外部ネット名、分配クロック
ネット名、リファレンスネット名、内部論理へ導かれる
ネット名を連想テーブル3にセットする。次に、テーブ
ル2の各要素に対し、ステップA3〜A7の処理を繰り
返す。テーブル2で現在参照しているソースシンクロナ
スI/Oの接続情報の外部ネットそれぞれに対し次の処
理を繰り返す(ステップA4〜A7)。
Also, the file reading means 20
As shown in Table 2, I / O partial circuit connection information 3
From 2, external net, source synchronous I / O used
The O macro name and the net leading to the internal logic are set in the list table 2, and as shown in Table 3 of FIG.
From the I / O macro specification information 33, the source synchronous I
Using the / O macro name as a key, an external net name, a distribution clock net name, a reference net name, and a net name guided to the internal logic are set in the association table 3. Next, the processing of steps A3 to A7 is repeated for each element of table 2. The following processing is repeated for each external net of the connection information of the source synchronous I / O currently referred to in Table 2 (steps A4 to A7).

【0044】即ち、先ず、配置及びアサインチェック手
段21は、テーブル2の外部ネット名を用いてテーブル
1を検索し、テーブル1から該外部ネット名に対応する
レコード(配置可能情報、転送クロック名、リファレン
ス名、分配クロック名)を得る。さらに、配置及びアサ
インチェック手段21は、テーブル2における当該外部
ネット名に対応するマクロ名(使用マクロ名)を用いて
テーブル3を検索し、テーブル3から各々レコード(外
部ネット名、分配クロック名、リファレンス名、内部論
理へのネット名)を得る(ステップA4)。
That is, first, the arrangement and assignment checking means 21 searches the table 1 using the external net name of the table 2, and from the table 1, records corresponding to the external net name (arrangement information, transfer clock name, Reference name, distribution clock name). Further, the arrangement and assignment checking means 21 searches the table 3 using a macro name (used macro name) corresponding to the external net name in the table 2, and records (an external net name, a distribution clock name, Reference name, net name to internal logic) are obtained (step A4).

【0045】次に、配置及びアサインチェック手段21
は、前記使用マクロ名とテーブル1から得た配置可能情
報のマクロ名の一致をチェックし(ステップA5、図3
のステップB1)、不一致の場合は、不適切な配置であ
る旨を表す配置エラーを出力する。(ステップB2)。
一致している場合には、適正な配置であると判断して次
の処理に進む。これにより、配置及びアサインチェック
手段21は、配置可能情報に対応した適正なマクロの選
択を行ない、適正な配置チェックを行うことができる。
Next, arrangement and assignment checking means 21
Checks whether the used macro name matches the macro name of the allocable information obtained from Table 1 (step A5, FIG. 3).
In step B1), if they do not match, an arrangement error indicating improper arrangement is output. (Step B2).
If they match, it is determined that the arrangement is proper, and the process proceeds to the next processing. As a result, the arrangement and assignment checking means 21 can select an appropriate macro corresponding to the arrangement possible information and perform an appropriate arrangement check.

【0046】配置及びアサインチェック手段21は、現
在の外部ネットが1ネット目である場合(テーブル2に
おける最初の外部ネット名についての処理である場合)
は、テーブル1から得た配置可能情報のIDを、記憶装
置2内に設けられた割付情報記憶手段(図示せず)に記
憶しておく(ステップB3、B4)。配置及びアサイン
チェック手段21は、2ネット目以降の場合、1ネット
目で記憶していたIDとの一致をチェックし(ステップ
B5)、不一致の場合はアサインずれが生じた旨を表す
アサインエラーを出力する(ステップB6)。一致して
いる場合には、適正なアサインであると判断して次の処
理に進む。これにより、配置及びアサインチェック手段
21は、同一のIDが付与されたマクロを選択すること
になるため、適正なアサインか否かのチェックを行い、
アサインずれのチェックを行うことができる。
The arrangement and assignment checking means 21 determines that the current external net is the first net (when the process is for the first external net name in Table 2).
Stores the ID of the allocable information obtained from the table 1 in the allocation information storage means (not shown) provided in the storage device 2 (steps B3 and B4). The arrangement and assignment checking means 21 checks the coincidence with the ID stored in the first net in the case of the second and subsequent nets (step B5), and in the case of non-match, assigns an assignment error indicating that an assignment deviation has occurred. Output (Step B6). If they match, it is determined that the assignment is proper, and the process proceeds to the next process. As a result, the arrangement and assignment checking means 21 selects a macro to which the same ID is assigned, and checks whether or not the assignment is proper, and
It is possible to check the assignment deviation.

【0047】配置及びアサインチェック手段21は、テ
ーブル2における最初の外部ネット名(1ネット目)か
ら順に、テーブル2における各外部ネット名について、
前記配置チェック処理及びアサインチェック処理を行
う。上記処理によって、配置及びアサインチェック手段
21は、論理設計段階で、I/Oプリミティブが適正に
配置及びアサインされるように検査することが可能にな
る。
The arrangement and assignment checking means 21 sequentially checks each external net name in Table 2 from the first external net name (first net) in Table 2.
The arrangement check processing and the assignment check processing are performed. By the above processing, the arrangement and assignment checking means 21 can check at the logic design stage so that the I / O primitive is properly arranged and assigned.

【0048】次に、信号線接続ルール生成手段22は、
テーブル1から得た転送クロック名とテーブル3から得
たソースシンクロナスI/Oマクロ内転送クロック名の
一致をチェックし(ステップA6、C1、C2)、不一
致の場合は、転送クロック接続が不適切である旨を表す
転送クロック接続エラーを出力する(ステップC3)。
次に、信号線接続ルール生成手段22はネットの接続ル
ールを生成する。即ち、テーブル3の当該レコードマク
ロ内外部ネットを現在注目している外部ネットに接続
し、マクロ内クロックネット及びリファレンスネットは
テーブル1の分配クロック、リファレンスに接続し、内
部論理へ導かれるネットをテーブル2の当該レコードの
対応するネットに接続するルールを生成する(ステップ
A7)。
Next, the signal line connection rule generation means 22
It is checked whether the transfer clock name obtained from Table 1 matches the transfer clock name in the source synchronous I / O macro obtained from Table 3 (steps A6, C1, C2). If they do not match, the transfer clock connection is inappropriate. Is output (step C3).
Next, the signal line connection rule generation unit 22 generates a net connection rule. That is, the external net in the record macro of the table 3 is connected to the external net of interest at present, the clock net in the macro and the reference net are connected to the distribution clock and the reference in the table 1, and the net led to the internal logic is connected to the table. Then, a rule for connecting to the net corresponding to the record 2 is generated (step A7).

【0049】次に、接続・階層組み上げ手段23は、信
号線接続ルール、及び、I/Oマクロネットリストを入
力として受け付け、信号線接続ルールに従って、I/O
マクロ間を接続し、接続されたI/Oマクロ全体を、I
/O部分の回路の階層として組み上げ、ネットリストを
作成する。この時、ソースオープンネットに対しては入
力外部端子を、ロードオープンネットに対しては出力外
部端子をそれぞれ自動発生する。階層展開手段24は、
接続・階層組み上げ手段23によって作成されたI/O
部分の回路のネットリストを、I/Oマクロネットリス
ト34、ライブラリ35を参照して、プリミティブブロ
ックレベルまで階層を展開し、I/O部分回路ネットリ
スト36として記憶装置2に出力する。記憶装置2はI
/O部分回路ネットリスト36を記憶する。
Next, the connection / hierarchy assembling means 23 receives the signal line connection rule and the I / O macro net list as inputs, and according to the signal line connection rule, the I / O macro netlist.
Macros are connected, and the entire connected I / O macro is
A netlist is created by assembling the circuit hierarchy of the / O portion. At this time, an input external terminal is automatically generated for the source open net, and an output external terminal is automatically generated for the load open net. The hierarchy expanding means 24 includes:
I / O created by connection / hierarchy assembling means 23
With reference to the I / O macro netlist 34 and the library 35, the hierarchy of the partial circuit netlist is expanded to the primitive block level, and is output to the storage device 2 as the I / O partial circuit netlist 36. The storage device 2 is I
The / O partial circuit netlist 36 is stored.

【0050】以下、更に具体的に詳説する。図5、図
6、図7に示すように、LSI外部ネット情報31、I
/O部分回路接続情報32、I/Oマクロ仕様情報33
が各々、例えば、表計算ソフトで入力され予め準備され
ているものとする。今、これらが記憶装置2からファイ
ル読み込み手段20に与えられたとする。ファイル読み
込み手段20は、図5に示すLSI外部ネット情報31
の3〜13行から、A列の外部ネット名をキーとして、
B列のソースシンクロナスI/O配置可能情報、C列の
転送クロック、D列のリファレンスネット名、E列の分
配クロックを連想テーブル1にセットする(図8参
照)。
The following is a more detailed description. As shown in FIGS. 5, 6, and 7, the LSI external net information 31, I
/ O partial circuit connection information 32, I / O macro specification information 33
Are input and prepared in advance using spreadsheet software, for example. Now, it is assumed that these are given from the storage device 2 to the file reading means 20. The file reading means 20 stores the LSI external net information 31 shown in FIG.
From rows 3 to 13 of the above, using the external net name in column A as a key,
The source synchronous I / O allocable information in column B, the transfer clock in column C, the reference net name in column D, and the distribution clock in column E are set in the association table 1 (see FIG. 8).

【0051】また、ファイル読み込み手段20は、I/
O部分回路接続情報32の3〜4行から、B列の外部ネ
ット、A列のI/Oマクロ名、C列の内部論理へのネッ
トをリストテーブル2にセットする(図9参照)。この
際、B列、C列には、X(開始ビット−終了ビット)の
ようなバス記述ができる。例えば図6の例では、 A(0−15)=A(0),A(1),・・・,A(15) D(16−23)=D(16),・・・,D(23) となる。
Further, the file reading means 20 outputs the I /
From the third and fourth rows of the O partial circuit connection information 32, an external net in column B, an I / O macro name in column A, and a net to the internal logic in column C are set in the list table 2 (see FIG. 9). At this time, a bus description such as X (start bit-end bit) can be written in the columns B and C. For example, in the example of FIG. 6, A (0-15) = A (0), A (1),..., A (15) D (16-23) = D (16),. 23)

【0052】また、ファイル読み込み手段20は、I/
Oマクロ仕様情報33の3〜4行から、A列のマクロ名
をキーとして、B列の外部ネット、C列の分配クロッ
ク、D列のリファレンスネット名、E列の内部論理への
ネットを連想テーブル3にセットする(図10参照)。
以上がステップA1におけるファイル読み込み手段20
の処理である。リストテーブルである図9のテーブル2
の3行目のレコードに注目すると、外部ネットがA(0
−15)、X2SCKT、X2SCKCである。これか
らバス記述であるA(0−15)を展開して、A
(0)、A(1)、・・・、A(15)、X2SCK
T、X2SCKCを得る。最初の外部ネットA(0)で
連想テーブル1(図8参照)を検索し、外部ネットがA
(0)のデータを得る。
Further, the file reading means 20 outputs
From the third and fourth rows of the O macro specification information 33, the macro net in column A is used as a key to associate the external net in column B, the distribution clock in column C, the reference net name in column D, and the net to the internal logic in column E. Set on table 3 (see FIG. 10).
The above is the file reading means 20 in step A1.
This is the process. Table 2 of FIG. 9 which is a list table
Paying attention to the record in the third row, the external net is A (0
-15), X2SCKT and X2SCKC. From now on, the bus description A (0-15) will be expanded to A
(0), A (1), ..., A (15), X2SCK
T, X2SCKC is obtained. The first external net A (0) searches the association table 1 (see FIG. 8) and finds that the external net is A
The data of (0) is obtained.

【0053】さらに、使用しているI/Oマクロ(即
ち、テーブル2の3行目のマクロ)であるSSX2をキ
ーとして連想テーブル3(図10参照)を検索すると、
マクロ名がSSX2のデータを得る(ステップA4)。
テーブル1から得たソースシンクロナスI/O配置可能
情報(9行B列)の配置可能マクロ名SSX2と、使用
しているマクロ名SSX2とが一致していることから、
適正な配置であることが配置チェックによって確認され
る(ステップB1)。また、アサインチェックについて
は1ネット目であることから、ID1を記憶しておく
(ステップB3、B4)。転送クロック接続性チェック
は、テーブル1から得た転送クロック(9行C列)が空
であるので、処理しない(ステップA6、C1)。
Further, when the associative table 3 (see FIG. 10) is searched using SSX2, which is the used I / O macro (ie, the macro in the third row of table 2), as a key,
The data having the macro name SSX2 is obtained (step A4).
Since the assignable macro name SSX2 of the source synchronous I / O allocable information (9 rows and B columns) obtained from Table 1 matches the macro name SSX2 used,
An appropriate arrangement is confirmed by an arrangement check (step B1). Since the assignment check is the first net, ID1 is stored (steps B3 and B4). The transfer clock connectivity check is not processed because the transfer clock (9 rows and C columns) obtained from Table 1 is empty (steps A6 and C1).

【0054】次にテーブル3のマクロ内外部ネットD
(0)をA(0)に、内部論理へのネットはDAT
(0)を対応するテーブル2のADR(0)に、分配ク
ロックCLK、リファレンスネットREFは対応するテ
ーブル1(9行D列、E列)の分配クロックXCLK+
00、リファレンスネットXREF+02にそれぞれ接
続するルールを生成する(ステップA7)。このような
処理を外部ネット集合に対し繰り返す(ステップA3〜
A7)。さらにこの1ソースシンクロナスI/Oに対す
る処理をリストテーブル2の最後まで繰り返す(ステッ
プA2〜A7)。
Next, the external net D in the macro of Table 3
(0) to A (0), net to internal logic is DAT
(0) is the distribution clock CLK and the reference net REF are the distribution clock XCLK + of the corresponding table 1 (9 rows, D columns, E columns).
00, rules for connecting to the reference net XREF + 02 are generated (step A7). Such processing is repeated for the external net set (steps A3 to A3).
A7). Further, the processing for one source synchronous I / O is repeated until the end of the list table 2 (steps A2 to A7).

【0055】最後に、接続・階層組み上げ手段23は、
信号線接続ルールに従い、I/Oマクロネットリストを
接続し、I/O部分回路の階層を組み上げ、ネットリス
トを作成する。さらに、階層展開手段24は、接続・階
層組み上げ手段23によって作成されたI/O部分の回
路のネットリストをプリミティブブロックレベルまで展
開し、I/O部分回路ネットリスト36として記憶装置
2に出力する。
Finally, the connection / hierarchy assembling means 23
According to the signal line connection rule, the I / O macro netlist is connected, the hierarchy of the I / O partial circuit is assembled, and the netlist is created. Further, the hierarchy expanding means 24 expands the netlist of the circuit of the I / O part created by the connection / hierarchy assembling means 23 to the primitive block level, and outputs it to the storage device 2 as the I / O partial circuit netlist 36. .

【0056】以上述べたように本実施の形態に係る集積
回路のネットリスト生成方式は、特に、ソースシンクロ
ナスI/O部分回路のネットリストを生成する集積回路
のネットリスト生成方式において、少なくとも、複数の
外部ネット名及び前記外部ネット名に対応付けられた、
所定領域に配置可能な複数のI/Oソフトマクロ名及び
割付の種別を表す割付情報を含む配置可能情報が記憶さ
れ、論理設計の対象である集積回路の各外部端子に関す
る外部ネット情報を記憶する集積回路外部ネット情報記
憶手段と、少なくとも、複数の外部ネット名及び前記外
部ネット名に対応付けられた複数のI/Oソフトマクロ
名が記憶され、ネットリストの生成を行う対象であるI
/O部分回路内部の接続情報を記憶するI/O部分回路
接続情報記憶手段と、少なくとも、複数のI/Oソフト
マクロ名及び前記I/Oソフトマクロ名に対応付けられ
た複数の外部ネット名が記憶され、ネットリストの生成
に使用するI/Oソフトマクロの仕様に関する情報を記
憶するI/Oマクロ仕様情報記憶手段と、前記集積回路
外部ネット情報記憶手段、I/O部分回路接続情報記憶
手段、I/Oマクロ仕様情報記憶手段から、前記外部ネ
ット情報、I/O部分回路内部の接続情報及びI/Oソ
フトマクロの仕様に関する情報を読み込んで、少なくと
も前記集積回路外部ネット情報記憶手段から読み込んだ
複数の前記外部ネット名及び前記外部ネット名に対応付
けられた複数の配置可能情報を格納する第1のテーブル
と、少なくとも前記I/O部分回路接続情報記憶手段か
ら読み込んだ複数の前記外部ネット名及び前記外部ネッ
ト名に対応付けられた複数のI/Oソフトマクロ名を格
納する第2のテーブルと、少なくとも前記I/Oマクロ
仕様情報記憶手段から読み込んだ複数の前記I/Oソフ
トマクロ名及び前記I/Oソフトマクロ名に対応付けら
れた複数の外部ネット名を格納する第3のテーブルとを
読み込み記憶手段に記憶する読み込み手段と、I/Oソ
フトマクロの配置及び割付が適正か否かを検査する検査
手段と、前記検査手段がI/Oソフトマクロの配置及び
割付けを適正と判断したときに、前記読み込み手段で読
み込んだ情報に基づいて前記I/O部分回路のネットリ
ストを生成するネットリスト生成手段とを備えて成り、
前記検査手段は、前記第2のテーブルの各外部ネット名
について、前記第2のテーブルの外部ネット名に基づい
て前記第1のテーブルを検索して前記第2のテーブルの
外部ネット名に対応する前記第1のテーブルの配置可能
情報に含まれるI/Oソフトマクロ名を抽出し、前記第
2のテーブルの前記外部ネット名に対応付けられたI/
Oソフトマクロ名と前記第1のテーブルの配置可能情報
に含まれる前記I/Oソフトマクロ名が一致するときに
適正な配置と判断し、また、前記検査手段は、前記第2
のテーブルの外部ネット名に基づいて前記第1のテーブ
ルを検索して前記第2のテーブルの外部ネット名に対応
する前記第1のテーブルの配置可能情報に含まれる割付
情報を抽出して記憶する割付情報記憶手段を有し、前記
第2のテーブルの各外部ネット名について、1ネット目
の前記割付情報を前記割付情報記憶手段に記憶した後
に、前記第2のテーブルの外部ネット名に基づいて前記
第1のテーブルを検索して得られた、前記第2のテーブ
ルの外部ネット名に対応する前記第1のテーブルの配置
可能情報に含まれる割付情報が、前記割付情報記憶手段
に記憶した割付情報と一致する場合に、I/Oソフトマ
クロの割付を適正と判断することを特徴としている。し
たがって、LSI外部ネット情報31のソースシンクロ
ナスI/Oマクロ配置可能情報を参照してマクロに接続
するための外部ネットを割付けでき、また、自動的に配
置や割付けの正当性を検査できるため、ソフトマクロ構
成のソースシンクロナスI/Oを含むI/O部分回路ネ
ットリスト作成において、集積回路の下地上の所定の配
置可能領域に適正に且つ効率的に割付けすることが可能
になる。
As described above, the netlist generation method for an integrated circuit according to the present embodiment is, at least, in the netlist generation method for an integrated circuit for generating a netlist of a source synchronous I / O partial circuit. A plurality of external net names and associated with the external net names,
Placeable information including a plurality of I / O soft macro names that can be placed in a predetermined area and allocation information indicating a type of allocation is stored, and external net information regarding each external terminal of the integrated circuit to be logically designed is stored. Integrated circuit external net information storage means, and at least a plurality of external net names and a plurality of I / O soft macro names associated with the external net names are stored, and an I / O target for which a net list is to be generated is stored.
I / O partial circuit connection information storage means for storing connection information inside the / O partial circuit, and at least a plurality of I / O soft macro names and a plurality of external net names associated with the I / O soft macro names I / O macro specification information storage means for storing information on the specifications of I / O soft macros used for generating a netlist, the integrated circuit external net information storage means, and I / O partial circuit connection information storage Reading the external net information, the connection information inside the I / O partial circuit, and the information on the specification of the I / O soft macro from the I / O macro specification information storage means, and reading the information from at least the integrated circuit external net information storage means. A first table storing a plurality of read external net names and a plurality of allocable information associated with the external net names; A second table storing a plurality of external net names read from the I / O partial circuit connection information storage means and a plurality of I / O soft macro names associated with the external net names; The plurality of I / O soft macro names read from the macro specification information storage means and a third table storing a plurality of external net names associated with the I / O soft macro names are read and stored in the storage means. Reading means, checking means for checking whether the arrangement and allocation of the I / O soft macros are appropriate, and reading means when the checking means determines that the arrangement and allocation of the I / O soft macros are appropriate. Net list generating means for generating a net list of the I / O partial circuit based on the read information,
The inspection unit searches the first table for each external net name in the second table based on the external net name in the second table, and corresponds to the external net name in the second table. An I / O soft macro name included in the allocable information of the first table is extracted, and an I / O soft macro name associated with the external net name of the second table is extracted.
When the O soft macro name matches the I / O soft macro name included in the allocable information of the first table, it is determined that the I / O soft macro is correct.
The first table is searched based on the external net name of the table, and the allocation information included in the allocable information of the first table corresponding to the external net name of the second table is extracted and stored. Having an assignment information storage means, for each of the external net names in the second table, after storing the assignment information of the first net in the assignment information storage means, based on the external net name in the second table; Allocation information included in the allocable information of the first table, which is obtained by searching the first table and corresponds to the external net name of the second table, is stored in the allocation information storage means. When the information matches, the allocation of the I / O soft macro is determined to be appropriate. Therefore, the external net for connecting to the macro can be allocated by referring to the source synchronous I / O macro allocable information of the LSI external net information 31, and the validity of the allocation and allocation can be automatically checked. In creating an I / O partial circuit netlist including a source synchronous I / O having a soft macro configuration, it is possible to appropriately and efficiently allocate the I / O partial circuit netlist to a predetermined allocable area on a base of an integrated circuit.

【0057】また、本実施の形態によれば、LSI外部
ネット情報31に予め記述された転送クロックネット名
と実際に接続されたソースシンクロナスI/Oマクロ内
の転送クロックネット名とを付き合わせてチェックする
ため、ソースシンクロナスI/Oの転送クロック(正極
性、負極性)を正確に接続することが可能になる。ま
た、LSI外部ネット情報31に予め記述された実際に
接続すべきリファレンスネット名をもとに自動的に接続
するため、ソースシンクロナスI/Oの共通的な信号で
あるリファレンス信号の接続をI/O部分回路ネットリ
スト作成者が記述しなくても接続することが可能にな
る。
Further, according to the present embodiment, the transfer clock net name previously described in the LSI external net information 31 is matched with the transfer clock net name in the source synchronous I / O macro actually connected. Therefore, the transfer clock (positive polarity, negative polarity) of the source synchronous I / O can be accurately connected. Further, since the connection is automatically made based on the reference net name to be actually connected which is described in advance in the LSI external net information 31, the connection of the reference signal which is a common signal of the source synchronous I / O is set to I The connection can be made without the description of the / O partial circuit netlist creator.

【0058】また、ソフトマクロ構成が可能となるた
め、ソースシンクロナスI/Oをハードマクロ化する必
要がないという効果を奏する。また、本実施の形態に係
るプログラムは、コンピュータを前記の如く機能させる
ように構成している。したがって、前記プログラムをコ
ンピュータに実行させることによって、前述した効果を
得ることが可能になる。
Also, since a soft macro configuration is possible, there is an effect that it is not necessary to convert the source synchronous I / O into a hard macro. Further, the program according to the present embodiment is configured to cause a computer to function as described above. Therefore, the above-described effects can be obtained by causing the computer to execute the program.

【0059】[0059]

【発明の効果】本発明によれば、ソフトマクロ構成のソ
ースシンクロナスI/O部分回路のネットリスト作成に
おいて、下地上の配置可能領域に正確に効率的に割付け
ることが可能になる。また、ソースシンクロナスI/O
をハードマクロ化する必要がなくなるという効果を奏す
る。
According to the present invention, when creating a netlist of source synchronous I / O partial circuits having a soft macro structure, it is possible to accurately and efficiently allocate the netlist to a placeable area on a base. Also, source synchronous I / O
Is not required to be converted into a hard macro.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の実施の形態の構成を示すブロック図
である。
FIG. 1 is a block diagram showing a configuration of an embodiment of the present invention.

【図2】 本発明の実施の形態の動作を示すフローチャ
ートである。
FIG. 2 is a flowchart showing the operation of the embodiment of the present invention.

【図3】 本発明の実施の形態の動作を示すフローチャ
ートである。
FIG. 3 is a flowchart showing the operation of the embodiment of the present invention.

【図4】 本発明の実施の形態の動作を示すフローチャ
ートである。
FIG. 4 is a flowchart showing the operation of the embodiment of the present invention.

【図5】 本発明の実施の形態に使用するLSI外部ネ
ット情報を示す図である。
FIG. 5 is a diagram showing LSI external net information used in the embodiment of the present invention.

【図6】 本発明の実施の形態に使用するI/O部分回
路接続情報を示す図である。
FIG. 6 is a diagram showing I / O partial circuit connection information used in the embodiment of the present invention.

【図7】 本発明の実施の形態に使用するI/Oマクロ
仕様情報を示す図である。
FIG. 7 is a diagram showing I / O macro specification information used in the embodiment of the present invention.

【図8】 本発明の実施の形態に使用するテーブル1メ
モリイメージを示す図である。
FIG. 8 is a diagram showing a table 1 memory image used in the embodiment of the present invention.

【図9】 本発明の実施の形態に使用するテーブル2メ
モリイメージを示す図である。
FIG. 9 is a diagram showing a table 2 memory image used in the embodiment of the present invention.

【図10】 本発明の実施の形態に使用するテーブル3
メモリイメージを示す図である。
FIG. 10 is a table 3 used in the embodiment of the present invention.
It is a figure showing a memory image.

【図11】 従来のアサインずれを説明するための模式
図である。
FIG. 11 is a schematic diagram for explaining a conventional assignment deviation.

【符号の説明】[Explanation of symbols]

1・・・データ処理手段としてのデータ処理装置 2・・・記憶手段としての記憶装置 20・・・ファイル読み込み手段 21・・・検査手段としての配置及びアサインチェック
手段 22・・・ネットリスト生成手段を構成する信号線接続
ルール生成手段 23・・・ネットリスト生成手段を構成する接続・階層
積み上げ手段 24・・・ネットリスト生成手段を構成する階層展開手
段 31・・・集積回路外部ネット情報としてのLSI外部
ネット情報 32・・・I/O部分回路接続情報 33・・・I/Oマクロ仕様情報 34・・・I/Oマクロネットリスト 35・・・ライブラリ 36・・・I/O部分回路ネットリスト
DESCRIPTION OF SYMBOLS 1 ... Data processing device as data processing means 2 ... Storage device as storage means 20 ... File reading means 21 ... Arrangement and assignment check means as inspection means 22 ... Net list generation means 23... Connection / layer stacking means constituting the netlist generating means 24... Hierarchical developing means constituting the netlist generating means 31... As integrated circuit external net information LSI external net information 32 ... I / O partial circuit connection information 33 ... I / O macro specification information 34 ... I / O macro net list 35 ... Library 36 ... I / O partial circuit net list

Claims (12)

【特許請求の範囲】[Claims] 【請求項1】 ソースシンクロナスI/O部分回路のネ
ットリストを生成する集積回路のネットリスト生成方式
において、 論理設計の対象である集積回路の各外部端子に関する外
部ネット情報を記憶する集積回路外部ネット情報記憶手
段と、 ネットリストの生成を行う対象であるI/O部分回路内
部の接続情報を記憶するI/O部分回路接続情報記憶手
段と、 ネットリストの生成に使用するI/Oソフトマクロの仕
様に関する情報を記憶するI/Oマクロ仕様情報記憶手
段と、 前記集積回路外部ネット情報記憶手段、I/O部分回路
接続情報記憶手段、I/Oマクロ仕様情報記憶手段か
ら、前記外部ネット情報、I/O部分回路内部の接続情
報及びI/Oソフトマクロの仕様に関する情報を読み込
む読み込み手段と、 前記読み込み手段で読み込んだ情報に基づいて、I/O
ソフトマクロの配置及び割付けの適否を検査する検査手
段と、 前記検査手段がI/Oソフトマクロの配置及び割付けを
適正と判断したときに、前記読み込み手段で読み込んだ
情報に基づいて前記I/O部分回路のネットリストを生
成するネットリスト生成手段とを備えて成ることを特徴
とする集積回路のネットリスト生成方式。
1. An integrated circuit netlist generation method for generating a netlist of a source synchronous I / O partial circuit, comprising: an external circuit for storing external net information relating to each external terminal of an integrated circuit to be logically designed; Net information storage means, I / O partial circuit connection information storage means for storing connection information inside an I / O partial circuit for which a net list is generated, and an I / O soft macro used for generating a net list I / O macro specification information storage means for storing information relating to the specification of the integrated circuit; and said external net information from the integrated circuit external net information storage means, I / O partial circuit connection information storage means, and I / O macro specification information storage means. Reading means for reading connection information inside the I / O partial circuit and information relating to the specification of the I / O soft macro; Based on the elaborate information, I / O
Inspection means for inspecting whether the arrangement and allocation of the soft macros are appropriate; and when the inspection means determines that the arrangement and allocation of the I / O soft macros are appropriate, the I / O based on the information read by the reading means. A net list generating means for generating a net list of a partial circuit.
【請求項2】 前記集積回路外部ネット情報記憶手段に
は、少なくとも、複数の外部ネット名及び前記外部ネッ
ト名に対応付けられた、所定領域に配置可能な複数のI
/Oソフトマクロ名を含む配置可能情報が記憶され、 前記I/O部分回路接続情報記憶手段には、少なくと
も、複数の外部ネット名及び前記外部ネット名に対応付
けられた複数のI/Oソフトマクロ名が記憶され、 前記I/Oマクロ仕様情報記憶手段には、少なくとも、
複数のI/Oソフトマクロ名及び前記I/Oソフトマク
ロ名に対応付けられた複数の外部ネット名が記憶され、 前記読み込み手段は、少なくとも前記集積回路外部ネッ
ト情報記憶手段から読み込んだ複数の前記外部ネット名
及び前記外部ネット名に対応付けられた複数の配置可能
情報を格納する第1のテーブルと、少なくとも前記I/
O部分回路接続情報記憶手段から読み込んだ複数の前記
外部ネット名及び前記外部ネット名に対応付けられた複
数のI/Oソフトマクロ名を格納する第2のテーブル
と、少なくとも前記I/Oマクロ仕様情報記憶手段から
読み込んだ複数の前記I/Oソフトマクロ名及び前記I
/Oソフトマクロ名に対応付けられた複数の外部ネット
名を格納する第3のテーブルとを読み込み記憶手段に記
憶し、 前記検査手段は、前記第2のテーブルの各外部ネット名
について、前記第2のテーブルの外部ネット名に基づい
て前記第1のテーブルを検索して前記第2のテーブルの
外部ネット名に対応する前記第1のテーブルの配置可能
情報に含まれるI/Oソフトマクロ名を抽出し、前記第
2のテーブルの前記外部ネット名に対応付けられたI/
Oソフトマクロ名と前記第1のテーブルの配置可能情報
に含まれる前記I/Oソフトマクロ名が一致するときに
適正な配置と判断することを特徴とする請求項1記載の
集積回路のネットリスト生成方式。
2. The integrated circuit external net information storage means includes at least a plurality of external net names and a plurality of I which can be arranged in a predetermined area and are associated with the external net names.
The I / O partial circuit connection information storage means stores at least a plurality of external net names and a plurality of I / O software associated with the external net names. The I / O macro specification information storage means stores at least:
A plurality of I / O soft macro names and a plurality of external net names associated with the I / O soft macro names are stored, and the reading unit reads at least the plurality of the integrated circuit external net information storage units. A first table for storing an external net name and a plurality of allocable information associated with the external net name;
A second table storing a plurality of external net names read from the O partial circuit connection information storage means and a plurality of I / O soft macro names associated with the external net names, and at least the I / O macro specifications A plurality of I / O soft macro names read from the information storage means and the I / O soft macro names;
And a third table storing a plurality of external net names associated with the / O soft macro name, and storing the read third table in the storage means, wherein the inspection means determines, for each external net name in the second table, The first table is searched based on the external net name of the second table, and the I / O soft macro name included in the allocable information of the first table corresponding to the external net name of the second table is retrieved. I / O extracted and associated with the external net name in the second table
2. The integrated circuit netlist according to claim 1, wherein when the O soft macro name matches the I / O soft macro name included in the allocable information of the first table, it is determined that the layout is proper. Generation method.
【請求項3】 前記各配置可能情報には、割付の種別を
表す割付情報が含まれており、 前記検査手段は、前記第2のテーブルの外部ネット名に
基づいて前記第1のテーブルを検索して前記第2のテー
ブルの外部ネット名に対応する前記第1のテーブルの配
置可能情報に含まれる割付情報を抽出して記憶する割付
情報記憶手段を有し、前記第2のテーブルの各外部ネッ
ト名について、1ネット目の前記割付情報を前記割付情
報記憶手段に記憶した後に、前記第2のテーブルの外部
ネット名に基づいて前記第1のテーブルを検索して得ら
れた、前記第2のテーブルの外部ネット名に対応する前
記第1のテーブルの配置可能情報に含まれる割付情報
が、前記割付情報記憶手段に記憶した割付情報と一致す
る場合に、I/Oソフトマクロの割付を適正と判断する
ことを特徴とする請求項2記載の集積回路のネットリス
ト生成方式。
3. The arrangement information includes allocation information indicating a type of allocation, and the inspection unit searches the first table based on an external net name of the second table. And allocation information storage means for extracting and storing allocation information included in the allocable information of the first table corresponding to the external net name of the second table. The second name obtained by storing the allocation information of the first net in the allocation information storage means for the net name, and then searching the first table based on the external net name of the second table. If the allocation information included in the allocable information of the first table corresponding to the external net name of the table matches the allocation information stored in the allocation information storage means, the allocation of the I / O soft macro is properly performed. Netlist generation method of an integrated circuit according to claim 2, wherein the determining.
【請求項4】 更に、前記集積回路外部ネット情報記憶
手段には前記外部ネット名に対応付けられた、転送クロ
ックに関する情報である転送クロックネット名、リファ
レンス信号に関する情報であるリファレンスネット名及
び分配クロックに関する情報である分配クロック名が含
まれ、前記I/O部分回接続情報記憶手段には前記I/
Oソフトマクロ名に対応付けられた内部論理へのネット
名が記憶され、前記I/Oマクロ仕様情報記憶手段には
前記I/Oソフトマクロ名に対応付けられた分配クロッ
ク名、リファレンス名、内部論理へのネット名が記憶さ
れていることを特徴とする請求項1乃至3のいずれか一
に記載の集積回路のネットリスト生成方式。
4. The integrated circuit external net information storage means includes a transfer clock net name that is information relating to a transfer clock, a reference net name that is information relating to a reference signal, and a distribution clock that are associated with the external net name. And the I / O partial connection information storage means,
The I / O macro specification information storage means stores a distribution clock name, a reference name, and an internal name associated with the I / O macro name. 4. The netlist generation method for an integrated circuit according to claim 1, wherein a net name for the logic is stored.
【請求項5】 ソースシンクロナスI/O部分回路のネ
ットリストを生成する集積回路のネットリスト生成方式
において、 少なくとも複数の外部ネット名及び前記外部ネット名に
対応付けられ配置可能なI/Oソフトマクロ名と割付の
種別を表す割付情報を有する複数の配置可能情報を含
み、論理設計の対象である集積回路の各外部端子に関す
る外部ネット情報を記憶する第1の記憶手段と、 少なくとも複数の外部ネット名及び前記外部ネット名に
対応付けられた複数のI/Oソフトマクロ名を含み、ネ
ットリストの生成を行う対象であるI/O部分回路内部
の接続情報を記憶する第2の記憶手段と、 少なくとも複数のI/Oソフトマクロ名及び前記I/O
ソフトマクロ名に対応付けられた複数の外部ネット名を
含み、ネットリストの生成に使用するI/Oソフトマク
ロの仕様に関する情報を記憶する第3の記憶手段と、 I/Oソフトマクロの配置及び割付が適正か否かを検査
する検査手段と、 前記配置可能情報に含まれる割付情報を記憶する割付情
報記憶手段と、 前記検査手段がI/Oソフトマクロの配置及び割付けを
適正と判断したときに、前記I/O部分回路のネットリ
ストを生成するネットリスト生成手段とを備えて成り、 前記検査手段は、前記第2の記憶手段の外部ネット名各
々について、該外部ネット名に基づいて前記第1の記憶
手段を検索して前記第2の記憶手段の外部ネット名に対
応する前記第1の記憶手段の配置可能情報を抽出し、前
記第2の記憶手段の前記外部ネット名に対応付けられた
I/Oソフトマクロ名と前記第1の記憶手段の前記配置
可能情報に含まれるI/Oソフトマクロ名が一致すると
きに適正な配置と判断すると共に、前記配置可能情報に
含まれる1ネット目の割付情報を割付情報記憶手段に記
憶し、前記割付情報を前記割付情報記憶手段に記憶した
後に、前記第2の記憶手段の外部ネット名に基づいて前
記第1の記憶手段を検索して得られた、前記第2の記憶
手段の外部ネット名に対応する前記第1の記憶手段の配
置可能情報に含まれる割付情報が、前記割付情報記憶手
段に記憶した割付情報と一致するときに、I/Oソフト
マクロの割付を適正と判断することを特徴とする集積回
路のネットリスト生成方式。
5. An integrated circuit netlist generation method for generating a netlist of source synchronous I / O partial circuits, comprising: at least a plurality of external net names; and I / O software which can be arranged in association with the external net names. First storage means for storing external net information relating to each external terminal of an integrated circuit to be logically designed, including a plurality of allocable information having allocation information indicating a macro name and an allocation type; Second storage means for storing connection information inside an I / O partial circuit for which a net list is to be generated, including a net name and a plurality of I / O soft macro names associated with the external net name; At least a plurality of I / O soft macro names and said I / O
Third storage means for storing information relating to specifications of an I / O soft macro used for generating a net list, including a plurality of external net names associated with the soft macro name; Checking means for checking whether or not the allocation is appropriate; allocation information storing means for storing allocation information included in the allocable information; when the checking means determines that the allocation and allocation of the I / O soft macros are appropriate And a net list generating means for generating a net list of the I / O partial circuit, wherein the checking means checks each of the external net names in the second storage means based on the external net names. The first storage unit is searched to extract the allocable information of the first storage unit corresponding to the external net name of the second storage unit, and the extracted information is stored in the external net name of the second storage unit. When the associated I / O soft macro name and the I / O soft macro name included in the allocable information in the first storage unit match, it is determined that the arrangement is appropriate and included in the allocable information. After storing the allocation information of the first net to be allocated in the allocation information storage means and storing the allocation information in the allocation information storage means, the first storage means is stored on the basis of the external net name of the second storage means. Allocation information included in the allocable information of the first storage unit corresponding to the external net name of the second storage unit obtained by the search matches the allocation information stored in the allocation information storage unit. A method of generating a netlist for an integrated circuit, wherein the assignment of an I / O soft macro is determined to be appropriate.
【請求項6】 前記ネットリスト生成手段は、前記外部
ネット情報、I/O部分回路内部の接続情報及びI/O
ソフトマクロの仕様に関する情報に基づいて接続ルール
を生成する信号線接続ルール生成手段と、 信号線接続ルール生成手段によって生成された接続ルー
ルにしたがって、前記I/Oソフトマクロ間を接続し、
接続されたI/Oソフトマクロ全体をI/O部分回路の
階層として組み上げ、ネットリストを生成する接続・階
層組み上げ手段と、 前記接続・階層組み上げ手段によって生成されたネット
リストをプリミティブブロックレベルまで展開し、所望
のレベルのネットリストを生成する階層展開手段とを備
えて成ることを特徴とする請求項1乃至5のいずれか一
に記載の集積回路のネットリスト生成方式。
6. The netlist generating means includes: the external net information, connection information inside an I / O partial circuit, and an I / O
Connecting the I / O soft macros according to a connection rule generated by the signal line connection rule generating means for generating a connection rule based on information on the specification of the soft macro;
A connection / hierarchy assembling means for assembling the entire connected I / O soft macro as an I / O partial circuit hierarchy, and a netlist generated by the connection / hierarchy assembling means is expanded to a primitive block level. 6. The netlist generation method for an integrated circuit according to claim 1, further comprising: a hierarchy expanding means for generating a netlist of a desired level.
【請求項7】 コンピュータを、 論理設計の対象である集積回路の各外部端子に関する外
部ネット情報を記憶する集積回路外部ネット情報記憶手
段と、 ネットリストの生成を行う対象であるI/O部分回路内
部の接続情報を記憶するI/O部分回路接続情報記憶手
段と、 ネットリストの生成に使用するI/Oソフトマクロの仕
様に関する情報を記憶するI/Oマクロ仕様情報記憶手
段と、 前記集積回路外部ネット情報記憶手段、I/O部分回路
接続情報記憶手段、I/Oマクロ仕様情報記憶手段か
ら、前記外部ネット情報、I/O部分回路内部の接続情
報及びI/Oソフトマクロの仕様に関する情報を読み込
む読み込み手段と、 前記読み込み手段で読み込んだ情報に基づいて、I/O
ソフトマクロの配置及び割付けの適否を検査する検査手
段と、 前記検査手段がI/Oソフトマクロの配置及び割付けを
適正と判断したときに、前記読み込み手段で読み込んだ
情報に基づいて前記I/O部分回路のネットリストを生
成するネットリスト生成手段として機能させることを特
徴とするプログラム。
7. An integrated circuit external net information storage means for storing external net information relating to each external terminal of an integrated circuit to be logically designed, and an I / O partial circuit for generating a net list. I / O partial circuit connection information storage means for storing internal connection information; I / O macro specification information storage means for storing information on specifications of I / O soft macros used for generating a netlist; From the external net information storage means, the I / O partial circuit connection information storage means, and the I / O macro specification information storage means, the external net information, the connection information inside the I / O partial circuit, and the information on the specification of the I / O soft macro. Reading means for reading the I / O, based on the information read by the reading means,
Inspection means for inspecting whether the arrangement and allocation of the soft macros are appropriate; and when the inspection means determines that the arrangement and allocation of the I / O soft macros are appropriate, the I / O based on the information read by the reading means. A program for causing a computer to function as a netlist generating means for generating a netlist of a partial circuit.
【請求項8】 コンピュータを、 前記集積回路外部ネット情報記憶手段には、少なくと
も、複数の外部ネット名及び前記外部ネット名に対応付
けられた、所定領域に配置可能な複数のI/Oソフトマ
クロ名を含む配置可能情報を記憶し、 前記I/O部分回路接続情報記憶手段には、少なくと
も、複数の外部ネット名及び前記外部ネット名に対応付
けられた複数のI/Oソフトマクロ名を記憶し、 前記I/Oマクロ仕様情報記憶手段には、少なくとも、
複数のI/Oソフトマクロ名及び前記I/Oソフトマク
ロ名に対応付けられた複数の外部ネット名を記憶し、 前記読み込み手段は、少なくとも前記集積回路外部ネッ
ト情報記憶手段から読み込んだ複数の前記外部ネット名
及び前記外部ネット名に対応付けられた複数の配置可能
情報を格納する第1のテーブルと、少なくとも前記I/
O部分回路接続情報記憶手段から読み込んだ複数の前記
外部ネット名及び前記外部ネット名に対応付けられた複
数のI/Oソフトマクロ名を格納する第2のテーブル
と、少なくとも前記I/Oマクロ仕様情報記憶手段から
読み込んだ複数の前記I/Oソフトマクロ名及び前記I
/Oソフトマクロ名に対応付けられた複数の外部ネット
名を格納する第3のテーブルとを読み込み記憶手段に記
憶し、 前記検査手段は、前記第2のテーブルの各外部ネット名
について、前記第2のテーブルの外部ネット名に基づい
て前記第1のテーブルを検索して前記第2のテーブルの
外部ネット名に対応する前記第1のテーブルの配置可能
情報に含まれるI/Oソフトマクロ名を抽出し、前記第
2のテーブルの前記外部ネット名に対応付けられたI/
Oソフトマクロ名と前記第1のテーブルの配置可能情報
に含まれる前記I/Oソフトマクロ名が一致するときに
適正な配置と判断するように機能させることを特徴とす
る請求項7記載のプログラム。
8. The computer according to claim 1, wherein said integrated circuit external net information storage means includes at least a plurality of external net names and a plurality of I / O soft macros which can be arranged in a predetermined area associated with said external net names. The I / O partial circuit connection information storage means stores at least a plurality of external net names and a plurality of I / O soft macro names associated with the external net names. The I / O macro specification information storage means includes at least:
A plurality of I / O soft macro names and a plurality of external net names associated with the I / O soft macro names are stored, and the read unit reads at least the integrated circuit external net information storage unit. A first table for storing an external net name and a plurality of allocable information associated with the external net name;
A second table storing a plurality of external net names read from the O partial circuit connection information storage means and a plurality of I / O soft macro names associated with the external net names, and at least the I / O macro specifications A plurality of I / O soft macro names read from the information storage means and the I / O soft macro names;
And a third table storing a plurality of external net names associated with the / O soft macro name, and storing the read third table in the storage means, wherein the inspection means determines, for each external net name in the second table, The first table is searched based on the external net name of the second table, and the I / O soft macro name included in the allocable information of the first table corresponding to the external net name of the second table is retrieved. I / O extracted and associated with the external net name in the second table
8. The program according to claim 7, wherein when the O / soft macro name matches the I / O soft macro name included in the allocable information of the first table, the I / O soft macro name is determined to be appropriate. .
【請求項9】 コンピュータを、 前記各配置可能情報には、割付の種別を表す割付情報が
含ませ、 前記検査手段は、前記第2のテーブルの外部ネット名に
基づいて前記第1のテーブルを検索して前記第2のテー
ブルの外部ネット名に対応する前記第1のテーブルの配
置可能情報に含まれる割付情報を抽出して記憶する割付
情報記憶手段を有し、前記第2のテーブルの各外部ネッ
ト名について、1ネット目の前記割付情報を前記割付情
報記憶手段に記憶した後に、前記第2のテーブルの外部
ネット名に基づいて前記第1のテーブルを検索して得ら
れた、前記第2のテーブルの外部ネット名に対応する前
記第1のテーブルの配置可能情報に含まれる割付情報
が、前記割付情報記憶手段に記憶した割付情報と一致す
る場合に、I/Oソフトマクロの割付を適正と判断する
ように機能させることを特徴とする請求項8記載のプロ
グラム。
9. The computer according to claim 6, wherein each of said allocable information includes allocation information indicating a type of allocation, and said checking means reads said first table based on an external net name of said second table. An allocation information storage unit for searching and extracting allocation information included in the allocable information of the first table corresponding to the external net name of the second table, and storing the extracted allocation information; For the external net name, after storing the allocation information of the first net in the allocation information storage means, the second table obtained by searching the first table based on the external net name of the second table, When the allocation information included in the allocable information of the first table corresponding to the external net name of the second table matches the allocation information stored in the allocation information storage means, the I / O soft macro According to claim 8, wherein the program for causing a function to determine with proper.
【請求項10】 コンピュータを、 更に、前記集積回路外部ネット情報記憶手段には前記外
部ネット名に対応付けられた、転送クロックに関する情
報である転送クロックネット名、リファレンス信号に関
する情報であるリファレンスネット名及び分配クロック
に関する情報である分配クロック名を記憶し、前記I/
O部分回接続情報記憶手段には前記I/Oソフトマクロ
名に対応付けられた内部論理へのネット名を記憶し、前
記I/Oマクロ仕様情報記憶手段には前記I/Oソフト
マクロ名に対応付けられた分配クロック名、リファレン
ス名、内部論理へのネット名を記憶するように機能させ
ることを特徴とする請求項7乃至9のいずれか一に記載
のプログラム。
10. A computer, further comprising: a transfer clock net name as information relating to a transfer clock, and a reference net name as information relating to a reference signal, wherein the integrated circuit external net information storage means is associated with the external net name. And a distribution clock name which is information on the distribution clock.
The O partial connection information storage means stores a net name to the internal logic associated with the I / O soft macro name, and the I / O macro specification information storage means stores the net name for the I / O soft macro name. The program according to any one of claims 7 to 9, wherein the program is operable to store an associated distribution clock name, reference name, and net name to internal logic.
【請求項11】 コンピュータを、 少なくとも複数の外部ネット名及び前記外部ネット名に
対応付けられ配置可能なI/Oソフトマクロ名と割付の
種別を表す割付情報を有する複数の配置可能情報を含
み、論理設計の対象である集積回路の各外部端子に関す
る外部ネット情報を記憶する第1の記憶手段と、 少なくとも複数の外部ネット名及び前記外部ネット名に
対応付けられた複数のI/Oソフトマクロ名を含み、ネ
ットリストの生成を行う対象であるI/O部分回路内部
の接続情報を記憶する第2の記憶手段と、 少なくとも複数のI/Oソフトマクロ名及び前記I/O
ソフトマクロ名に対応付けられた複数の外部ネット名を
含み、ネットリストの生成に使用するI/Oソフトマク
ロの仕様に関する情報を記憶する第3の記憶手段と、 I/Oソフトマクロの配置及び割付が適正か否かを検査
する検査手段と、 前記配置可能情報に含まれる割付情報を記憶する割付情
報記憶手段と、 前記検査手段がI/Oソフトマクロの配置及び割付けを
適正と判断したときに、前記I/O部分回路のネットリ
ストを生成するネットリスト生成手段とを備えて成り、 前記検査手段は、前記第2の記憶手段の外部ネット名各
々について、該外部ネット名に基づいて前記第1の記憶
手段を検索して前記第2の記憶手段の外部ネット名に対
応する前記第1の記憶手段の配置可能情報を抽出し、前
記第2の記憶手段の前記外部ネット名に対応付けられた
I/Oソフトマクロ名と前記第1の記憶手段の前記配置
可能情報に含まれるI/Oソフトマクロ名が一致すると
きに適正な配置と判断すると共に、前記配置可能情報に
含まれる1ネット目の割付情報を割付情報記憶手段に記
憶し、前記割付情報を前記割付情報記憶手段に記憶した
後に、前記第2の記憶手段の外部ネット名に基づいて前
記第1の記憶手段を検索して得られた、前記第2の記憶
手段の外部ネット名に対応する前記第1の記憶手段の配
置可能情報に含まれる割付情報が、前記割付情報記憶手
段に記憶した割付情報と一致するときに、I/Oソフト
マクロの割付を適正と判断するように機能させることを
特徴とするプログラム。
11. A computer comprising: at least a plurality of external net names; a plurality of I / O soft macro names associated with the external net names; and a plurality of allocable information having allocation information indicating a type of allocation. First storage means for storing external net information relating to each external terminal of an integrated circuit to be logically designed; at least a plurality of external net names and a plurality of I / O soft macro names associated with the external net names Second storage means for storing connection information inside an I / O partial circuit for which a netlist is generated, at least a plurality of I / O soft macro names and the I / O
Third storage means for storing information relating to specifications of an I / O soft macro used for generating a net list, including a plurality of external net names associated with the soft macro name; Checking means for checking whether or not the allocation is appropriate; allocation information storing means for storing allocation information included in the allocable information; when the checking means determines that the allocation and allocation of the I / O soft macros are appropriate And a net list generating means for generating a net list of the I / O partial circuit, wherein the checking means checks each of the external net names in the second storage means based on the external net names. The first storage unit is searched to extract the allocable information of the first storage unit corresponding to the external net name of the second storage unit, and the extracted information is stored in the external net name of the second storage unit. When the associated I / O soft macro name and the I / O soft macro name included in the allocable information in the first storage unit match, it is determined that the arrangement is appropriate and included in the allocable information. After storing the allocation information of the first net to be allocated in the allocation information storage means and storing the allocation information in the allocation information storage means, the first storage means is stored on the basis of the external net name of the second storage means. Allocation information included in the allocable information of the first storage unit corresponding to the external net name of the second storage unit obtained by the search matches the allocation information stored in the allocation information storage unit. A program for causing the I / O soft macro to be properly assigned.
【請求項12】 コンピュータを、 前記ネットリスト生成手段が、前記外部ネット情報、I
/O部分回路内部の接続情報及びI/Oソフトマクロの
仕様に関する情報に基づいて接続ルールを生成する信号
線接続ルール生成手段と、 信号線接続ルール生成手段によって生成された接続ルー
ルにしたがって、前記I/Oソフトマクロ間を接続し、
接続されたI/Oソフトマクロ全体をI/O部分回路の
階層として組み上げ、ネットリストを生成する接続・階
層組み上げ手段と、 前記接続・階層組み上げ手段によって生成されたネット
リストをプリミティブブロックレベルまで展開し、所望
のレベルのネットリストを生成する階層展開手段として
の機能を有するように機能させることを特徴とする請求
項7乃至11のいずれか一に記載のプログラム。
12. The computer, wherein the net list generating means includes the external net information,
A signal line connection rule generating means for generating a connection rule based on the connection information inside the / O partial circuit and information on the specification of the I / O soft macro; and a connection rule generated by the signal line connection rule generating means. Connect between I / O soft macros,
A connection / hierarchy assembling means for assembling the entire connected I / O soft macro as an I / O partial circuit hierarchy, and a netlist generated by the connection / hierarchy assembling means is expanded to a primitive block level. 12. The program according to claim 7, wherein the program has a function as a hierarchy expanding unit that generates a netlist of a desired level.
JP2001066453A 2001-03-09 2001-03-09 Netlist generation method and program for integrated circuit Expired - Fee Related JP3491618B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001066453A JP3491618B2 (en) 2001-03-09 2001-03-09 Netlist generation method and program for integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001066453A JP3491618B2 (en) 2001-03-09 2001-03-09 Netlist generation method and program for integrated circuit

Publications (2)

Publication Number Publication Date
JP2002269164A true JP2002269164A (en) 2002-09-20
JP3491618B2 JP3491618B2 (en) 2004-01-26

Family

ID=18924936

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001066453A Expired - Fee Related JP3491618B2 (en) 2001-03-09 2001-03-09 Netlist generation method and program for integrated circuit

Country Status (1)

Country Link
JP (1) JP3491618B2 (en)

Also Published As

Publication number Publication date
JP3491618B2 (en) 2004-01-26

Similar Documents

Publication Publication Date Title
US6028991A (en) Layout parameter extraction device
US6083271A (en) Method and apparatus for specifying multiple power domains in electronic circuit designs
US8051400B2 (en) Modifying integrated circuit layout
JP2002149730A (en) Device and method for designing circuit and timing allocation device
CN112100949A (en) Automatic development method and device of integrated circuit chip and electronic equipment
JP2009518717A (en) Method and program product for protecting information in EDA tool design view
US11783104B2 (en) Apparatus and method for mapping foundational components during design porting from one process technology to another process technology
JP3163959B2 (en) LSI design data file conversion method and apparatus
US7152216B2 (en) Method, system, and computer program product for automatic insertion and correctness verification of level shifters in integrated circuits with multiple voltage domains
US20020199158A1 (en) Method and apparatus for designing a clock distributing circuit, and computer readable storage medium storing a design program
US6792579B2 (en) Spice to verilog netlist translator and design methods using spice to verilog and verilog to spice translation
CN114861574A (en) Logic simplification method applied to hierarchical physical design
US9690890B1 (en) Creating and using a wide-bus data structure to represent a wide-bus in an integrated circuit (IC) design
JP3491618B2 (en) Netlist generation method and program for integrated circuit
US7441215B1 (en) Hierarchical netlist comparison by relevant circuit order
JPH06252266A (en) Automatic design equipment of semiconductor integrated circuit device
JPWO2006025412A1 (en) Logic verification method, logic module data, device data, and logic verification apparatus
Khvatov et al. Development of an IP-cores Libraries as Part of the Design Flow of Integrated Circuits on FPGA
US6377909B1 (en) Method and apparatus for preparing a logic simulation model and recording medium for storing the same
JP2006338090A (en) Method and device for designing semiconductor integrated circuit
US5841157A (en) Semiconductor integrated circuit including a high density cell
CN110489885B (en) Operation method, device and related product
US20030093504A1 (en) Method for processing data containing information about an electronic circuit having a plurality of hierarchically organized networks, computer readable storage medium and data processing system containing computer-executable instructions for performing the method
JP2930087B2 (en) Logic design support system
US6189129B1 (en) Figure operation of layout for high speed processing

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071114

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081114

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081114

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091114

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091114

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101114

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111114

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111114

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121114

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121114

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131114

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees