JP2002124852A - Storage circuit, semiconductor integrated circuit and design method dealing with delay failure test - Google Patents

Storage circuit, semiconductor integrated circuit and design method dealing with delay failure test

Info

Publication number
JP2002124852A
JP2002124852A JP2000312101A JP2000312101A JP2002124852A JP 2002124852 A JP2002124852 A JP 2002124852A JP 2000312101 A JP2000312101 A JP 2000312101A JP 2000312101 A JP2000312101 A JP 2000312101A JP 2002124852 A JP2002124852 A JP 2002124852A
Authority
JP
Japan
Prior art keywords
flip
gate
input
circuit
flop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
JP2000312101A
Other languages
Japanese (ja)
Inventor
Ryoji Kusano
亮司 草野
Kenichi Yasukura
顕一 安藏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2000312101A priority Critical patent/JP2002124852A/en
Publication of JP2002124852A publication Critical patent/JP2002124852A/en
Abandoned legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To increase success rate of path delay test by enhancing the controllability of path delay test thereby making active a path to be tested stably and surely. SOLUTION: A flip-flop having a function for shifting normal input data to output and a function for setting a value to be outputted fixedly and outputting the set values cyclically according to a clock is employed in at least a part of a path of logic circuit formed between an external input and an external output and a desired fixed value is outputted to a gate connected with the path thus activating the path.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体集積回路に
係り、特に半導体集積回路を構成する記憶回路(フリッ
プフロップ)の遅延故障に関わるパス遅延テストに有効
な記憶回路、半導体集積回路及び遅延故障テスト対応設
計方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor integrated circuit, and more particularly to a storage circuit, a semiconductor integrated circuit, and a delay fault effective for a path delay test relating to a delay fault of a storage circuit (flip-flop) constituting the semiconductor integrated circuit. Related to test-ready design method.

【0002】[0002]

【従来の技術】従来より、製造されたLSIが実速度よ
り遅い動作サイクルでは正しく動作するが、実速度では
動作しないという現象が起きることがある。このような
状態をそのLSIに「遅延故障が起きている」という。
遅延故障が起きていないことの確認、つまり製造したL
SIの実動作速度保証のためには、速度テストを施し、
結果を確認する必要がある。そのために、半導体集積回
路のテストしたい回路中の信号伝搬経路(パス)を選択
し、そこに起きた遅延故障を仮定してテストするパス遅
延テストという方法がある。
2. Description of the Related Art Conventionally, a phenomenon may occur that a manufactured LSI operates correctly in an operation cycle lower than the actual speed, but does not operate at the actual speed. Such a state is referred to as "a delay failure has occurred" in the LSI.
Check that no delay failure has occurred, that is, the manufactured L
In order to guarantee the actual operating speed of SI, a speed test is performed.
You need to check the results. For this purpose, there is a method called a path delay test in which a signal propagation path (path) in a circuit of a semiconductor integrated circuit to be tested is selected and a test is performed on the assumption that a delay fault has occurred.

【0003】パス遅延テストとは、テストの対象をLS
I内の信号伝搬経路(パス)に分割して考え、それぞれ
について遅延故障を仮定したテストを施すテスト手法で
ある。通常LSIの実動作速度テストを行うためにはL
SI全体を実動作速度で動かす必要があるが、パス遅延
テスト手法ではテスト対象を分割して、それぞれに独立
したテストを行うためにテスト生成がしやすい、テスト
結果からの故障の特定や、テスト範囲の計算がしやすい
といったメリットがある。
[0003] The path delay test is performed by setting the test target to LS
This is a test method in which the test is divided into signal propagation paths (paths) in I and a test is performed on each of them assuming a delay fault. To perform an actual operation speed test of a normal LSI, use L
It is necessary to move the entire SI at the actual operating speed, but the path delay test method divides the test target and performs independent tests for each, making it easy to generate tests. There is an advantage that the calculation of the range is easy.

【0004】具体的なパス遅延テストの実施方法を図面
と合わせて説明する。例えば図11においてフリップフ
ロップ12の出力が値0から値1に変化し、その変化が
信号線112、NANDセル16、信号線113、OR
セル17、信号線114を経由してフリップフロップ1
5に到達するまでの信号伝搬経路(パス)について、そ
のパスが遅延故障を起こしていないかどうか、即ち伝搬
にかかる時間が設計仕様通りであるかどうかをテストし
たいとする。
A specific method of performing a path delay test will be described with reference to the drawings. For example, in FIG. 11, the output of the flip-flop 12 changes from the value 0 to the value 1, and the change is determined by the signal line 112, the NAND cell 16, the signal line 113, and the OR.
Flip-flop 1 via cell 17 and signal line 114
Suppose that it is desired to test whether or not the signal propagation path (path) leading to 5 reaches a delay fault in the path, that is, whether or not the time required for propagation is as designed.

【0005】このテストを実施するために踏む手順とし
て、まずフリップフロップ12に値0を、フリップフロ
ップ13に値1を、フリップフロップ14に値0を、ス
キャンチェーンの機能を使って設定しておく。
In order to carry out this test, first, a value 0 is set to the flip-flop 12, a value 1 is set to the flip-flop 13, and a value 0 is set to the flip-flop 14 using a scan chain function. .

【0006】スキャンチェーンの解説については次に述
べる。また組み合わせ回路11を操作し、信号19は値
1、110は値1、111は値0に設定する。この時信
号112は値0、信号113は値1、信号114は値1
になる。信号18にパルスを一度印可すると、フリップ
フロップ12、13、14、15はそれぞれのデータ入
力を取り込んで状態変化し、フリップフロップ12は値
0から値1へ、フリップフロップ13は再び値1へ、フ
リップフロップ14は再び値0に変化し、フリップフロ
ップ15は信号114の状態、値1を取り込む。フリッ
プフロップ12の状態が値0から値1に変わったことに
より、信号112は値0から値1へ変化、その影響で信
号113は値1から値0に変化し、さらに信号114が
値1から値0に変化して、信号状態の変化が伝搬されて
行く。
The explanation of the scan chain will be described below. Also, the combinational circuit 11 is operated, and the signal 19 is set to the value 1, 110 to the value 1, and 111 to the value 0. At this time, the signal 112 has a value of 0, the signal 113 has a value of 1, and the signal 114 has a value of 1.
become. Once a pulse is applied to the signal 18, the flip-flops 12, 13, 14, 15 take their respective data inputs and change state, the flip-flop 12 changes from a value 0 to a value 1, the flip-flop 13 changes to a value 1 again, The flip-flop 14 changes to the value 0 again, and the flip-flop 15 takes in the state of the signal 114, the value 1. When the state of the flip-flop 12 changes from the value 0 to the value 1, the signal 112 changes from the value 0 to the value 1, which changes the signal 113 from the value 1 to the value 0, and further changes the signal 114 from the value 1 to the value 1. The change to the value 0 propagates the change in the signal state.

【0007】その後、再び信号18にパルスを印可する
と、フリップフロップ15に信号線114の値が取り込
まれる。フリップフロップ15が取り込む信号線114
の値は、クロックが入った時点でフリップフロップ12
の状態変化が伝搬して114にまで到達していれば、値
0、まだ変化が伝搬しきってなければ、再び値1で、つ
まり、信号18に対する一回目のパルス印可と二回目の
パルス印可間隔と、信号112から信号114までの伝
搬経路の伝搬遅延との大小関係がフリップフロップ15
に取り込まれる値を左右することになる。
Thereafter, when a pulse is applied to the signal 18 again, the value of the signal line 114 is taken into the flip-flop 15. Signal line 114 captured by flip-flop 15
Of the flip-flop 12 when the clock is turned on.
If the state change has propagated to reach 114, the value is 0; if the change has not yet propagated, the value is again 1; that is, the first pulse application interval and the second pulse application interval for the signal 18; And the propagation delay of the propagation path from the signal 112 to the signal 114 is larger than the flip-flop 15.
Will determine the value captured by

【0008】一連の動作の後にフリップフロップ15の
取り込んだ値を観測することによって、信号112、1
13、114の伝搬経路を伝わる変化の伝搬遅延と信号
18に対する一回目のパルス印可と二回目のパルス印可
間隔との大小を確認できることになる。設計仕様上の伝
搬遅延がtであったとすれば、信号18に対する一回目
と二回目のパルス間隔をtにしてテストを実施し、フリ
ップフロップ15の取り込んだ値が0ならば実際の伝搬
遅延がt以下、1ならば実際の伝搬遅延はtより大きい
と判定できる。フリップフロップの取り込んだ値を観測
するためには、スキャンチェーンの機能を使う。
By observing the value taken in by flip-flop 15 after a series of operations, signals 112, 1
It is possible to confirm the propagation delay of the change transmitted through the propagation paths 13 and 114 and the magnitude of the first pulse application and the second pulse application interval for the signal 18. Assuming that the propagation delay in the design specification is t, a test is performed with the first and second pulse intervals for the signal 18 being set to t, and if the value taken in by the flip-flop 15 is 0, the actual propagation delay is If it is 1 or less than t, it can be determined that the actual propagation delay is greater than t. Use the scan chain function to observe the value captured by the flip-flop.

【0009】ここでスキャン設計とスキャンチェーンの
機能について説明しておく。スキャン設計とは、回路内
のフリップフロップ等のレジスタの信号状態を制御、観
測しやすくするための設計方法のーつで、回路内で使用
するレジスタを、通常のシステム時に使用する機能の他
にテスト時にのみ使われるシリアルシフト機能を持つよ
うに設計する。
Here, the scan design and the function of the scan chain will be described. Scan design is a design method for controlling and observing the signal state of registers such as flip-flops in a circuit.Registers used in a circuit are used in addition to functions used in a normal system. Design to have a serial shift function that is used only during testing.

【0010】各レジスタのシリアルシフト機能は、互い
を連結してシフトレジスタとして機能するようにしてお
き、テスト時にはそのレジスタのシリアルシフト機能を
利用することで、レジスタの状態が制御観測できるよう
になる。この特殊なレジスタをスキャンレジスタ、中で
も通常はフリップフロップとして機能するものをスキャ
ンフリップフロップと呼ぶ。
The serial shift function of each register is connected to each other to function as a shift register, and the state of the register can be controlled and observed by using the serial shift function of the register during a test. . This special register is called a scan register, and a register that normally functions as a flip-flop is called a scan flip-flop.

【0011】図12は一般的に2相クロック型スキャン
フリップフロップと呼ばれるスキャンフリップフロップ
の設計例である。このフリップフロップは、入力84
(A)と入力85(B)を値0に固定した状態では、入
力81(D)の値をクロック83(CP)の立ち上がり
エッジで取り込んで出力86(Q)に伝搬するエッジ動
作型フリップフロップとして動作する。
FIG. 12 shows a design example of a scan flip-flop generally called a two-phase clock scan flip-flop. This flip-flop has input 84
In the state where (A) and the input 85 (B) are fixed to the value 0, the edge-operating flip-flop which takes in the value of the input 81 (D) at the rising edge of the clock 83 (CP) and propagates it to the output 86 (Q) Works as

【0012】また、入力83(CP)を値1に固定した
状態では、入力82(SI)の値を入力84(A)が値
1のときに取り込み、また入力85(B)が値1の時に
は出力87(SO)の値が更新されるような仕組みにな
っている。入力85(B)が値0の時にはゲート814
は遮断、ゲート820、821、815よりなるループ
が形成され、その結果、出力87(SO)の値は保持さ
れる。
When the input 83 (CP) is fixed at a value of 1, the value of the input 82 (SI) is fetched when the input 84 (A) is at the value 1, and the input 85 (B) is set at the value of 1 at the input 85 (B). Sometimes, the value of the output 87 (SO) is updated. When the input 85 (B) has the value 0, the gate 814
Is cut off, and a loop composed of the gates 820, 821, and 815 is formed. As a result, the value of the output 87 (SO) is maintained.

【0013】つまりこのフリップフロップは、入力81
(D)をデータ入力、入力83(CP)をクロック入
力、出力86(Q)をデータ出力として通常のエッジ動
作型フリップフロップとして動作する機能と、入力82
(SI)をデータ入力、出力87(SO)をデータ出
力、入力84(A)をマスタークロック、入力85
(B)をスレーブクロックとして2相クロック型フリッ
プフロップとして動作する機能とを持ち合わせている。
このフリップフロップは、システムとしてはエッジ動作
型フリップフロップの機能を使うように、シリアルシフ
トレジスタとしては2相クロック型フリップフロップと
しての機能を使うことによって、スキャンフリップフロ
ップとしての役割を果たす。
That is, this flip-flop has an input 81
(D) is a data input, an input 83 (CP) is a clock input, an output 86 (Q) is a data output, and operates as a normal edge operation type flip-flop.
(SI) is data input, output 87 (SO) is data output, input 84 (A) is master clock, input 85
(B) is used as a slave clock to operate as a two-phase clock flip-flop.
This flip-flop functions as a scan flip-flop by using the function of an edge operation type flip-flop as a system and by using the function of a two-phase clock type flip-flop as a serial shift register.

【0014】[0014]

【発明が解決しようとする課題】上記した従来のパス遅
延テスト方法における問題点として、テストしたいパス
をアクティブに保つことの困難性が上げられる。例えば
図11の信号112、113、114の伝搬経路のテス
トを正確に行うためには、このパスを信号変化が確実に
伝搬するように、即ち信号115を値1、信号116を
値0に固定しなければならない。信号115を値1、信
号116を値0に固定するということは即ちフリップフ
ロップ13の保持データを値1、フリップフロップ14
の保持データを値0に固定しておかなければならないこ
とを意味する。しかし、テスト中、信号18にパルスが
入った時点でフリップフロップ13、フリップフロップ
14の保持データは信号110、111の値をとりこん
で変化してしまう。信号110、111の値を設定する
には組み合わせ回路11を制御しなければならないが、
その制御が確実にできるとは限らない。
A problem with the above-described conventional path delay test method is that it is difficult to keep a path to be tested active. For example, in order to accurately test the propagation paths of the signals 112, 113, and 114 in FIG. 11, it is necessary to ensure that a signal change propagates along this path, that is, fix the signal 115 to the value 1 and fix the signal 116 to the value 0. Must. Fixing the signal 115 to the value 1 and the signal 116 to the value 0 means that the data held in the flip-flop 13 is the value 1 and the flip-flop 14
Has to be fixed to the value 0. However, during the test, when a pulse is applied to the signal 18, the data held in the flip-flops 13 and 14 changes by taking in the values of the signals 110 and 111. To set the values of the signals 110 and 111, the combinational circuit 11 must be controlled.
That control is not always possible.

【0015】例えばフリップフロップ13が、常にクロ
ック18にパルスが入る度に保持する値がトグルするよ
うに前段の回路11が設計されていたならば、18にパ
ルスが印可される前後を通して保持する値を1に固定す
ることは不可能となる。こういったクロックヘのパルス
印可前後でフリップフロップの保持する値を固定するこ
との困難さは、パス遅延テストにおいてはテストしたい
パスをアクティブに保つことの困難さに繋がる。
For example, if the circuit 11 in the preceding stage is designed so that the value held by the flip-flop 13 always toggles each time a pulse is input to the clock 18, the value held before and after the pulse is applied to the clock 18. Cannot be fixed to 1. The difficulty in fixing the value held by the flip-flop before and after the application of the pulse to the clock leads to the difficulty in keeping the path to be tested active in the path delay test.

【0016】本発明は、上述の如き従来の課題を解決す
るためになされたもので、その目的は、パス遅延テスト
の制御性を向上させてテストしたいパスを安定且つ確実
にアクティブとして、パス遅延テストの成功率を高くす
ることができる記憶回路、半導体集積回路及び遅延故障
テスト対応設計方法を提供することである。
SUMMARY OF THE INVENTION The present invention has been made to solve the above-mentioned conventional problems, and an object of the present invention is to improve the controllability of a path delay test so that a path to be tested is made active stably and surely. An object of the present invention is to provide a storage circuit, a semiconductor integrated circuit, and a design method for a delay fault test capable of increasing the success rate of a test.

【0017】[0017]

【課題を解決するための手段】上記目的を達成するため
に、請求項1の発明の特徴は、入力データをクロックの
印可と共にシフトして出力端子から出力する記憶回路に
おいて、設定値を入力する入力手段と、前記入力手段か
ら入力した設定値を保持して出力端子から出力する保持
手段と、前記出力端子のデータをクロックパルスの印可
と共に入力して前記保持手段に循環的に保持させる出力
データ固定手段とを具備することにある。
In order to achieve the above object, a feature of the present invention is that a set value is inputted to a storage circuit which shifts input data together with application of a clock and outputs it from an output terminal. Input means, holding means for holding a set value input from the input means and outputting it from an output terminal, and output data for inputting data of the output terminal together with application of a clock pulse and causing the holding means to cyclically hold the data. Fixing means.

【0018】請求項2の発明の特徴は、クロックパルス
印可時に取り込むデータを、前記保持手段で循環的に保
持させたデータか、あるいは外部からのデータ入力にす
るか選択できるような機能を持ち、どちらを選択するか
を事前のスキャンパスシフトによって設定できることに
ある。
A feature of the invention of claim 2 is that it has a function of selecting whether data to be taken in when a clock pulse is applied is data held cyclically by the holding means or data input from outside, Which is to be selected can be set by a scan path shift in advance.

【0019】請求項3の発明の前記入力手段は、入力端
子とそれに接続される第1ゲートから成り、前記保持手
段は、前記第1ゲートに接続される第2ゲートと第1イ
ンバータと第2インバータと第3ゲートから成る第1の
ループ回路とこの第1のループ回路に第4ゲートを介し
て接続される第3インバータと第4インバータと第5ゲ
ートとから成る第2ループ回路及びこの第2ループ回路
に接続される出力端子とから成り、前記出力データ固定
手段は、前記第2ループ回路の保持値を第5インバー
タ、マルチプレクサ、第6ゲートを介して前記第1のル
ープ回路に導入する回路から成り、前記選択手段は、前
記入力手段の第1ゲートに接続される第7ゲートとこの
第7ゲートに接続される第6インバータと第7インバー
タと第8ゲートから成る第3のループ回路及び前記マル
チプレクサから成ることを特徴とする。
According to a third aspect of the present invention, the input means comprises an input terminal and a first gate connected thereto, and the holding means comprises a second gate connected to the first gate, a first inverter, and a second inverter. A first loop circuit including an inverter and a third gate, a second loop circuit including a third inverter, a fourth inverter, and a fifth gate connected to the first loop circuit via a fourth gate; An output terminal connected to a two-loop circuit, wherein the output data fixing means introduces the held value of the second loop circuit into the first loop circuit via a fifth inverter, a multiplexer, and a sixth gate. The input means comprises a seventh gate connected to a first gate of the input means, a sixth inverter, a seventh inverter, and an eighth gate connected to the seventh gate. That it is characterized in that it consists of the third loop circuit and the multiplexer.

【0020】請求項4の発明の特徴は、請求項1又は2
記載の記憶回路を外部入力と外部出力の間に形成される
論理回路の少なくとも一部に用いたことにある。
A feature of the invention of claim 4 is that of claim 1 or 2
The described storage circuit is used for at least a part of a logic circuit formed between an external input and an external output.

【0021】請求項5の発明の特徴は、少なくとも1個
以上の記憶回路を含むテスト対象回路のテスト対象パス
を選択するステップと、前記テスト対象パスを活性化す
るために回路が取るべき状態を解析し、必要に応じてテ
ストポイント回路を挿入するステップと、前記テスト対
象回路の状態保持性を計算するステップと、前記状態保
持性より置換対象記憶回路を選択するステップと、前記
選択した置換対象記憶回路を請求項1記載の記憶回路に
置換するステップとを具備することにある。
According to a fifth aspect of the present invention, a step of selecting a test target path of a test target circuit including at least one or more storage circuits, and a state to be taken by the circuit to activate the test target path. Analyzing and inserting a test point circuit as needed; calculating a state retention of the test target circuit; selecting a replacement target storage circuit based on the state retention; Replacing the storage circuit with the storage circuit according to the first aspect.

【0022】ここで、上記入力端子は図1の端子SI
に、第1ゲートはトランスミッションゲート215に、
第2ゲートはトランスミッションゲート212に、第1
インバータはインバータ219に、第2インバータはイ
ンバータ220に、第3ゲートはトランスミッションゲ
ート216に、第4ゲートはトランスミッションゲート
213に、第3インバータはインバータ221に、第4
インバータはインバータ225に、第5ゲートはトラン
スミッションゲート214に、第5インバータはインバ
ータ223に、マルチプレクサはマルチプレクサ210
に、第6ゲートはトランスミッションゲート211に、
第7ゲートはトランスミッションゲート217に、第6
インバータはインバータ224に、第7インバータはイ
ンバータ225に、第8ゲートはトランスミッションゲ
ート218に対応する。
Here, the input terminal is the terminal SI of FIG.
The first gate is connected to the transmission gate 215,
The second gate is connected to the transmission gate 212 and the first gate.
The inverter is the inverter 219, the second inverter is the inverter 220, the third gate is the transmission gate 216, the fourth gate is the transmission gate 213, the third inverter is the inverter 221,
The inverter is the inverter 225, the fifth gate is the transmission gate 214, the fifth inverter is the inverter 223, and the multiplexer is the multiplexer 210.
The sixth gate is connected to the transmission gate 211,
The seventh gate is connected to the transmission gate 217 and the sixth gate.
The inverter corresponds to the inverter 224, the seventh inverter corresponds to the inverter 225, and the eighth gate corresponds to the transmission gate 218.

【0023】[0023]

【発明の実施の形態】以下、本発明の実施の形態を図面
に基づいて説明する。図1は、本発明の記憶回路の一実
施形態に係る構成を示した回路図である。
Embodiments of the present invention will be described below with reference to the drawings. FIG. 1 is a circuit diagram showing a configuration according to an embodiment of a storage circuit of the present invention.

【0024】本発明のスキャンフリップフロップは、入
力信号として21(D)、22(SI)、23(C
P)、24(A)、25(B)、26(SU)、27
(ST)を持つ。また出力信号として、28(Q)、2
9(SO)を持つ。
In the scan flip-flop of the present invention, 21 (D), 22 (SI), and 23 (C
P), 24 (A), 25 (B), 26 (SU), 27
(ST). As output signals, 28 (Q), 2
9 (SO).

【0025】本例のフリップフロップの動作を解説す
る。まず、入力24(A)、25(B)、26(S
U)、27(ST)が値0の固定の時には本フリップフ
ロップは入力21(D)をデータ入力、入力23(C
P)をクロック入力、出力28(Q)をデータ出力とし
た立ち上がりエッジ動作フリップフロップとして動作す
る。
The operation of the flip-flop of this embodiment will be described. First, inputs 24 (A), 25 (B), 26 (S
When U) and 27 (ST) have a fixed value of 0, this flip-flop inputs data from input 21 (D) and inputs data from input 23 (C).
P) operates as a rising edge operation flip-flop having a clock input and an output 28 (Q) as a data output.

【0026】この入力条件の時、ゲート210は入力2
1の(D)からの入力を通過させ、ゲート216は通過
状態で固定し、内部信号236(CUN)は入力23
(CP)の反転、内部信号237(CU)は入力23
(CP)と同値になる。この動作条件下で入力23(C
P)が値0の時にはゲート213が入力21(D)から
の信号伝搬を遮断し、出力28はゲート221、22
2、214からなるループの出力となる。
Under this input condition, the gate 210 sets the input 2
1, the input from (D) is passed, the gate 216 is fixed in the passing state, and the internal signal 236 (CUN) is input 23.
(CP) is inverted and the internal signal 237 (CU) is input 23
It has the same value as (CP). Under these operating conditions, input 23 (C
When P) has the value 0, the gate 213 blocks the signal propagation from the input 21 (D), and the output 28 becomes the gates 221 and 22.
It is the output of the loop consisting of 2,214.

【0027】入力23(CP)が0から1に変化する
と、ゲート211が遮断され、ゲート219、220、
216、212よりなるループが開放となり、その直前
まで入力21から伝搬されてきた値を保持し続ける。そ
の値は開放されたゲート213とゲート221を通して
出力28(Q)に伝搬される。入力23(CP)が1か
ら0に変化すると、ゲート213が遮断され、その時ゲ
ート221を通っていた値がゲート221、222、2
14より成るループで保持されるようになる。
When the input 23 (CP) changes from 0 to 1, the gate 211 is shut off and the gates 219, 220,
The loop consisting of 216 and 212 is released, and keeps the value transmitted from the input 21 until immediately before. The value is propagated to output 28 (Q) through open gates 213 and 221. When the input 23 (CP) changes from 1 to 0, the gate 213 is shut off, and the value passing through the gate 221 at that time is changed to the gate 221, 222, 2
14 are held.

【0028】続いて入力24(A)、25(B)、26
(SU)が値0、27(ST)が値1の固定の時の動作
を解説する。この入力条件の時、ゲート210の出力は
出力29(SO)に選択されて入力21の(D)からの
入力値か、ゲート233の出力値かを選択通過させる。
ゲート216は通過状態、内部信号236(CUN)は
入力23(CP)の反転、内部信号237(CU)は入
力23(CP)と同じ状態になる。出力29(SO)
は、入力25(B)が0であることから、ゲート22
4、ゲート225、ゲート218のループで保持された
データとなる。
Subsequently, inputs 24 (A), 25 (B), 26
The operation when (SU) is fixed to the value 0 and 27 (ST) is fixed to the value 1 will be described. Under this input condition, the output of the gate 210 is selected as the output 29 (SO) to selectively pass the input value from the input 21 (D) or the output value of the gate 233.
The gate 216 is in the passing state, the internal signal 236 (CUN) is the inversion of the input 23 (CP), and the internal signal 237 (CU) is in the same state as the input 23 (CP). Output 29 (SO)
Indicates that the input 25 (B) is 0,
4, the data held in the loop of the gate 225 and the gate 218.

【0029】この動作条件下で入力23(CP)が値0
の時にはゲート213が入力21からのデータを遮断
し、出力28はゲート221、222、214からなる
ループの出力となる。
Under these operating conditions, the input 23 (CP) has the value 0
In this case, the gate 213 cuts off the data from the input 21 and the output 28 is the output of the loop composed of the gates 221, 222 and 214.

【0030】入力23(CP)が値0から値1に変化す
ると、ゲート211が遮断され、ゲート219、22
0、216、212よりなるループはその直前のゲート
210からの信号を保持し続ける。その信号は開放され
たゲート213とゲート221を通して出力28(Q)
へ伝搬される。
When the input 23 (CP) changes from the value 0 to the value 1, the gate 211 is cut off and the gates 219 and 22 are turned off.
The loop consisting of 0, 216, and 212 keeps holding the signal from the gate 210 immediately before. The signal is output through the opened gate 213 and the gate 221 to output 28 (Q).
Propagated to

【0031】入力23(CP)が1から0に変化する
と、ゲート213が遮断され、その時ゲート221を通
っていたデータがゲート221、222、214よりな
るループで保持されるようになる。
When the input 23 (CP) changes from 1 to 0, the gate 213 is shut off, and the data passing through the gate 221 at that time is held in a loop composed of the gates 221, 222 and 214.

【0032】続いて入力26(SU)が値1の固定の時
の動作を解説する。この入力条件の時、ゲート213は
ゲート219からの信号値伝搬を遮断し、ゲート214
は開放されるので、出力28(Q)はゲート221、2
22、214よりなるループが保持するデータを出力し
続けることになる。
Next, the operation when the value of the input 26 (SU) is fixed to 1 will be described. Under this input condition, the gate 213 interrupts the signal value propagation from the gate 219 and the gate 214
Is open, the output 28 (Q) is applied to the gates 221 and 2
The data held by the loop composed of the loops 22 and 214 is continuously output.

【0033】最後に入力23が値1の固定の時の動作を
解説する。この入力条件の時、本フリップフロップは入
力22(SI)をデータ入力、入力24(4)をマスタ
ークロック、入力(B)をスレーブクロック、出力29
(SO)をデータ出力とする2相クロック型フリップフ
ロップとして動作する。入力23(CP)が1で固定の
ため、ゲート212は通過、211は遮断となってい
る。
Finally, the operation when the input 23 is fixed to the value 1 will be explained. Under this input condition, the flip-flop of the present invention has an input 22 (SI) as a data input, an input 24 (4) as a master clock, an input (B) as a slave clock, and an output 29.
(SO) operates as a two-phase clock flip-flop that outputs data. Since the input 23 (CP) is fixed at 1, the gate 212 is passed and the gate 211 is shut off.

【0034】この動作条件下で入力24(A)が値0か
ら値1になると、ゲート215は開放されゲート21
2、217に入力22(SI)からのデータが伝搬され
る。続けて入力24(A)が0になるとゲート215は
遮断、代わりにゲート216が開放され、ゲート21
9、220、216、212でループが形成されて直前
のデータを保持し続ける。
When the input 24 (A) changes from the value 0 to the value 1 under this operating condition, the gate 215 is opened and the gate 21 is opened.
Data from input 22 (SI) is propagated to 2, 217. Subsequently, when the input 24 (A) becomes 0, the gate 215 is shut off, the gate 216 is opened instead, and the gate 21 is turned off.
A loop is formed at 9, 220, 216, and 212 to keep the immediately preceding data.

【0035】また、入力25(B)が値0から値1にな
るとゲート217が開放され、ゲート219、220、
216、212からなるループの保持データをゲート2
24、225を通して出力29(SO)に伝搬する。続
けて入力25(B)が0になるとゲート217は遮断、
代わりにゲート218が開放されて直前にゲート22
4、225を伝搬していたデータはゲート224、22
5、218よりなるループに保持されて出力29(S
O)に伝搬する。
When the input 25 (B) changes from the value 0 to the value 1, the gate 217 is opened, and the gates 219, 220,
The data held in the loop consisting of 216 and 212 is transferred to gate 2
24, 225 to the output 29 (SO). Subsequently, when the input 25 (B) becomes 0, the gate 217 is shut off,
Instead, the gate 218 is opened and immediately before the gate 22
4 and 225 are transmitted to gates 224 and 22.
5, 218, and output 29 (S
O).

【0036】図2は上記した図1に示した本案のフリッ
プフロップを用いた設計例で、フリップフロップの特殊
な機能を用いたパス遅延テスト方法について解説するた
めの図である。回路内に3つ(32、33、34)配置
された本案のフリップフロップは、通常の動作において
使用するフリップフロップを置き換えたものという位置
づけである。
FIG. 2 is a diagram illustrating a design example using the flip-flop of the present invention shown in FIG. 1 and explaining a path delay test method using a special function of the flip-flop. The three (32, 33, 34) flip-flops of the present invention are positioned in a circuit by replacing flip-flops used in normal operation.

【0037】通常動作としての機能を保つために、各フ
リップフロップのD入力、CP入力、Q出力はシステム
論理信号(315、316、317、318、319、
36)と接続されている。また、スキャンチェーン機能
が働くように、各フリップフロップのSI入力、SC出
力を連結したスキャンシフトパス接続(311、31
2、313、314)とスキャン制御クロック接続(3
7、38)を行っている。
In order to maintain the function as a normal operation, the D input, CP input, and Q output of each flip-flop are connected to system logic signals (315, 316, 317, 318, 319, 319).
36). Also, a scan shift path connection (311, 31) connecting the SI input and SC output of each flip-flop so that the scan chain function works.
2, 313, 314) and scan control clock connection (3
7, 38).

【0038】更に本発明の特徴であるフリップフロップ
出力制御信号(39、310)を接続している。テスト
に使用する信号兼端子として36(CP−EXT)、3
7(A−EXT)、38(B−EXT)、39(SU−
EXT)、310(ST−EXT)、311(SI−E
XT)、312(SO−EXT)を備えている。その
内、312(SO−EXT)のみが出力端子で、他は入
力端子とする。
Further, flip-flop output control signals (39, 310) which are a feature of the present invention are connected. 36 (CP-EXT), 3
7 (A-EXT), 38 (B-EXT), 39 (SU-
EXT), 310 (ST-EXT), 311 (SI-E)
XT) and 312 (SO-EXT). Of these, only 312 (SO-EXT) is an output terminal and the others are input terminals.

【0039】ここで、図2の回路内でクロック36の変
化に応じてフリップフロップ32の出力が0から1に変
わり、その変化が信号317、ANDセル35、信号3
19を経由してフリップフロップ34に到達するまでの
遅延時間をテスト対象とする。図3から図6までは一連
のテストを実効するために、各端子にて行うテスト入力
信号、出力観測の信号波形を示している。
Here, in the circuit of FIG. 2, the output of the flip-flop 32 changes from 0 to 1 according to the change of the clock 36, and the change is indicated by the signal 317, the AND cell 35, the signal 3
A delay time until the signal reaches the flip-flop 34 via the line 19 is set as a test target. FIGS. 3 to 6 show test input signals and signal waveforms of output observation performed at each terminal in order to execute a series of tests.

【0040】テストの手順として、まず図3に示す信号
波形の入力を行う。41〜43のテストサイクルでは、
フリップフロップのクロック36(CP−EXT)を値
1に固定にしたまま、フリップフロップのスキャンマス
ター/スレーブクロック37、38に交互にパルスを印
可することによって、フリップフロップをシフトレジス
タとして動作させる。シフトの結果としてフリップフロ
ップ32が値0、フリップフロップ33が値1、フリッ
プフロップ34が値1になるように、シフトデータ入力
310(SI−EXT)の入力値を変化させている。
As a test procedure, first, a signal waveform shown in FIG. 3 is input. In the test cycles 41 to 43,
The flip-flop is operated as a shift register by alternately applying pulses to the scan master / slave clocks 37 and 38 of the flip-flop with the clock 36 (CP-EXT) of the flip-flop fixed at a value of 1. The input value of the shift data input 310 (SI-EXT) is changed so that the flip-flop 32 has the value 0, the flip-flop 33 has the value 1 and the flip-flop 34 has the value 1 as a result of the shift.

【0041】フリップフロップ32に値0を設定した理
由は、このフリップフロップがテスト対象となるパスの
始点であり、テストする変化が、値0から値1への変化
をテスト目的としているからである。フリップフロップ
33に値1を設定する理由は、フリップフロップ33の
出力がテスト対象パス中のANDゲート35の入力のー
つとなっているために、そのANDゲートの317側入
力から出力までを活性化させる必要があるからである。
The reason why the value 0 is set in the flip-flop 32 is that this flip-flop is the starting point of the path to be tested, and the change to be tested is to test the change from the value 0 to the value 1. . The value 1 is set in the flip-flop 33 because the output of the flip-flop 33 is one of the inputs of the AND gate 35 in the path to be tested. It is necessary to make it.

【0042】フリップフロップ34に値1を設定する理
由は、フリップフロップ32の状態がクロック36への
パルス印可によって値0から値1に変わるようにするこ
とを目的としている。フリップフロップ32の入力信号
315はフリップフロップ34から供給されているた
め、フリップフロップ34を値1にしておけば、クロッ
クへのパルス印可によって所望の変化が起きるように設
定できる。
The reason for setting the value 1 to the flip-flop 34 is to change the state of the flip-flop 32 from the value 0 to the value 1 by applying a pulse to the clock 36. Since the input signal 315 of the flip-flop 32 is supplied from the flip-flop 34, by setting the value of the flip-flop 34 to 1, a desired change can be made by applying a pulse to the clock.

【0043】44のテストサイクルでは、各フリップフ
ロップ32、33、34の入力26(SU)に繋がれた
信号39(SU−EXT)を値0から値1に変化させて
いる。この動作は41から43までのシフト入力で各フ
リップフロップに設定した値を、フリップフロップ内の
ゲート221、222、214より成るループで保持固
定させる役割を持つ。
In the test cycle 44, the signal 39 (SU-EXT) connected to the input 26 (SU) of each flip-flop 32, 33, 34 is changed from the value 0 to the value 1. This operation has a role of holding and fixing the value set in each flip-flop by the shift input from 41 to 43 in a loop including the gates 221, 222 and 214 in the flip-flop.

【0044】図4は図3に続くテストサイクルである。
51から53までのテストサイクルもスキャンシフトサ
イクルであるが、入力信号39(SU−EXT)、即
ち、フリップフロップの26(SU)を1に保持したま
まシフトすることから、このテストサイクルでシフトす
るデータは各フリップフロップ32、33、34の出力
28(Q)には伝搬されない。シフトの結果としてフリ
ップフロップ32には値0、フリップフロップ33には
値1、フリップフロップ34には値0が各フリップフロ
ップ内のゲー卜224、225、218より成るループ
に保持される。
FIG. 4 shows a test cycle following FIG.
The test cycles 51 to 53 are also scan shift cycles. However, since the shift is performed while the input signal 39 (SU-EXT), that is, the flip-flop 26 (SU) is held at 1, the shift is performed in this test cycle. Data is not propagated to the output 28 (Q) of each flip-flop 32, 33, 34. As a result of the shift, a value of 0 is stored in the flip-flop 32, a value of 1 is stored in the flip-flop 33, and a value of 0 is stored in the flip-flop 34 in a loop composed of the gates 224, 225, and 218 in each flip-flop.

【0045】ここでシフトしたデータは、システムクロ
ック36にパルスが印可された時にフリップフロップに
取り込まれるデータを選択させる役割を持つ。値0をシ
フトしたフリップフロップにはフリップフロップのクロ
ック入力23(即ち回路の36入力)へのパルス印可時
に、外部入力21のデータを取り込むが、値1をシフト
したフリップフロップは自分の出力28と同じデータ
(ゲート223の出力)を再び取り込む。
The shifted data has a role of selecting data to be taken into the flip-flop when a pulse is applied to the system clock 36. When a pulse is applied to the clock input 23 of the flip-flop (that is, 36 inputs of the circuit), the flip-flop having shifted the value 0 takes in the data of the external input 21. The same data (output of the gate 223) is fetched again.

【0046】フリップフロップ33には値1をシフト、
保持させているので、クロック23にパルスが印可され
ても自分の出力を再び取りこみ出力は変化しない。この
信号はテスト対象中のANDゲート35の片方の入力を
値1に固定させる役割をもち、テスト対象経路の信号3
17から出力319までの信号伝搬を確実にする目的で
設定されている。
The value 1 is shifted to the flip-flop 33,
Since it is held, even if a pulse is applied to the clock 23, its own output is taken again and the output does not change. This signal has a role of fixing one input of the AND gate 35 under test to a value of 1 and the signal 3 of the test target path.
It is set for the purpose of ensuring signal propagation from 17 to the output 319.

【0047】テストサイクル54ではフリップフロップ
32、33のクロック入力23(CP)へ繋がる回路中
の入力信号36(CP−EXT)を値0に変化させた後
に、フリップフロップ32、33の入力26(SU)へ
繋がる回路中の入力信号39(SU−EXT)を0に変
化させている。
In the test cycle 54, after the input signal 36 (CP-EXT) in the circuit connected to the clock input 23 (CP) of the flip-flops 32 and 33 is changed to a value of 0, the input 26 of the flip-flops 32 and 33 ( The input signal 39 (SU-EXT) in the circuit connected to SU) is changed to 0.

【0048】フリップフロップ32、33のクロック入
力を値1から値0に変化させると、フリップフロップ内
のゲート219、220、216、212より成るルー
プは遮断されるがフリップフロップ内のゲート221、
222、214より成るループはデータを保持し続け
る。その後にフリップフロップ入力26(SU)を値1
から値0に落としても、26(SU)の代わりに23
(CP)の値がゲート213を遮断、ゲート214を開
放の状態に強制するので、ゲート221、222、21
4の保持するデータは変わらない。
When the clock input of the flip-flops 32 and 33 is changed from the value 1 to the value 0, the loop composed of the gates 219, 220, 216 and 212 in the flip-flop is cut off, but the gates 221 and 221 in the flip-flop are cut off.
The loop consisting of 222, 214 continues to hold data. Thereafter, the flip-flop input 26 (SU) is set to the value 1
From 0 to value 0, 23 instead of 26 (SU)
Since the value of (CP) forces the gate 213 to shut off and the gate 214 to open, the gates 221, 222, 21
4 does not change.

【0049】図5は図4に続くテストサイクルで、実際
にパス遅延テストを施している部分である。タイミング
61の時点でフリップフロップ32、33へのクロック
入力36にパルスを一度印可する。すると、フリップフ
ロップ32は値0を保持していたものが信号315のデ
ータを取り込んで値1に変化する。これによって信号3
17は値0から値1に変化し、ゲート35を経て信号3
19、フリップフロップ34の入力へと伝搬していく。
61からある程度の時間を経たタイミング62の時点で
クロック入力36を値0から値1に変化させる。
FIG. 5 shows a test cycle subsequent to FIG. 4, in which a path delay test is actually performed. At timing 61, a pulse is applied once to the clock input 36 to the flip-flops 32 and 33. Then, the flip-flop 32, which holds the value 0, takes in the data of the signal 315 and changes to the value 1. This gives signal 3
17 changes from the value 0 to the value 1, and the signal 3
19. Propagation to the input of the flip-flop 34.
The clock input 36 is changed from a value 0 to a value 1 at a timing 62 after a certain time from 61.

【0050】フリップフロップ34はタイミング61の
クロックの立ち上がり変化ではまず値0を取り込む。そ
してタイミング62のクロック立ち上がり変化の時点で
は、その時点でのフリップフロップ34の入力信号の状
態を取り込む。タイミング61で発生したフリップフロ
ップ32の変化が62の時点までにフリップフロップ3
4の入力まで伝わり切っていれば、値1を取り込むが、
変化の伝搬がまだ到達してなければ再び値0を取り込ん
でしまう。
The flip-flop 34 first takes in the value 0 at the rising edge of the clock at the timing 61. Then, at the time of the rising edge of the clock at the timing 62, the state of the input signal of the flip-flop 34 at that time is captured. By the time when the change of the flip-flop 32 generated at the timing 61 is 62, the flip-flop 3
If it has been transmitted to the input of 4, the value 1 is taken in.
If the propagation of the change has not yet arrived, the value 0 is fetched again.

【0051】つまり、61から62までの間隔を設計仕
様上許されるフリップフロップ32から信号317、ゲ
ート35、信号319を経由したフリップフロップ34
までの最大伝搬遅延時間に設定すれば、その経路が設計
仕様通りならば値1が、その経路が遅延故障を起こして
いれば値0が、フリップフロップ34に格納される。こ
の結果を持って遅延故障の発見とすることができる。
That is, the interval between 61 and 62 is allowed from the flip-flop 32 permitted by the design specification from the flip-flop 34 via the signal 317, the gate 35, and the signal 319.
If the path is set to the maximum propagation delay time, the value 1 is stored in the flip-flop 34 if the path is in accordance with the design specification, and the value 0 is stored if the path has a delay fault. The result can be used to find a delay fault.

【0052】図6は図5に続くテストサイクルで、テス
ト結果の観測の意味を持つ。まずサイクル71で、タイ
ミング62にてフリップフロップ内のゲート219、2
20、216、212のループに取り込んだ値をゲート
224、225、218のル一プの方に伝搬する。その
後にスキャンシフトクロック37と38に交互にパルス
を印可することによって、各フリップフロップの保持デ
ータをシフトアウトして観測する。タイミング75の時
点で出力314(SO−EXT)にて観測される状態
が、タイミング62にて取り込んだフリップフロップ3
4の値である。これが0か1かによって、テストの結果
の良否を判断できる。
FIG. 6 is a test cycle subsequent to FIG. 5, and has the meaning of observing test results. First, in a cycle 71, at timing 62, the gates 219, 2
The values captured in the loop of 20, 216, 212 are propagated to the loop of gates 224, 225, 218. Thereafter, by applying pulses alternately to the scan shift clocks 37 and 38, the data held in each flip-flop is shifted out and observed. The state observed at the output 314 (SO-EXT) at the timing 75 is the flip-flop 3
The value is 4. Based on whether this is 0 or 1, the quality of the test result can be determined.

【0053】本実施形態によれば、通常の入力データを
出力にシフトする機能と共に、固定的に出力させたい値
を設定でき、且つ設定値をクロックに従って循環的に出
力する機能を持った図1に示すようなフリップフロップ
を作成し、このフリップフロップを用いて、例えば図2
のように回路を構成することにより、テストパスの途中
にあるゲートにテストパスを活性化するための所望の固
定値を出力してテストパスを容易且つ安定にアクティブ
として、従来はかなり困難であった回路内パルス遅延テ
ストの生成の自動化が容易にして、テストの確度を上昇
させることができ、パス遅延テストの成功率を高くする
ことができる。
According to this embodiment, in addition to the function of shifting normal input data to the output, it is possible to set a value to be fixedly output and to output the set value cyclically according to a clock. A flip-flop as shown in FIG. 2 is created, and this flip-flop is used, for example, in FIG.
By configuring a circuit as described above, a desired fixed value for activating the test path is output to a gate in the middle of the test path to make the test path active easily and stably, which has conventionally been quite difficult. Thus, it is possible to easily automate the generation of the in-circuit pulse delay test, increase the test accuracy, and increase the success rate of the path delay test.

【0054】次に図12に示すような従来のテスト用2
相スキャンフリップフロップを用いたスキャン設計の中
で、選択的に図1に示した本案のフリップフロップを用
いることによって、最低限の回路規模増加で最大限の効
果を得るための方法を示す。
Next, a conventional test 2 shown in FIG.
In the scan design using the phase scan flip-flop, a method for obtaining the maximum effect with a minimum increase in circuit scale by selectively using the flip-flop of the present invention shown in FIG. 1 will be described.

【0055】図7は本発明の遅延故障テスト対応設計方
法の一実施形態に係る処理手順を示し、フリップフロッ
プの置換処理の一例を示すフローチャートである。以
下、この図のフローチャートに基づいて本例の動作を説
明する。回路は既にスキャン合成が張られていてスキャ
ンパスが組み込まれているものとする。本システムの目
的は、現状の回路に最小限の修正を加えて回路中のパス
遅延テスト生成の効率化を測るものである。
FIG. 7 is a flowchart showing a processing procedure according to an embodiment of the design method for delay fault test of the present invention, and showing an example of a flip-flop replacement process. Hereinafter, the operation of the present example will be described based on the flowchart of FIG. It is assumed that the circuit is already scan-combined and incorporates a scan path. The purpose of this system is to measure the efficiency of path delay test generation in a circuit by making minimal modifications to the current circuit.

【0056】まず、ステップ91において、回路中のパ
スのうち、遅延テストを行いたいパスを選択する。続い
てステップ92において、テストしたいパスを活性化す
るために必要な内部信号状熊を解析、その状熊にするた
めに必要な入力端子状熊、フリップフロップ状態を解析
する。もし入力端子とフリップフロップの状態制御のみ
でパスを活性化できるのであれば、続いてステップ94
へ移る。しかし、もし回路内に入力端子やフリップフロ
ップだけでは制御できない信号があり、そのためにパス
の活性化を保証できない場合、テストポイント回路を挿
入して(ステップ93)再び解析を行う。
First, in step 91, a path to be subjected to a delay test is selected from the paths in the circuit. Subsequently, in step 92, the internal signal state necessary for activating the path to be tested is analyzed, and the input terminal state and the flip-flop state required for making the state are analyzed. If the path can be activated only by controlling the state of the input terminal and the flip-flop, the process proceeds to step 94.
Move to However, if there is a signal in the circuit that cannot be controlled only by the input terminal or the flip-flop, and thus the activation of the path cannot be guaranteed, a test point circuit is inserted (step 93) and the analysis is performed again.

【0057】ステップ94では、パスを活性化するため
に必要なフリップフロップの状態を、たとえそのフリッ
プフロップのクロックにパルスが入っても保持し続ける
ことができるかどうかを解析する。その状態保持の制御
性が悪いフリップフロップは状態保持性改善の対象と判
断され(ステップ95)、ステップ96で図2に示すよ
うな状態保持性の高い本案のフリップフロップに置き換
える。
In step 94, it is analyzed whether or not the state of the flip-flop necessary to activate the path can be maintained even if a pulse is input to the clock of the flip-flop. The flip-flop having poor controllability of the state retention is determined to be a target of the state retention improvement (step 95), and is replaced with a flip-flop of the present invention having a high state retention as shown in FIG.

【0058】次に、図7のフローを実際に用いて回路設
計にパス遅延テストを行いやすいような改善を施す例を
示す。この例で編集する回路例として、図8を示す。こ
の回路中、フリップフロップ102〜107は、図12
に示した従来からの2相クロック型スキャンフリップフ
ロップで、それらのCP、SI、A、B入力は、図中で
は書かれてはいないが実際にはそれぞれ外部端子と接続
され、また各々のSI入力とSO出力とが直列に繋がれ
てスキャンチェーンとしての接続は済んでいるものとす
る。
Next, an example will be described in which a circuit delay is actually improved using the flow of FIG. FIG. 8 shows a circuit example edited in this example. In this circuit, the flip-flops 102 to 107
In the conventional two-phase clock type scan flip-flop shown in FIG. 1, their CP, SI, A, and B inputs are actually connected to external terminals, respectively, though not shown in the figure, and each of the SI, It is assumed that the input and the SO output are connected in series to complete the connection as a scan chain.

【0059】まず、ステップ91で、図8に示すような
回路中、フリップフロップ104から始まり、信号10
13、ANDゲート1010、信号1014、ORゲー
ト1011、信号1015、ANDゲート1012、信
号1016を経てフリップフロップ107に到達するよ
うなパルスを遅延テストの対象として選択したとする。
First, in step 91, in the circuit as shown in FIG.
13, it is assumed that a pulse that reaches the flip-flop 107 via the AND gate 1010, the signal 1014, the OR gate 1011, the signal 1015, the AND gate 1012, and the signal 1016 is selected as a target of the delay test.

【0060】続いてステップ92で、テスト対象となる
パスを活性化するために回路が取るべき状態を解析す
る。まず、テスト対象のパスを活性化させるためには、
信号1017を1に、1021を0に、1022を1に
固定する必要があることがパスの論理から判断できる。
それらの信号は、1017はブラックボックス101か
ら、1021と1022はフリップフロップ105、1
06から供給されている。つまり、フリップフロップと
入力端子だけではテスト対象とするパスを確実に活性化
することはできない。
Subsequently, in step 92, the state which the circuit should take to activate the path to be tested is analyzed. First, to activate the path under test,
It is possible to determine from the logic of the path that it is necessary to fix the signal 1017 to 1, 1021 to 0, and 1022 to 1.
These signals are as follows: 1017 is from black box 101, 1021 and 1022 are flip-flops 105, 1
06. That is, the path to be tested cannot be reliably activated only by the flip-flop and the input terminal.

【0061】そこでステップ93に進み、図9に示すよ
うなテストポイント回路の挿入によって状況の改善を測
る。パスを活性化するために制御できなければならない
信号のうち、信号1017のみがフリップフロップ10
2〜106や入力端子から直接制御できないため、そこ
の制御性をテストポイン卜回路挿入によって改善するこ
とにする。
Then, the process proceeds to a step 93, wherein the improvement of the situation is measured by inserting a test point circuit as shown in FIG. Of the signals that must be controllable to activate the path, only signal 1017 is the flip-flop 10
Since control cannot be performed directly from the input terminals 2 to 106 and the input terminal, the controllability therefor is improved by inserting a test point circuit.

【0062】具体的には、信号1017を片方の入力と
するORゲート1A1を回路に挿入し、もともと101
7が接続されていた先をそのORゲートの出力1A3に
置き換える。ORゲート1A1のもう片方の入力はテス
ト中1に固定される入力端子1A2に接続することによ
って制御性を確保する。
More specifically, an OR gate 1A1 having a signal 1017 as one input is inserted into the circuit, and the OR gate 1A1
7 is replaced with the output 1A3 of the OR gate. The other input of the OR gate 1A1 is connected to an input terminal 1A2 which is fixed to 1 during the test to ensure controllability.

【0063】再びステップ92に戻り、パスを活性化す
るための制御性を確認、今度はフリップフロップと入力
端子の制御だけでパスを活性化できることが確認される
ので、ステップ94に進む。
Returning to step 92, the controllability for activating the path is confirmed. It is confirmed that the path can be activated only by controlling the flip-flop and the input terminal.

【0064】ステップ94ではフリップフロップの状態
保持性を計算する。信号1021を0に保持するために
は、フリップフロップ105に0を保持させなければな
らないが、一度そのフリップフロップにクロックが入っ
たとしても、同じ状熊を保持できるかを確認する。フリ
ップフロップ105のデータ入力はフリップフロップ1
02と103の出力のNAND計算結果である。フリッ
プフロップ102と103はテスト対象パスを活性化す
るための制御性には直接影響を与えてないため、値を自
由に設定できる。よって、フリップフロップ102と1
03の状態を共に1に設定することによって、フリップ
フロップ105は例え一度クロック動作をしても状態0
を保持できることが分かる。
In step 94, the state retention of the flip-flop is calculated. In order to hold the signal 1021 at 0, the flip-flop 105 must be held at 0. Even if a clock is once applied to the flip-flop, it is checked whether the same state can be held. The data input of flip-flop 105 is flip-flop 1
This is the NAND calculation result of the outputs 02 and 103. Since the flip-flops 102 and 103 do not directly affect the controllability for activating the test target path, the values can be freely set. Therefore, the flip-flops 102 and 1
By setting both states of 03 to 1, the flip-flop 105 is in the state of 0 even if clocked once.
It can be seen that can be maintained.

【0065】続いて信号1022を1に保持させるため
には、フリップフロップ106を1に保持させなければ
ならない。そのフリップフロップ106についてクロッ
クが入ったとしても同じ状態を保持できるかを確認する
と、フリップフロップ106のデータ入力はフリップフ
ロップ106自身の出力の反転が入るようになってい
る。クロックが入った後にフリップフロップ106の状
態が1になるようにするには、フリップフロップ106
自身を0の状態に設定しなければならない。しかし、フ
リップフロップ106自身はクロックが入る前に1に設
定しなければならないので、その条件を満たすことがで
きない。このため、フリップフロップ106は1の状態
保持性が悪いと判断される。
Subsequently, in order to hold the signal 1022 at 1, the flip-flop 106 must be held at 1. When it is confirmed that the same state can be maintained even when a clock is input to the flip-flop 106, the data input of the flip-flop 106 is configured to invert the output of the flip-flop 106 itself. In order for the state of the flip-flop 106 to become 1 after the clock is input, the flip-flop 106
It must set itself to a state of zero. However, since the flip-flop 106 itself must be set to 1 before the clock is turned on, the condition cannot be satisfied. For this reason, it is determined that the flip-flop 106 has poor 1-state retention.

【0066】ステップ95では、この1の保持性の悪い
フリップフロップ106を、保持性改善の対象として選
択することにしている。ステップ96では、フリップフ
ロップ106を、図1に示すような本案の保持性改善型
フリップフロップに置き換える。置き換えた結果を図1
0に示す。これら一連の作業によりテスト対象パスのパ
ス遅延テスト制御性は改善され、確実なパス遅延テスト
を行えるようになる。
In step 95, the flip-flop 106 having poor retention of 1 is selected as a target for improving retention. In step 96, the flip-flop 106 is replaced with the retention-improving flip-flop of the present invention as shown in FIG. Figure 1 shows the result of replacement.
0 is shown. Through a series of these operations, the controllability of the path delay test of the test target path is improved, and a reliable path delay test can be performed.

【0067】本実施形態によれば、現状の回路の中の従
来型のフリップフロップの中で必要最小限のフリップフ
ロップのみを図1に示した本案のフリップフロップに代
えることにより、回路中のパス遅延テストの制御性を効
率良く高めることができる。
According to the present embodiment, only the minimum necessary flip-flops of the conventional flip-flops in the current circuit are replaced with the flip-flops of the present invention shown in FIG. The controllability of the delay test can be efficiently improved.

【0068】尚、本発明は上記実施形態に限定されるこ
となく、その要旨を逸脱しない範囲において、具体的な
構成、機能、作用、効果において、他の種々の形態によ
っても実施することができる。
It should be noted that the present invention is not limited to the above-described embodiment, and can be embodied in other various forms in a specific configuration, function, operation, and effect without departing from the gist thereof. .

【0069】[0069]

【発明の効果】以上詳細に説明したように、請求項1乃
至4の本発明によれば、所望の設定値を安定且つ固定的
に出力することができるフリップフロップを用いること
により、パス遅延テストの制御性を向上させて、テスト
したいパスを確実にアクティブとして、パス遅延テスト
の成功率を高くすることができる。
As described above in detail, according to the first to fourth aspects of the present invention, a path delay test can be performed by using a flip-flop capable of outputting a desired set value stably and fixedly. , The path to be tested can be reliably activated, and the success rate of the path delay test can be increased.

【0070】請求項5の発明によれば、半導体集積回路
のパス遅延テストの制御性を効率良く高めることができ
る。
According to the fifth aspect of the present invention, the controllability of the path delay test of the semiconductor integrated circuit can be efficiently improved.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の記憶回路(フリップフロップ)の一実
施形態に係る回路例を示した回路図である。
FIG. 1 is a circuit diagram showing a circuit example according to an embodiment of a storage circuit (flip-flop) of the present invention.

【図2】図1に示したフリップフロップを用いて構成し
た本発明の半導体集積回路の第1の実施形態に係る構成
例を示した回路図である。
FIG. 2 is a circuit diagram illustrating a configuration example according to a first embodiment of the semiconductor integrated circuit of the present invention configured using the flip-flop illustrated in FIG. 1;

【図3】図2の回路におけるテストを実行するための入
力信号及び出力信号波形例を示した図である。
FIG. 3 is a diagram showing an example of input signal and output signal waveforms for executing a test in the circuit of FIG. 2;

【図4】図2の回路におけるテストを実行するための入
力信号及び出力信号波形例を示した図である。
FIG. 4 is a diagram showing an example of input signal and output signal waveforms for executing a test in the circuit of FIG. 2;

【図5】図2の回路におけるテストを実行するための入
力信号及び出力信号波形例を示した図である。
FIG. 5 is a diagram showing an example of input signal and output signal waveforms for executing a test in the circuit of FIG. 2;

【図6】図2の回路におけるテストを実行するための入
力信号及び出力信号波形例を示した図である。
FIG. 6 is a diagram showing an example of input signal and output signal waveforms for executing a test in the circuit of FIG. 2;

【図7】本発明の遅延故障テスト対応設計方法の一実施
形態に係る処理手順を示したフローチャートである。
FIG. 7 is a flowchart showing a processing procedure according to an embodiment of the design method for delay fault test of the present invention.

【図8】図7に示した処理手順の対象となる回路例を示
した回路図である。
8 is a circuit diagram showing an example of a circuit to be subjected to the processing procedure shown in FIG. 7;

【図9】図7に示した処理手順によりテストポイント回
路を挿入した回路例を示した回路図である。
FIG. 9 is a circuit diagram showing a circuit example in which a test point circuit is inserted according to the processing procedure shown in FIG. 7;

【図10】図7に示した処理手順によりフリップフロッ
プが置換された回路例を示した回路図である。
FIG. 10 is a circuit diagram showing an example of a circuit in which flip-flops are replaced by the processing procedure shown in FIG. 7;

【図11】従来の具体的なパス遅延テストの実施方法を
説明するための回路図である。
FIG. 11 is a circuit diagram for explaining a specific method of performing a conventional path delay test.

【図12】従来の2相クロック型スキャンフリップフロ
ップの構成例を示した回路図である。
FIG. 12 is a circuit diagram showing a configuration example of a conventional two-phase clock scan flip-flop.

【符号の説明】[Explanation of symbols]

21〜27、36〜311、81〜85 入力信号線 28、29、86〜87、314 出力信号線 31 組合せ回路部 32〜34 フリップフロップ 35、232、1010、1012 ANDゲート 101 ブラックボックス 102〜107 2相クロック型スキャンフリップフロ
ップ 108 NANDゲート 210 マルチプレクサ 211〜218 トランスミッションゲート 219〜230、816〜824 反転ゲート 231、1011、1A1 ORゲート 233〜235、312〜313、315〜319、8
25〜827、1013〜1022、1A2〜1A3、
1B2〜1B3 信号線
21 to 27, 36 to 311, 81 to 85 Input signal line 28, 29, 86 to 87, 314 Output signal line 31 Combination circuit unit 32 to 34 Flip flop 35, 232, 1010, 1012 AND gate 101 Black box 102 to 107 Two-phase clock scan flip-flop 108 NAND gate 210 Multiplexer 211-218 Transmission gate 219-230, 816-824 Inverting gate 231, 1011, 1A1 OR gate 233-235, 312-313, 315-319, 8
25 to 827, 1013 to 1022, 1A2 to 1A3,
1B2 to 1B3 signal line

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/822 H01L 27/04 T Fターム(参考) 2G032 AA07 AC10 AD06 AE07 AG01 AG07 AH04 AK11 AK16 5B048 AA19 AA20 CC18 EE02 5F038 DT04 DT06 DT15 EZ20 5J043 AA09 AA25 HH01 HH04 JJ04 JJ07 JJ08 KK01 5L106 DD00 DD08 GG03 GG07 ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI theme coat ゛ (Reference) H01L 21/822 H01L 27/04 TF term (Reference) 2G032 AA07 AC10 AD06 AE07 AG01 AG07 AH04 AK11 AK16 5B048 AA19 AA20 CC18 EE02 5F038 DT04 DT06 DT15 EZ20 5J043 AA09 AA25 HH01 HH04 JJ04 JJ07 JJ08 KK01 5L106 DD00 DD08 GG03 GG07

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】 入力データをクロックの印可と共にシフ
トして出力端子から出力する記憶回路において、 設定値を入力する入力手段と、 前記入力手段から入力した設定値を保持して出力端子か
ら出力する保持手段と、 前記出力端子のデータをクロックパルスの印可と共に入
力して前記保持手段に循環的に保持させる出力データ固
定手段と、 を具備することを特徴とする記憶回路。
1. A storage circuit for shifting input data together with application of a clock and outputting the shifted data from an output terminal, an input means for inputting a set value, and holding the set value input from the input means and outputting the set value from an output terminal. A storage circuit comprising: a holding unit; and an output data fixing unit that inputs data of the output terminal together with application of a clock pulse and causes the holding unit to cyclically hold the data.
【請求項2】 クロックパルス印可時に取り込むデータ
を、前記保持手段で循環的に保持させたデータか、ある
いは外部からのデータ入力にするか選択できるような機
能を持ち、どちらを選択するかを事前のスキャンパスシ
フトによって設定できることを特徴とする請求項1記載
の記憶回路。
2. A function to select whether data to be taken in at the time of applying a clock pulse is data held cyclically by the holding means or data input from the outside is provided. 2. The storage circuit according to claim 1, wherein the setting can be made by the following scan path shift.
【請求項3】 前記入力手段は、入力端子とそれに接続
される第1ゲートから成り、 前記保持手段は、前記第1ゲートに接続される第2ゲー
トと第1インバータと第2インバータと第3ゲートから
成る第1のループ回路とこの第1のループ回路に第4ゲ
ートを介して接続される第3インバータと第4インバー
タと第5ゲートとから成る第2ループ回路及びこの第2
ループ回路に接続される出力端子とから成り、 前記出力データ固定手段は、前記第2ループ回路の保持
値を第5インバータ、マルチプレクサ、第6ゲートを介
して前記第1のループ回路に導入する回路から成り、 前記選択手段は、前記入力手段の第1ゲートに接続され
る第7ゲートとこの第7ゲートに接続される第6インバ
ータと第7インバータと第8ゲートから成る第3のルー
プ回路及び前記マルチプレクサから成ることを特徴とす
る請求項1又は2記載の記憶回路。
3. The input means includes an input terminal and a first gate connected thereto, and the holding means includes a second gate, a first inverter, a second inverter, and a third inverter connected to the first gate. A first loop circuit composed of a gate, a second loop circuit composed of a third inverter, a fourth inverter and a fifth gate connected to the first loop circuit via a fourth gate;
An output terminal connected to a loop circuit, wherein the output data fixing means introduces the held value of the second loop circuit into the first loop circuit via a fifth inverter, a multiplexer, and a sixth gate. And a third loop circuit including a seventh gate connected to the first gate of the input means, a sixth inverter, a seventh inverter, and an eighth gate connected to the seventh gate; 3. The storage circuit according to claim 1, comprising the multiplexer.
【請求項4】 請求項1又は2記載の記憶回路を外部入
力と外部出力の間に形成される論理回路の少なくとも一
部に用いたことを特徴とする半導体集積回路。
4. A semiconductor integrated circuit, wherein the storage circuit according to claim 1 is used for at least a part of a logic circuit formed between an external input and an external output.
【請求項5】 少なくとも1個以上の記憶回路を含むテ
スト対象回路のテスト対象パスを選択するステップと、 前記テスト対象パスを活性化するために回路が取るべき
状態を解析し、必要に応じてテストポイント回路を挿入
するステップと、 前記テスト対象回路の状態保持性を計算するステップ
と、 前記状態保持性より置換対象記憶回路を選択するステッ
プと、 前記選択した置換対象記憶回路を請求項1記載の記憶回
路に置換するステップと、 を具備することを特徴とする遅延故障テスト対応設計方
法。
5. A step of selecting a test target path of a test target circuit including at least one or more storage circuits; analyzing a state to be taken by the circuit to activate the test target path; 2. The step of inserting a test point circuit, the step of calculating the state retention of the test target circuit, the step of selecting a replacement target storage circuit based on the state retention, and the step of selecting the replacement target storage circuit. Replacing the storage circuit with a storage circuit according to the above.
JP2000312101A 2000-10-12 2000-10-12 Storage circuit, semiconductor integrated circuit and design method dealing with delay failure test Abandoned JP2002124852A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000312101A JP2002124852A (en) 2000-10-12 2000-10-12 Storage circuit, semiconductor integrated circuit and design method dealing with delay failure test

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000312101A JP2002124852A (en) 2000-10-12 2000-10-12 Storage circuit, semiconductor integrated circuit and design method dealing with delay failure test

Publications (1)

Publication Number Publication Date
JP2002124852A true JP2002124852A (en) 2002-04-26

Family

ID=18791754

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000312101A Abandoned JP2002124852A (en) 2000-10-12 2000-10-12 Storage circuit, semiconductor integrated circuit and design method dealing with delay failure test

Country Status (1)

Country Link
JP (1) JP2002124852A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006084403A (en) * 2004-09-17 2006-03-30 Nec Electronics Corp Scanning flip-flop circuit, scanning test circuit using scanning flip-flop circuit, and test design method
US8065549B2 (en) 2006-11-09 2011-11-22 Kabushiki Kaisha Toshiba Scan-based integrated circuit having clock frequency divider
US8441277B2 (en) 2007-12-28 2013-05-14 Nec Corporation Semiconductor testing device, semiconductor device, and testing method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006084403A (en) * 2004-09-17 2006-03-30 Nec Electronics Corp Scanning flip-flop circuit, scanning test circuit using scanning flip-flop circuit, and test design method
JP4650928B2 (en) * 2004-09-17 2011-03-16 ルネサスエレクトロニクス株式会社 Scan flip-flop circuit, scan test circuit and test design method using the same
US8065549B2 (en) 2006-11-09 2011-11-22 Kabushiki Kaisha Toshiba Scan-based integrated circuit having clock frequency divider
US8441277B2 (en) 2007-12-28 2013-05-14 Nec Corporation Semiconductor testing device, semiconductor device, and testing method

Similar Documents

Publication Publication Date Title
US6327684B1 (en) Method of testing at-speed circuits having asynchronous clocks and controller for use therewith
KR101402865B1 (en) Test clock control structures to generate configurable test clocks for scan-based testing of electronic circuits using programmable test clock controllers
US5606567A (en) Delay testing of high-performance digital components by a slow-speed tester
US7840863B2 (en) Functional frequency testing of integrated circuits
CN109444714B (en) Real-time scanning test method and control circuit
EP1776596B1 (en) Testing of a circuit that has an asynchronous timing circuit
US20090240996A1 (en) Semiconductor integrated circuit device
JPH0694793A (en) Method for detecting signal transition and propagation
JP2007263790A (en) Semiconductor integrated circuit device, and delayed fault testing method
Shi et al. Test generation for ultra-high-speed asynchronous pipelines
EP1952167A2 (en) Functional cells for automated i/o timing characterization of an integrated circuit
JP2002124852A (en) Storage circuit, semiconductor integrated circuit and design method dealing with delay failure test
JP2001042012A (en) Test pattern-generating apparatus, method for cutting loop, method for cutting propagation path, method for detecting delay failure and computer-readable recording medium with program recorded for making computer execute the method
US20050015689A1 (en) Electronic component and method for measuring its qualification
JP2008292368A (en) Scan-test point circuit and integrated circuit
JP5383588B2 (en) Scan test circuit, semiconductor integrated circuit
US7152195B2 (en) Scan test circuit
Hasib et al. Exploiting built-in delay lines for applying launch-on-capture at-speed testing on self-timed circuits
JP4650928B2 (en) Scan flip-flop circuit, scan test circuit and test design method using the same
US6751764B1 (en) Method and apparatus for testing and debugging a circuit
JP3573692B2 (en) Scan path circuit, scan path circuit generation method, and recording medium recording the program
JP2001004710A (en) Scan test circuit, automatic test pattern generator, scan test method, method for designing scan test circuit, automatic test pattern generating method, method for executing scan test circuit design
US20050240846A1 (en) Accurate Generation of Scan Enable Signal when Testing Integrated Circuits Using Sequential Scanning Techniques
JP3695768B2 (en) Test circuit verification method
JP3430056B2 (en) Integrated circuit including delay test facilitating circuit and path delay test method for integrated circuit

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040301

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040511

A762 Written abandonment of application

Free format text: JAPANESE INTERMEDIATE CODE: A762

Effective date: 20040721