JP2002071761A - Circuit and method for delay test - Google Patents

Circuit and method for delay test

Info

Publication number
JP2002071761A
JP2002071761A JP2000264147A JP2000264147A JP2002071761A JP 2002071761 A JP2002071761 A JP 2002071761A JP 2000264147 A JP2000264147 A JP 2000264147A JP 2000264147 A JP2000264147 A JP 2000264147A JP 2002071761 A JP2002071761 A JP 2002071761A
Authority
JP
Japan
Prior art keywords
scan
delay test
flop
circuit
flip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000264147A
Other languages
Japanese (ja)
Inventor
Akiko Mototani
暁子 本谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Micro Systems Co Ltd
Original Assignee
Renesas Micro Systems Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Micro Systems Co Ltd filed Critical Renesas Micro Systems Co Ltd
Priority to JP2000264147A priority Critical patent/JP2002071761A/en
Publication of JP2002071761A publication Critical patent/JP2002071761A/en
Pending legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a circuit and a method, for a delay test, wherein a circuit to be delay-tested can be measured by a low-cost tester without increasing the chip area of an LSI. SOLUTION: The delay test circuit of a scan system is provided at the LSI, and it makes the delay test toy using the scan path circuit of the LSI. The delay test circuit is provided with a control means wherein a scan shift mode in which every scan flip-flop on a scan chain receives a clock signal and in which a scan input is retained and sent out is provided, a scan capture mode in which a data input is retained and sent out is provided and a scan flip-flop mode in which the output of the circuit to be delay-tested is received so as to be changed over independently of other scan flip-flops.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する分野】本発明は、半導体装置の遅延試験
に関し、特にその論理集積回路のスキャンフリップフロ
ップを用いる遅延試験回路及び遅延試験方法に関するも
のである。
The present invention relates to a delay test of a semiconductor device, and more particularly to a delay test circuit and a delay test method using a scan flip-flop of a logic integrated circuit.

【0002】[0002]

【従来の技術】集積化技術の進展により、膨大な数の論
理回路を単一デバイスとして構成できるようになってき
た。しかし一方、高度の集積化は、論理集積回路内部の
可制御性並びに可観測性の悪化に不可避的に結び付き、
LSIの試験を行う上で問題となっていた。そこで、L
SI試験容易化設計として、スキャンパス回路を設ける
ことが行われる。即ち、回路内部のフリップフロップを
通常動作時には不要なスキャンパス回路構成に接続して
制御点ないし観測点としたスキャンフリップフロップに
より、回路を組合せ回路に分断可能とする。そして、こ
のような回路に対し、スキャンフリップフロップの付加
的な接続形態であるスキャンチェインに、シフト動作で
テストパターンをスキャンインして通常動作を行い、テ
スト結果をスキャンアウトする。スキャンパス回路を用
いた遅延試験は、LSIテスト装置の最小サイクルでは
なく最小パルス発生間隔によってテスト可能である。通
常は最小サイクルより最小パルス発生間隔の方が小さい
ため、要求されるスピードで測定する高価な高速テスタ
よりも遅い動作の安価なテスタで測定できるという特長
がある。
2. Description of the Related Art With the progress of integration technology, a huge number of logic circuits can be configured as a single device. However, on the other hand, high integration inevitably leads to deterioration of controllability and observability inside the logic integrated circuit,
This has been a problem in performing LSI tests. Then, L
As a design for facilitating the SI test, a scan path circuit is provided. That is, the flip-flop inside the circuit is connected to an unnecessary scan path circuit configuration during normal operation, and the circuit can be divided into combinational circuits by the scan flip-flop which is used as a control point or an observation point. Then, with respect to such a circuit, a test pattern is scanned into a scan chain, which is an additional connection form of a scan flip-flop, by a shift operation to perform a normal operation, and a test result is scanned out. The delay test using the scan path circuit can be tested not by the minimum cycle of the LSI test apparatus but by the minimum pulse generation interval. Usually, since the minimum pulse generation interval is smaller than the minimum cycle, there is a feature that measurement can be performed by an inexpensive tester that operates slower than an expensive high-speed tester that measures at a required speed.

【0003】従って、従来より、上述の論理集積回路に
対するスキャン方式の遅延試験が行われている。図10
及び図11は、従来技術の遅延試験回路図であり、スキ
ャンフリップフロップ10、11、12、13、14が
スキャンチェインを成し、遅延試験対象の組合せ回路2
1に対し、遅延試験を行う。このような場合に、遅延試
験は、スキャンフリップフロップ11とスキャンフリッ
プフロップ12間の遅延がテストクロック周期より小さ
いことを確認する。即ち、図10の場合には、遅延試験
をするために設定したい値であるテストパターンを、組
合せ回路21、22及び各スキャンフリップフロップの
論理に基づいて、スキャンフリップフロップ10,1
1,12の値として求める。そして、その値をSIN端
子からスキャンフリップフロップ10、11、12にス
キャンパスを使用したスキャンシフトで設定する。遅延
試験クロック端子DCLKからクロック周期幅の2クロ
ックを入力し、2クロック入力後のスキャンフリップフ
ロップの変化値をスキャンシフトで取り出し、論理の一
致/不一致により確認する。図11の従来技術において
は、テストパターン生成をクリティカル回路に局限する
ために、NOT回路100と、セレクタ回路101と、
OR回路102と、反転制御信号とを備えている。
Therefore, conventionally, a scan type delay test has been performed on the above-described logic integrated circuit. FIG.
11 is a circuit diagram of a conventional delay test circuit, in which scan flip-flops 10, 11, 12, 13, and 14 form a scan chain, and a combinational circuit 2 to be subjected to a delay test.
Perform a delay test on 1. In such a case, the delay test confirms that the delay between the scan flip-flop 11 and the scan flip-flop 12 is smaller than the test clock cycle. That is, in the case of FIG. 10, a test pattern which is a value to be set for performing a delay test is set on the scan flip-flops 10, 1 based on the logic of the combinational circuits 21, 22 and each scan flip-flop.
It is determined as a value of 1,12. Then, the value is set from the SIN terminal to the scan flip-flops 10, 11, and 12 by a scan shift using a scan path. Two clocks having a clock cycle width are input from the delay test clock terminal DCLK, and the change value of the scan flip-flop after the input of the two clocks is taken out by scan shift and confirmed by logic match / mismatch. In the prior art of FIG. 11, a NOT circuit 100, a selector circuit 101,
An OR circuit 102 and an inversion control signal are provided.

【0004】[0004]

【発明が解決しようとする課題】しかしながら、従来の
遅延試験回路及び遅延試験方法においては次のような問
題があった。まず、第1の問題として、従来の遅延試験
では遅延試験対象が同一クロック制御下のスキャンフリ
ップフロップ全体になり、任意のスキャンフリップフロ
ップとスキャンフリップフロップ間にテストクロック周
期幅を設定したうえでの遅延試験ができなかった。例え
ば、図10において、遅延試験対象の組合せ回路21及
び組合せ回路22は、論理的に独立しているが、組合せ
回路21の出力あるいは、スキャンフリップフロップ1
1の出力が組合せ回路22の入力となっている場合、組
合せ回路22の出力を確定させるのにスキャンフリップ
フロップ11の論理も影響するため、スキャンフリップ
フロップ11の出力を変化させ、遅延試験対象の組合せ
対象21の出力を反転させる値(テストパターン)の設
定及び期待値の作成が困難になっていた。また、第2の
問題として、特開平11-219385では「任意のス
キャンフリップフロップとスキャンフリップフロップの
間を遅延試験する」技術を提供し、任意のスキャンフリ
ップフロップとスキャンフリップフロップ間の遅延試験
が行えるが、図11に示すように、従来のスキャン回路
に加え、NOT回路100と、セレクタ回路101と、
OR回路102と、反転制御信号とその配線領域分が更
に追加され、オーバーヘッドとなる。第3の問題とし
て、特開平11-219385ではNOT回路100の
容量による遅延も発生する。
However, the conventional delay test circuit and the conventional delay test method have the following problems. First, as a first problem, in the conventional delay test, the delay test target is the entire scan flip-flop under the same clock control, and the test clock cycle width is set between any scan flip-flops. Delay test failed. For example, in FIG. 10, the combination circuit 21 and the combination circuit 22 to be subjected to the delay test are logically independent, but the output of the combination circuit 21 or the scan flip-flop 1
In the case where the output of 1 is an input of the combinational circuit 22, the logic of the scan flip-flop 11 influences the determination of the output of the combinational circuit 22. It has been difficult to set a value (test pattern) for inverting the output of the combination target 21 and create an expected value. As a second problem, Japanese Patent Application Laid-Open No. H11-219385 provides a technique of "testing delay between arbitrary scan flip-flops", and a delay test between arbitrary scan flip-flops is not possible. However, as shown in FIG. 11, in addition to a conventional scan circuit, a NOT circuit 100, a selector circuit 101,
The OR circuit 102, the inversion control signal, and its wiring area are further added, which becomes an overhead. As a third problem, in JP-A-11-219385, a delay due to the capacitance of the NOT circuit 100 also occurs.

【0005】本発明は、以上の従来技術における問題に
鑑みてなされたものであり、LSIのチップ面積を増や
すことなく安価なテスタで遅延試験対象回路の測定を行
える遅延試験回路及び遅延試験方法を提供することを目
的とする。
SUMMARY OF THE INVENTION The present invention has been made in view of the above-mentioned problems in the prior art, and provides a delay test circuit and a delay test method capable of measuring a delay test target circuit with an inexpensive tester without increasing the chip area of an LSI. The purpose is to provide.

【課題を解決するための手段】[Means for Solving the Problems]

【0006】前記課題を解決するために提供する本願第
一の発明に係る遅延試験回路は、LSIに備えられ、こ
のLSIのスキャンパス回路を用いて遅延試験を行うス
キャン方式の遅延試験回路であって、スキャンチェイン
上の各スキャンフリップフロップがクロック信号を受け
てスキャン入力の保持及び送出を行うスキャンシフトモ
ードとデータ入力の保持及び送出を行うスキャンキャプ
チャモードとが備えられると共に、遅延試験対象回路の
出力を受けるスキャンフリップフロップのモードを、他
のスキャンフリップフロップと独立に切り替え可能とす
る制御手段が備えられたことを特徴とする。
A delay test circuit according to a first invention of the present application provided to solve the above-mentioned problem is a scan type delay test circuit provided in an LSI and performing a delay test using a scan path circuit of the LSI. In addition, a scan shift mode in which each scan flip-flop on the scan chain receives and receives a clock signal to hold and send a scan input and a scan capture mode in which data input is held and sent are provided. Control means is provided for enabling the mode of the scan flip-flop receiving the output to be switched independently of the other scan flip-flops.

【0007】遅延試験対象回路の出力を受けるスキャン
フリップフロップのモードを、他のスキャンフリップフ
ロップとは独立に切り替え可能とする制御手段を備えた
ことにより、遅延試験対象の組合せ回路の遅延試験を他
の組合せ回路の影響を受けることなく行える。
[0007] By providing control means for switching the mode of the scan flip-flop receiving the output of the delay test target circuit independently of the other scan flip-flops, the delay test of the combinational circuit to be subjected to the delay test can be performed. Can be performed without being affected by the combinational circuit.

【0008】前記課題を解決するために提供する本願第
二の発明に係る遅延試験回路は、本願第一の発明の遅延
試験回路において、前記制御手段は、前記スキャンフリ
ップフロップのモードを切り替えるモード切り替え信号
に対する制御手段であって、遅延試験中を示す端子と、
遅延試験対象回路の出力を受けるスキャンフリップフロ
ップのモード切り替え信号入力前段に配され、前記モー
ド切り替え信号と前記遅延試験中を示す端子の信号とが
入力される組合せ回路とによりなることを特徴とする。
According to a second aspect of the present invention, there is provided a delay test circuit according to the first aspect of the present invention, wherein the control means switches a mode of the scan flip-flop. Control means for the signal, a terminal indicating that a delay test is being performed,
It is arranged before a mode switching signal input of a scan flip-flop that receives an output of the delay test target circuit, and comprises a combinational circuit to which the mode switching signal and a signal of a terminal indicating that the delay test is being performed are input. .

【0009】前記課題を解決するために提供する本願第
三の発明に係る遅延試験方法は、スキャンチェイン上の
各スキャンフリップフロップがクロック信号を受けてス
キャン入力の保持及び送出を行うスキャンシフトモード
とデータ入力の保持及び送出を行うスキャンキャプチャ
モードとを有するLSIのスキャンパス回路を用いて遅
延試験を行うスキャン方式の遅延試験方法であって、テ
ストパターン入力後、少なくとも遅延試験対象回路への
入力となるスキャンフリップフロップをスキャンシフト
モードにし、少なくとも遅延試験対象回路の出力を受け
るスキャンフリップフロップをスキャンキャプチャモー
ドにして遅延試験を採取することを特徴とする。
A delay test method according to a third aspect of the present invention, which is provided to solve the above-mentioned problem, includes a scan shift mode in which each scan flip-flop on a scan chain receives a clock signal and holds and transmits a scan input. A delay test method of a scan system for performing a delay test using a scan path circuit of an LSI having a scan capture mode for holding and transmitting data input, wherein after a test pattern is input, at least an input to a delay test target circuit is performed. A scan flip-flop is set to a scan shift mode, and at least a scan flip-flop receiving an output of a circuit to be tested for delay is set to a scan capture mode to collect a delay test.

【0010】遅延試験対象回路の出力を受ける遅延試験
対象パス終端のスキャンフリップフロップのみをスキャ
ンキャプチャモードにして遅延試験を行うことにより、
遅延試験対象の組合せ回路の遅延試験を他の組合せ回路
の影響を受けることなく行える。
A delay test is performed by setting only the scan flip-flop at the end of the path to be tested which receives the output of the circuit to be tested to scan capture mode.
A delay test of a combinational circuit to be subjected to a delay test can be performed without being affected by another combinational circuit.

【0011】前記課題を解決するために提供する本願第
四の発明に係る遅延試験方法は、遅延試験対象回路への
入力となるスキャンフリップフロップのスキャン入力に
前記遅延試験対象回路の出力を受けるスキャンフリップ
フロップのスキャン出力が接続されたことを特徴とす
る。
A delay test method according to a fourth aspect of the present invention, which is provided to solve the above-mentioned problem, provides a scan in which a scan input of a scan flip-flop which is an input to a delay test target circuit receives an output of the delay test target circuit. The scan output of the flip-flop is connected.

【0012】前記課題を解決するために提供する本願第
五の発明に係る遅延試験方法は、各スキャンフリップフ
ロップがクロック信号を受けてスキャン入力の保持及び
送出を行うスキャンシフトモードと、データ入力の保持
及び送出を行うスキャンキャプチャモードとを有するL
SIのスキャンパス回路を用いて遅延試験を行うスキャ
ン方式の遅延試験方法であって、テストパターン入力
後、少なくとも遅延試験対象回路の出力を受けるスキャ
ンフリップフロップはスキャンキャプチャモードにし、
少なくとも遅延試験対象回路の入力となるキャンフリッ
プフロップはスキャンシフトモードにして遅延試験を行
うことを特徴とする。
A delay test method according to a fifth aspect of the present invention, which is provided to solve the above-mentioned problem, comprises: a scan shift mode in which each scan flip-flop receives a clock signal to hold and transmit a scan input; L having a scan capture mode for holding and sending
A scan type delay test method for performing a delay test using an SI scan path circuit, wherein after a test pattern is input, at least a scan flip-flop receiving an output of a delay test target circuit is set to a scan capture mode,
At least a can flip-flop which is an input of a delay test target circuit is set to a scan shift mode to perform a delay test.

【0013】前記課題を解決するために提供する本願第
六の発明に係る遅延試験方法は、請求項5に記載の遅延
試験方法において、遅延試験対象回路の出力を受けるス
キャンフリップフロップ及び遅延試験対象回路への入力
となるスキャンフリップフロップ及び遅延試験対象回路
への入力となるスキャンフリップフロップへのスキャン
入力となるスキャンフリップフロップを含む少なくとも
三のスキャンフリップフロップの値を使用して、遅延試
験パターンを作成することを特徴とする。
According to a sixth aspect of the present invention, there is provided a delay test method according to the sixth aspect, wherein the scan flip-flop receiving the output of the delay test target circuit and the delay test target are provided. Using the values of at least three scan flip-flops including a scan flip-flop that is an input to the circuit and a scan flip-flop that is a scan input to the scan flip-flop that is an input to the delay test target circuit, a delay test pattern is formed. It is characterized in that it is created.

【0014】前記課題を解決するために提供する本願第
七の発明に係る遅延試験方法は、請求項5に記載の遅延
試験方法において、遅延試験対象回路への入力となるス
キャンフリップフロップのスキャン入力へ、前記遅延試
験対象回路の出力を受けるスキャンフリップフロップの
スキャン出力が接続され、遅延試験パターンが遅延試験
対象回路の出力を受けるスキャンフリップフロップ及び
遅延試験回路への入力となるスキャンフリップフロップ
の少なくとも二のスキャンフリップフロップの値を使用
して遅延試験パターンを作成することを特徴とする。
According to a seventh aspect of the present invention, there is provided a delay test method according to the fifth aspect of the present invention, wherein a scan input of a scan flip-flop to be input to a delay test target circuit is provided. Is connected to a scan output of a scan flip-flop that receives an output of the delay test target circuit, and at least a scan flip-flop that receives a delay test pattern output from the delay test target circuit and a scan flip-flop that becomes an input to the delay test circuit A delay test pattern is created using the value of the second scan flip-flop.

【0015】[0015]

【発明の実施の形態】(実施の形態1)以下に、本発明
に係る遅延試験回路及び遅延試験方法の一実施の形態に
おける構成について図面を参照して説明する。図1は、
本発明に係る遅延試験回路及び遅延試験方法の一実施の
形態における構成を示す遅延試験回路図である。図1に
示すように、本発明に係る遅延試験回路は、スキャンパ
スで接続されたスキャンフリップフロップ10、11、
12、13、14と、モード切り替え信号線24と、ク
ロック信号線23とによりなるスキャンパス回路に加え
て、スキャンチェイン終端フリップフロップ12とモー
ド切り替え信号線24との間に、組合せ回路20を有し
ている。クロック信号線23は、システムクロック信号
線を用い、CLK/SCK/DCLKは、通常クロック端
子、スキャンクロック端子及び遅延試験クロック端子で
ある。スキャンチェインは、一般に知られている回路、
及び動作であり、クロック信号線23でクロック入力
し、モード切り替え信号線24及びSMC信号により、
スキャンパスを用いてテストパターン及びテスト結果の
入出力を行うスキャンシフトモードとシステムの通常動
作を行うスキャンキャプチャモードとを切り替え可能で
ある。組合せ回路20は、遅延試験対象回路の出力を受
けるフリップフロップ12のモードを独立に切り替える
ためのものであって、遅延試験中を示す端子DTEST
端子をもち、SMC信号及びDTEST信号入力の下
に、正論理/負論理によってAND/OR/NAND/
NOR回路になる。本発明の実施の形態1では、DTE
ST端子が、遅延試験中を示す端子となる。尚、スキャ
ンパス上のスキャンフリップフロップの入力/出力端子
をそれぞれSIN端子、SOUT端子と称し、図中のI
N、OUTは、システム通常動作におけるフリップフロ
ップのデータ入力/出力端子である。
(Embodiment 1) The configuration of a delay test circuit and a delay test method according to an embodiment of the present invention will be described below with reference to the drawings. FIG.
1 is a delay test circuit diagram illustrating a configuration of a delay test circuit and a delay test method according to an embodiment of the present invention. As shown in FIG. 1, the delay test circuit according to the present invention includes scan flip-flops 10, 11,
A combination circuit 20 is provided between the scan chain termination flip-flop 12 and the mode switching signal line 24, in addition to the scan path circuit including the mode switching signal lines 12, 13, 14, the mode switching signal line 24, and the clock signal line 23. are doing. The clock signal line 23 uses a system clock signal line, and CLK / SCK / DCLK are a normal clock terminal, a scan clock terminal, and a delay test clock terminal. Scan chains are generally known circuits,
And a clock input through a clock signal line 23, and a mode switching signal line 24 and an SMC signal.
It is possible to switch between a scan shift mode for inputting and outputting a test pattern and a test result using a scan path and a scan capture mode for performing a normal operation of the system. The combinational circuit 20 is for independently switching the mode of the flip-flop 12 receiving the output of the delay test target circuit, and has a terminal DTEST indicating that the delay test is being performed.
The terminal has a positive and negative logic under the input of the SMC signal and the DTEST signal.
It becomes a NOR circuit. In the first embodiment of the present invention, the DTE
The ST terminal is a terminal indicating that the delay test is being performed. The input / output terminals of the scan flip-flop on the scan path are called SIN terminal and SOUT terminal, respectively.
N and OUT are data input / output terminals of the flip-flop in the normal operation of the system.

【0016】そして、本実施の遅延試験回路は、スキャ
ンフリップフロップ11とスキャンフリップフロップ1
2との間に遅延試験対象の組合せ回路21を有し、スキ
ャンフリップフロップ10とスキャンフリップフロップ
11との間に外乱因子となり得る組合せ回路22が存在
する。
The delay test circuit according to the present embodiment comprises a scan flip-flop 11 and a scan flip-flop 1.
2, there is a combinational circuit 21 which is a delay test target, and a combinational circuit 22 which can be a disturbance factor exists between the scan flip-flop 10 and the scan flip-flop 11.

【0017】次に、本発明に係る遅延試験回路及び遅延
試験方法の一実施の形態における動作について図1、図
2、図3、図4、図5、図6を参照して以下に説明す
る。図2及び図6は、本発明に係る遅延試験回路及び遅
延試験方法の一実施の形態における動作を示す遅延試験
動作フロー図及び遅延試験タイミングチャートである。
図2に示すように、遅延試験動作フローは、スキャンシ
フトイン工程150で、スキャンシフトで遅延試験をす
るために設定したい値(テストパターン)を、図1に示
す遅延試験対象の組合せ回路21及び各スキャンフリッ
プフロップの論理に基づいて、スキャンフリップフロッ
プ11、12、13の値として求める。そして、その値
をSIN端子からスキャンフリップフロップ11、1
2、13にスキャンパスを使用したスキャンシフトで設
定する。次いで、遅延試験モード設定工程151で、D
TEST端子とSMC端子によりスキャンフリップフロ
ップ11はスキャンシフトモード、スキャンフリップフ
ロップ12はスキャンキャプチャモード、スキャンフリ
ップフロップ10、13,14はどちらのモードでも考
えられるが、例えばスキャンシフトモードにする。次い
で、遅延試験第1クロック入力工程152で、DCLK
端子に1クロック目を入力し、スキャンフリップフロッ
プ13からスキャンフリップフロップ11に、スキャン
シフトモードでスキャンパスを経由して値を伝搬させ、
スキャンフリップフロップ11からスキャンフリップフ
ロップ12に、スキャンキャプチャモードで遅延試験対
象の組合せ回路21を経由して値を伝搬させる。次い
で、遅延試験第2クロック入力工程153で、遅延試験
第1クロック入力工程152の1クロックから遅延試験
対象の規格になるテストクロック周期幅後DCLKに2
クロック目入力し、スキャンフリップフロップ11から
スキャンフリップフロップ12に、スキャンキャプチャ
モードで遅延試験対象の組合せ回路21を経由して伝搬
させる。次いで、スキャンシフトモード工程154で、
DTEST端子とSMC端子によりスキャンフリップフ
ロップ10、11,12,13,14をスキャンシフト
モードにする。次いで、スキャンシフトアウト工程15
5で、スキャンシフトでSOUT端子から値を順次出力
する。そして、遅延試験判定工程156で、予め準備さ
れた遅延試験対象の組合せ回路21の論理を考慮した期
待値と比較して、論理の一致/不一致により遅延試験対
象の組合せ回路21及びスキャンフリップフロップ11
とスキャンフリップフロップ12間のパス遅延時間がク
ロックの周期幅以下(良)/以上(不良)を判定する。尚、
遅延試験モード設定工程151で、スキャンフリップフ
ロップ10、13,14は、LSI上の他の箇所のパス
遅延も同時に遅延試験をする場合、スキャンキャプチャ
モードになることもある。
Next, the operation of the delay test circuit and the delay test method according to an embodiment of the present invention will be described below with reference to FIGS. 1, 2, 3, 4, 5, and 6. . 2 and 6 are a delay test operation flowchart and a delay test timing chart showing the operation of the delay test circuit and the delay test method according to one embodiment of the present invention.
As shown in FIG. 2, in the delay test operation flow, in the scan shift-in step 150, a value (test pattern) to be set for performing a delay test by scan shift is set by the combination circuit 21 and the delay test target shown in FIG. The values of the scan flip-flops 11, 12, and 13 are obtained based on the logic of each scan flip-flop. Then, the value is sent from the SIN terminal to the scan flip-flops 11, 1
The scan shift using the scan path is set to 2 and 13. Next, in the delay test mode setting step 151, D
The scan flip-flop 11 can be in a scan shift mode, the scan flip-flop 12 can be in a scan capture mode, and the scan flip-flops 10, 13, and 14 can be in any mode. Next, in the delay test first clock input step 152, DCLK
The first clock is input to the terminal, and the value is propagated from the scan flip-flop 13 to the scan flip-flop 11 via the scan path in the scan shift mode,
A value is propagated from the scan flip-flop 11 to the scan flip-flop 12 via the combination circuit 21 to be tested in the scan capture mode. Next, in the delay test second clock input step 153, DCLK is changed from one clock of the delay test first clock input step 152 to a DCLK after a test clock cycle width which becomes a standard of the delay test.
A clock signal is input and propagated from the scan flip-flop 11 to the scan flip-flop 12 via the combination circuit 21 to be tested in the scan capture mode. Next, in a scan shift mode step 154,
The scan flip-flops 10, 11, 12, 13, and 14 are set to the scan shift mode by the DTEST terminal and the SMC terminal. Next, scan shift-out step 15
In step 5, values are sequentially output from the SOUT terminal by scan shift. Then, in a delay test determination step 156, the delay test target combinational circuit 21 and the scan flip-flop 11 are compared with expected values in consideration of the logic of the delay test target combinational circuit 21 prepared in advance and the logic matches / mismatches.
The path delay time between the scan flip-flop 12 and the scan flip-flop 12 is determined to be equal to or less than the cycle width of the clock (good) or equal to or more than (bad). still,
In the delay test mode setting step 151, the scan flip-flops 10, 13, and 14 may enter the scan capture mode when a delay test is performed on the path delay of another portion on the LSI at the same time.

【0018】次に、論理値を用いて、遅延試験対象の組
合せ回路21及びスキャンフリップフロップ11とスキ
ャンフリップフロップ12間パスの立ち上がりの遅延を
テストする場合を示す。ここで、遅延試験対象の組合せ
回路21は例えばバッファとする。まず、スキャンシフ
トイン工程150は、予めテストパターンとしてスキャ
ンフリップフロップ12の値がDCLK端子への2つの
クロック入力により、0から1に変化するように、第1
のスキャンフリップフロップ11に0、第2のスキャン
フリップフロップ12に1、第3のスキャンフリップフ
ロップ13に1をスキャンシフトで設定する(図6に示
す時刻ta、図3)。次いで、遅延試験モード設定工程1
51は、DTEST端子とSMC端子によりスキャンフ
リップフロップ11はスキャンシフトモード、スキャン
フリップフロップ12はスキャンキャプチャモード、ス
キャンフリップフロップ10、13、14は、スキャン
シフトモードにする(図6時刻t1)。次いで、遅延試験
第1クロック入力工程152で、DCLK端子に1クロ
ック目を入力し(図6時刻tb)、スキャンフリップフロッ
プ13からスキャンフリップフロップ11に、スキャン
シフトモードでスキャンパスを経由して1を伝搬させ、
スキャンフリップフロップ11からスキャンフリップフ
ロップ12に、0をスキャンキャプチャモードで遅延試
験対象の組合せ回路21を経由して伝搬させる(図
4)。次いで、遅延試験第2クロック入力工程153
で、遅延試験第1クロック入力工程152の1クロック
からテストクロック周期幅後DCLKに2クロック目入
力し(図6時刻tc)、1をスキャンフリップフロップ11
からスキャンフリップフロップ12に、スキャンキャプ
チャモードで遅延試験対象の組合せ回路21を経由して
伝搬させる。ここで、スキャンフリップフロップ12の
値は、組合せ回路の立ち上がり遅延がクロック周期幅以
下(良)なら1になり、クロック周期幅以上(不良)な
ら0になる(図5)。次いで、スキャンシフトモード工
程154は、DTEST端子とSMC端子によりスキャ
ンフリップフロップ10、11、12、13、14をス
キャンシフトモードにする(図6時刻t2)。次いで、ス
キャンシフトアウト工程155は、スキャンシフトでS
OUT端子から値を順次出力する。最後に、遅延試験判
定工程156は、予め準備された遅延試験対象の組合せ
回路21の論理を考慮した期待値と比較して、論理の一
致/不一致により遅延試験対象の組合せ回路21及びス
キャンフリップフロップ11とスキャンフリップフロッ
プ12間のパス遅延時間がクロックの周期幅以下(良)/
以上(不良)を判定する。(図6時刻td) 本発明の実施の形態1では、スキャンフリップフロップ
12のモード切り替えを他のスキャンフリップフロップ
とは独立して可能にしたため、スキャンフリップフロッ
プ11のモードを遅延試験期間中を通してスキャンシフ
トモードに設定することが可能である。したがって、ス
キャンフリップフロップ11の値はスキャンチェイン上
の前段に位置するスキャンフリップフロップ13から予
めテストパターンとして設定された値が確実に伝搬さ
れ、組合せ回路22に影響されることはない。
Next, a description will be given of a case in which the delay of the rise of the path between the scan flip-flop 11 and the scan flip-flop 12 is tested by using the logical value. Here, the combinational circuit 21 to be subjected to the delay test is, for example, a buffer. First, the scan shift-in step 150 is performed so that the value of the scan flip-flop 12 changes from 0 to 1 in advance as a test pattern by two clock inputs to the DCLK terminal.
The scan flip-flop 11 is set to 0, the second scan flip-flop 12 is set to 1, and the third scan flip-flop 13 is set to 1 by scan shift (time ta shown in FIG. 6, FIG. 3). Next, delay test mode setting step 1
Reference numeral 51 denotes a DTEST terminal and an SMC terminal, so that the scan flip-flop 11 is in the scan shift mode, the scan flip-flop 12 is in the scan capture mode, and the scan flip-flops 10, 13, and 14 are in the scan shift mode (time t1 in FIG. 6). Next, in the delay test first clock input step 152, the first clock is input to the DCLK terminal (time tb in FIG. 6), and the first flip-flop 13 is transferred from the scan flip-flop 13 to the scan flip-flop 11 via the scan path in the scan shift mode. And propagate
0 is propagated from the scan flip-flop 11 to the scan flip-flop 12 via the combination circuit 21 to be tested in the scan capture mode (FIG. 4). Next, a delay test second clock input step 153
Then, a second clock is input to DCLK after a test clock cycle width from one clock in the delay test first clock input step 152 (time tc in FIG. 6), and 1 is set to the scan flip-flop 11.
To the scan flip-flop 12 in the scan capture mode via the combinational circuit 21 to be subjected to the delay test. Here, the value of the scan flip-flop 12 becomes 1 when the rising delay of the combinational circuit is equal to or smaller than the clock cycle width (good), and becomes 0 when the rising delay is equal to or larger than the clock cycle width (bad) (FIG. 5). Next, in the scan shift mode step 154, the scan flip-flops 10, 11, 12, 13, and 14 are set to the scan shift mode by the DTEST terminal and the SMC terminal (time t2 in FIG. 6). Next, the scan shift-out step 155 includes S in the scan shift.
The values are sequentially output from the OUT terminal. Finally, the delay test determination step 156 compares the logic value of the combination circuit 21 of the delay test target prepared in advance with the expected value in consideration of the logic, and determines whether the logic matches or mismatches the combinational circuit 21 of the delay test target and the scan flip-flop. Path delay time between the scan flip-flop 11 and the scan flip-flop 12 is equal to or less than the clock cycle width (good) /
The above (defective) is determined. (Time td in FIG. 6) In the first embodiment of the present invention, since the mode switching of the scan flip-flop 12 is enabled independently of other scan flip-flops, the mode of the scan flip-flop 11 is scanned throughout the delay test period. It is possible to set a shift mode. Therefore, as for the value of the scan flip-flop 11, the value previously set as the test pattern is reliably propagated from the scan flip-flop 13 located at the preceding stage on the scan chain, and is not affected by the combinational circuit 22.

【0019】(実施の形態2)本発明の他の実施の形態
として、その基本構成は上記の通りであるが、さらに制
御するスキャンフリップフロップを2つにする場合を示
す。図7は、本発明に係る遅延試験回路及び遅延試験方
法の他の実施の形態における構成を示す遅延試験回路図
である。本発明の実施の形態2では、図3に示すよう
に、スキャンリチェインでスキャンパスを接続する際
に、遅延試験対象回路の出力を受けるスキャンフリップ
フロップ12のSOUT端子を、遅延試験対象回路の入
力となるスキャンフリップフロップ11のSIN端子に
接続する。そして、スキャンフリップフロップ12が図
1に示した実施の形態の際のスキャンフリップフロップ
13の役割もする。その動作は、スキャンシフトイン工
程150で、遅延試験をするために設定したい値を、遅
延試験対象の組合せ回路21及び各スキャンフリップフ
ロップの論理に基づいて、スキャンフリップフロップ1
1,12の値として求める。そして、その値をSIN端
子からスキャンフリップフロップ11、12にスキャン
パスを使用したスキャンシフトで設定する。次いで、遅
延試験モード設定工程151は、DTEST端子とSM
C端子によりスキャンフリップフロップ11はスキャン
シフトモード、スキャンフリップフロップ12はスキャ
ンキャプチャモードにする。次いで、遅延試験第1クロ
ック入力工程152で、DCLK端子に1クロック目を
入力し、スキャンフリップフロップ12からスキャンフ
リップフロップ11に、スキャンシフトモードでスキャ
ンパスを経由して値を伝搬させ、スキャンフリップフロ
ップ11からスキャンフリップフロップ12に、スキャ
ンキャプチャモードで遅延試験対象の組合せ回路21を
経由して値を伝搬させる。次いで、遅延試験第2クロッ
ク入力工程153は、遅延試験第1クロック入力工程1
52の1クロックからテストクロック周期幅後DCLK
に2クロック目入力し、スキャンフリップフロップ11
からスキャンフリップフロップ12に、スキャンキャプ
チャモードで遅延試験対象の組合せ回路21を経由して
伝搬させる。次いで、スキャンシフトモード工程154
は、DTEST端子とSMC端子によりスキャンフリッ
プフロップ12をスキャンシフトモードにする。次い
で、スキャンシフトアウト工程155は、スキャンシフ
トでSOUT端子から値を順次出力する。最後に、遅延
試験判定工程156は、予め準備された遅延試験対象の
組合せ回路21の論理を考慮した期待値と比較して、論
理の一致/不一致により遅延試験対象の組合せ回路21
及びスキャンフリップフロップ11とスキャンフリップ
フロップ12間のパス遅延時間がクロックの周期幅以下
(良)/以上(不良)を判定する。
(Embodiment 2) As another embodiment of the present invention, a case will be described in which the basic configuration is as described above, but two scan flip-flops are further controlled. FIG. 7 is a delay test circuit diagram showing a configuration of another embodiment of the delay test circuit and the delay test method according to the present invention. In the second embodiment of the present invention, as shown in FIG. 3, when the scan paths are connected by the scan rechain, the SOUT terminal of the scan flip-flop 12 receiving the output of the delay test target circuit is connected to the delay test target circuit. It is connected to the SIN terminal of the scan flip-flop 11 to be input. The scan flip-flop 12 also functions as the scan flip-flop 13 in the embodiment shown in FIG. The operation is as follows. In the scan shift-in step 150, a value to be set for performing a delay test is set on the scan flip-flop 1 based on the combinational circuit 21 to be tested and the logic of each scan flip-flop.
It is determined as a value of 1,12. Then, the value is set from the SIN terminal to the scan flip-flops 11 and 12 by scan shift using a scan path. Next, the delay test mode setting step 151 includes the DTEST terminal and the SM
With the C terminal, the scan flip-flop 11 is set to the scan shift mode, and the scan flip-flop 12 is set to the scan capture mode. Next, in a delay test first clock input step 152, the first clock is input to the DCLK terminal, and a value is propagated from the scan flip-flop 12 to the scan flip-flop 11 via the scan path in the scan shift mode, and In the scan capture mode, a value is propagated from the flip-flop 11 to the scan flip-flop 12 via the combinational circuit 21 to be subjected to the delay test. Next, the delay test second clock input step 153 includes the delay test first clock input step 1
DCLK after a test clock cycle width from one clock of 52
To the scan flip-flop 11
To the scan flip-flop 12 in the scan capture mode via the combinational circuit 21 to be subjected to the delay test. Next, a scan shift mode step 154 is performed.
Sets the scan flip-flop 12 to the scan shift mode by the DTEST terminal and the SMC terminal. Next, a scan shift out step 155 sequentially outputs values from the SOUT terminal by scan shift. Finally, the delay test determination step 156 compares the logic value of the combination circuit 21 to be prepared in advance with the expected value in consideration of the logic of the combination circuit 21 to be compared.
And a path delay time between the scan flip-flop 11 and the scan flip-flop 12 is equal to or less than a clock cycle width.
Judge (good) / more (bad).

【0020】尚、遅延試験モード設定工程151のスキ
ャンフリップフロップ10、13、14は、LSI上の
他の箇所のパス遅延も同時に遅延試験をする場合、スキ
ャンキャプチャモードになることもある。
Incidentally, the scan flip-flops 10, 13, and 14 in the delay test mode setting step 151 may be set to the scan capture mode when a path test of another part on the LSI is simultaneously performed with the delay test.

【0021】本発明の実施の形態2では、遅延試験をス
キャンフリップフロップ2つで実現出来るという効果が
有るため、値を設定する時に論理を考えて設定するスキ
ャンフリップフロップが3つから2つになり、従来より
簡単にテストパターンを作成できる。
The second embodiment of the present invention has an effect that the delay test can be realized by two scan flip-flops. Therefore, when setting a value, the number of scan flip-flops to be set in consideration of logic is changed from three to two. Thus, test patterns can be created more easily than before.

【0022】(実施の形態3)本発明の他の実施の形態
として、複数の組合せ回路の遅延試験が可能となる場合
を示す。図8は、異なる位置にある2つの組合せ回路の
遅延試験が可能な本発明に係る遅延試験回路及び遅延試
験方法の第三の実施の形態における構成を示す遅延試験
回路図である。本発明の実施の形態3では、図8に示す
ように、組合せ回路23及び21の遅延試験を行うもの
であり、それぞれの組合せ回路の出力を受けるスキャン
フリップフロップ10及び12が、組合せ回路20によ
り他のスキャンフリップフロップとは独立にモード設定
可能な構成になっている。本発明の実施の形態3では、
組合せ回路23は上述の実施の形態1に沿って、組合せ
回路21は実施の形態2に沿って遅延試験が行われる。
本発明の実施の形態3のように、異なる位置にある組合
せ回路の出力を受ける複数のスキャンフリップフロップ
を独立にモード設定可能な構成にすることで、複数の組
合せ回路の遅延試験を同一のテストクロック周期で同時
に、又は組合せ回路毎に異なるテストクロック周期で別
々に遅延試験が可能となる。
(Embodiment 3) As another embodiment of the present invention, a case will be described in which a delay test of a plurality of combinational circuits becomes possible. FIG. 8 is a delay test circuit diagram showing a configuration of a delay test circuit and a delay test method according to the third embodiment of the present invention, which can perform a delay test of two combinational circuits at different positions. In the third embodiment of the present invention, as shown in FIG. 8, a delay test is performed on combinational circuits 23 and 21. Scan flip-flops 10 and 12, which receive outputs of the respective combinational circuits, are connected by combinational circuit 20. The mode can be set independently of other scan flip-flops. In Embodiment 3 of the present invention,
The combination circuit 23 is subjected to the delay test according to the first embodiment, and the combination circuit 21 is subjected to the delay test according to the second embodiment.
As in the third embodiment of the present invention, a plurality of scan flip-flops receiving outputs of combinational circuits at different positions are configured to be capable of independently setting a mode, so that a delay test of a plurality of combinational circuits can be performed by the same test. Delay tests can be performed at the same clock cycle or separately at different test clock cycles for each combinational circuit.

【0023】(実施の形態4)本発明の他の実施の形態
として、実施の形態1と異なるスキャンフリップフロッ
プのモードを独立に切り替え、遅延試験を可能とする場
合を示す。図9は、本発明に係る遅延試験回路及び遅延
試験方法の第四の実施の形態における構成を示す遅延試
験回路図である。本実施の形態では、図9に示すよう
に、実施の形態1との構成の違いは、組合せ回路20に
代わり組合せ回路20aとなっており、組合せ回路20
aは、遅延試験対象回路への入力となるスキャンフリッ
プフロップ11のモードを独立に切り替えるためのもの
で、組合せ回路20と同様に遅延試験中を示す端子DT
ESTをもち、SMC信号及びDTEST信号入力の下
に、正論理/負論理によってAND/OR/NAND/
NOR回路になる。実施の形態1との動作の違いは、D
TEST端子が1で遅延試験中となり、遅延試験中は、
SMC端子とDTEST端子で、スキャンフリップフロ
ップ10,12,13,14をスキャンキャプチャモー
ドにし、スキャンフリップフロップ11はスキャンシフ
トモードにする点である。
(Embodiment 4) As another embodiment of the present invention, a case will be described in which the mode of a scan flip-flop different from that of Embodiment 1 is independently switched to enable a delay test. FIG. 9 is a delay test circuit diagram showing a configuration of a delay test circuit and a delay test method according to a fourth embodiment of the present invention. In the present embodiment, as shown in FIG. 9, the difference from the first embodiment is that the combinational circuit 20a replaces the combinational circuit 20.
a is for independently switching the mode of the scan flip-flop 11 which is an input to the delay test target circuit.
EST and AND / OR / NAND / by positive logic / negative logic below the SMC signal and DTEST signal inputs.
It becomes a NOR circuit. The difference in operation from the first embodiment is that
When the TEST terminal is 1, the delay test is in progress. During the delay test,
The SMC terminal and the DTEST terminal set the scan flip-flops 10, 12, 13, and 14 to the scan capture mode, and set the scan flip-flop 11 to the scan shift mode.

【0024】[0024]

【発明の効果】以上説明したように、本発明に係る遅延
試験回路及び遅延試験方法によれば、遅延試験において
図1のスキャンフリップフロップ11とスキャンフリッ
プフロップ12のモードを分けることによって、遅延試
験対象スキャンフリップフロップ以外のスキャンフリッ
プフロップ間の遅延に関係無く遅延試験を行うことがで
きる。すなわち、第1の効果として、遅延試験対象外の
組合せ回路22のことを考慮せず、遅延試験の設定値及
び期待値を作成できる。また、第2の効果として、図1
1に示すNOT回路100、セレクタ回路101、OR
回路102の面積オーバーヘッドを削減できる。さら
に、第3の効果として、通常動作時に論理が伝搬するス
キャンフリップフロップ11の出力にNOT回路100
を接続する必要がないので、NOT回路100の容量に
よる動作速度の劣化もない。
As described above, according to the delay test circuit and the delay test method according to the present invention, the delay test is performed by dividing the modes of the scan flip-flop 11 and the scan flip-flop 12 in FIG. A delay test can be performed regardless of the delay between scan flip-flops other than the target scan flip-flop. That is, as the first effect, the set value and the expected value of the delay test can be created without considering the combinational circuit 22 that is not the target of the delay test. As a second effect, FIG.
1, the NOT circuit 100, the selector circuit 101, and the OR circuit
The area overhead of the circuit 102 can be reduced. Further, as a third effect, a NOT circuit 100 is connected to the output of the scan flip-flop 11 through which logic propagates during normal operation.
Need not be connected, the operating speed does not deteriorate due to the capacity of the NOT circuit 100.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明に係る遅延試験回路及び遅延試験方法の
第一の実施の形態における構成を示す遅延試験回路図で
ある。
FIG. 1 is a delay test circuit diagram showing a configuration of a delay test circuit and a delay test method according to a first embodiment of the present invention.

【図2】本発明に係る遅延試験回路及び遅延試験方法の
第一の実施の形態における遅延試験動作フロー図であ
る。
FIG. 2 is a flowchart of a delay test operation in the first embodiment of the delay test circuit and the delay test method according to the present invention.

【図3】本発明の第一の実施の形態の図6時刻taの論
理状態を示す遅延試験回路図である。
FIG. 3 is a delay test circuit diagram showing a logic state at a time ta in FIG. 6 according to the first embodiment of the present invention;

【図4】本発明の第一の実施の形態の図6時刻tbの論
理状態を示す遅延試験回路図である。
4 is a delay test circuit diagram showing a logic state at time tb in FIG. 6 according to the first embodiment of the present invention.

【図5】本発明の第一の実施の形態の図6時刻tcの論
理状態を示す遅延試験回路図である。
FIG. 5 is a delay test circuit diagram showing a logic state at time tc in FIG. 6 according to the first embodiment of the present invention.

【図6】本発明に係る遅延試験回路及び遅延試験方法の
第一の実施の形態における遅延試験タイミングチャート
である。
FIG. 6 is a delay test timing chart in the first embodiment of the delay test circuit and the delay test method according to the present invention.

【図7】本発明に係る遅延試験回路及び遅延試験方法の
第二の実施の形態における構成を示す遅延試験回路図で
ある。
FIG. 7 is a delay test circuit diagram showing a configuration of a delay test circuit and a delay test method according to a second embodiment of the present invention.

【図8】本発明に係る遅延試験回路及び遅延試験方法の
第三の実施の形態における構成を示す遅延試験回路図で
ある。
FIG. 8 is a delay test circuit diagram showing a configuration of a delay test circuit and a delay test method according to a third embodiment of the present invention.

【図9】本発明に係る遅延試験回路及び遅延試験方法の
第四の実施の形態における構成を示す遅延試験回路図で
ある。
FIG. 9 is a delay test circuit diagram showing a configuration of a delay test circuit and a delay test method according to a fourth embodiment of the present invention.

【図10】従来技術による遅延試験回路図である。FIG. 10 is a diagram of a delay test circuit according to the related art.

【図11】他の従来技術による遅延試験回路図である。FIG. 11 is a circuit diagram of a delay test according to another related art.

【符号の説明】[Explanation of symbols]

IN ユーザ回路用入力端子 OUT ユーザ回路用出力端子 SIN スキャンシフトイン端子 SOUT スキャンシフトアウト端子 CLK 通常クロック端子 SCK スキャンクロック端子 DCLK 遅延試験クロック端子 SMC スキャンシフトモードとスキャンキャプチャモ
ードの切り替え DTEST 遅延試験中を示す端子 10 スキャンフリップフロップ 11 スキャンフリップフロップ 12 スキャンフリップフロップ遅延試験対象回路の出
力を受けるスキャンフリップフロップ 13 スキャンフリップフロップ 14 スキャンフリップフロップ 20 組合せ回路 20a 組合せ回路 21 遅延試験対象の組合せ回路 22 組合せ回路 23 クロック信号線 24 モード切り替え信号線 100 NOT回路 101 セレクタ回路 102 OR回路 150 スキャンシフトイン工程 151 遅延試験モード設定工程 152 遅延試験第1クロック入力工程 153 遅延試験第2クロック入力工程 154 スキャンシフトモード設定工程 155 スキャンシフトアウト工程 156 遅延試験判定工程
IN Input terminal for user circuit OUT Output terminal for user circuit SIN Scan shift-in terminal SOUT Scan shift-out terminal CLK Normal clock terminal SCK Scan clock terminal DCLK Delay test clock terminal SMC Switching between scan shift mode and scan capture mode DTEST During delay test Indicated terminal 10 Scan flip-flop 11 Scan flip-flop 12 Scan flip-flop Scan flip-flop receiving the output of the circuit to be tested for delay test 13 Scan flip-flop 14 Scan flip-flop 20 Combination circuit 20a Combination circuit 21 Combination circuit for delay test 22 Combination circuit 23 Clock signal line 24 Mode switching signal line 100 NOT circuit 101 Selector circuit 102 OR circuit 1 50 scan shift-in process 151 delay test mode setting process 152 delay test first clock input process 153 delay test second clock input process 154 scan shift mode setting process 155 scan shift out process 156 delay test determination process

───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 2G032 AA01 AB01 AB06 AC10 AK16 AL00 5F038 DT01 DT02 DT03 DT04 DT05 DT06 DT07 DT10 DT15 DT17 EZ20  ──────────────────────────────────────────────────の Continued on the front page F term (reference) 2G032 AA01 AB01 AB06 AC10 AK16 AL00 5F038 DT01 DT02 DT03 DT04 DT05 DT06 DT07 DT10 DT15 DT17 EZ20

Claims (7)

【特許請求の範囲】[Claims] 【請求項1】半導体集積回路(以下、LSIとする)に
備えられ、係るLSIのスキャンパス回路を用いて、遅
延試験を行うスキャン方式の遅延試験回路であって、ス
キャンチェイン上の各スキャンフリップフロップは、ク
ロック信号を受けてスキャン入力の保持及び送出を行う
スキャンシフトモードとデータ入力の保持及び送出を行
うスキャンキャプチャモードとが備えられると共に、遅
延試験対象回路の出力を受けるスキャンフリップフロッ
プのモードを他のスキャンフリップフロップと独立に切
り替え可能とする制御手段が備えられたことを特徴とす
る遅延試験回路。
1. A scan type delay test circuit provided in a semiconductor integrated circuit (hereinafter, referred to as an LSI) and performing a delay test using a scan path circuit of the LSI, wherein each scan flip-flop on a scan chain is provided. The flip-flop is provided with a scan shift mode for receiving and transmitting a scan signal in response to a clock signal, and a scan capture mode for retaining and transmitting a data input, and a mode of a scan flip-flop receiving an output of a delay test target circuit. A delay test circuit provided with control means for switching the scan flip-flop independently of other scan flip-flops.
【請求項2】LSIに備えられ、係るLSIのスキャン
パス回路を用いて、遅延試験を行うスキャン方式の遅延
試験回路であって、係る前記スキャン方式の遅延試験回
路が、スキャンチェイン上の各スキャンフリップフロッ
プはクロック信号を受けてスキャン入力の保持及び送出
を行うスキャンシフトモードと、データ入力の保持及び
送出を行うスキャンキャプチャモードとが備えられると
共に、遅延試験対象回路へ入力するスキャンフリップフ
ロップのモードを、他のスキャンフリップフロップと独
立に切り替え可能とする制御手段が設けられたことを特
徴とする遅延試験回路。
2. A scan type delay test circuit provided in an LSI and performing a delay test using a scan path circuit of the LSI, wherein the scan type delay test circuit is provided for each scan on a scan chain. The flip-flop is provided with a scan shift mode in which a scan signal is held and transmitted in response to a clock signal, and a scan capture mode in which a data input is held and transmitted, and a mode of the scan flip-flop input to the delay test target circuit. A delay test circuit, which is provided with control means for enabling switching independently of other scan flip-flops.
【請求項3】前記制御手段が、前記スキャンフリップフ
ロップのモードを切り替えるモード切り替え信号に対す
る制御手段であって、遅延試験中を示す端子と、制御対
象フリップフロップのモード切り替え信号入力前段に配
され、前記モード切り替え信号と前記遅延試験中を示す
端子の信号とが入力される組合せ回路とによりなること
を特徴とする請求項1又は請求項2に記載の遅延試験回
路。
3. The control means for a mode switching signal for switching a mode of the scan flip-flop, the control means being disposed at a terminal indicating that a delay test is being performed, and at a stage prior to a mode switching signal input of the controlled flip-flop. 3. The delay test circuit according to claim 1, comprising a combinational circuit to which the mode switching signal and a signal of a terminal indicating that the delay test is being performed are input.
【請求項4】遅延試験対象回路への入力となるスキャン
フリップフロップのスキャン入力に前記遅延試験対象回
路の出力を受けるスキャンフリップフロップのスキャン
出力が接続されたことを特徴とする遅延試験方法。
4. A delay test method, wherein a scan output of a scan flip-flop receiving an output of the delay test target circuit is connected to a scan input of the scan flip-flop serving as an input to the delay test target circuit.
【請求項5】各スキャンフリップフロップがクロック信
号を受けてスキャン入力の保持及び送出を行うスキャン
シフトモードと、データ入力の保持及び送出を行うスキ
ャンキャプチャモードとを有するLSIのスキャンパス
回路を用いて遅延試験を行うスキャン方式の遅延試験方
法であって、テストパターン入力後、少なくとも遅延試
験対象回路の出力を受けるスキャンフリップフロップは
スキャンキャプチャモードにし、少なくとも遅延試験対
象回路の入力となるスキャンフリップフロップはスキャ
ンシフトモードにして遅延試験を行うことを特徴とする
遅延試験方法。
5. An LSI scan path circuit in which each scan flip-flop has a scan shift mode in which a scan signal is held and sent in response to a clock signal, and a scan capture mode in which a data input is held and sent. A scan type delay test method for performing a delay test, wherein after a test pattern is input, a scan flip-flop receiving at least an output of the delay test target circuit is set to a scan capture mode, and at least a scan flip-flop serving as an input of the delay test target circuit is A delay test method, wherein a delay test is performed in a scan shift mode.
【請求項6】遅延試験対象回路の出力を受けるスキャン
フリップフロップ及び遅延試験対象回路への入力となる
スキャンフリップフロップ及び遅延試験対象回路への入
力となるスキャンフリップフロップへのスキャン入力と
なるスキャンフリップフロップを含む少なくとも三のス
キャンフリップフロップの値を使用して、遅延試験パタ
ーンを作成することを特徴とする請求項5に記載の遅延
試験方法。
6. A scan flip-flop receiving an output of a delay test target circuit, a scan flip-flop serving as an input to the delay test target circuit, and a scan flip-flop serving as a scan input to a scan flip-flop serving as an input to the delay test target circuit 6. The delay test method according to claim 5, wherein the delay test pattern is created using values of at least three scan flip-flops including the flip-flop.
【請求項7】遅延試験対象回路への入力となるスキャン
フリップフロップのスキャン入力へ、前記遅延試験対象
回路の出力を受けるスキャンフリップフロップのスキャ
ン出力が接続され、遅延試験パターンが遅延試験対象回
路の出力を受けるスキャンフリップフロップ及び遅延試
験回路への入力となるスキャンフリップフロップの少な
くとも二のスキャンフリップフロップの値を使用して遅
延試験パターンを作成することを特徴とする請求項5に
記載の遅延試験方法。
7. A scan output of a scan flip-flop receiving an output of the delay test target circuit is connected to a scan input of the scan flip-flop serving as an input to the delay test target circuit, and a delay test pattern of the delay test target circuit is changed. 6. A delay test pattern according to claim 5, wherein a delay test pattern is created using values of at least two scan flip-flops of a scan flip-flop receiving an output and a scan flip-flop serving as an input to a delay test circuit. Method.
JP2000264147A 2000-08-31 2000-08-31 Circuit and method for delay test Pending JP2002071761A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000264147A JP2002071761A (en) 2000-08-31 2000-08-31 Circuit and method for delay test

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000264147A JP2002071761A (en) 2000-08-31 2000-08-31 Circuit and method for delay test

Publications (1)

Publication Number Publication Date
JP2002071761A true JP2002071761A (en) 2002-03-12

Family

ID=18751606

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000264147A Pending JP2002071761A (en) 2000-08-31 2000-08-31 Circuit and method for delay test

Country Status (1)

Country Link
JP (1) JP2002071761A (en)

Similar Documents

Publication Publication Date Title
KR100335683B1 (en) Method and apparatus for utilizing mux scan flip-flops to test speed related defects
US7840864B2 (en) Functional frequency testing of integrated circuits
US7685489B2 (en) Semiconductor integrated circuit and testing method
JPH0290075A (en) System for scanning test of logical circuit
JPH06160477A (en) Logic circuit
JPH02300826A (en) Operation of inspection system
CN115856590B (en) Test circuit, zero period same-edge sampling circuit, test method and electronic equipment
KR100194172B1 (en) Scan test circuit and semiconductor integrated circuit device having same
Gillis et al. Delay test of chip I/Os using LSSD boundary scan
JP2002202348A (en) Test circuit for logic ic and method therefor
JP2742740B2 (en) Bypass scan path and integrated circuit device using the same
JP2002257903A (en) Method for testing semiconductor integrated circuit and method for generating test pattern and its device and program
US20070124635A1 (en) Integration circuit and test method of the same
US6427218B2 (en) Method of generating test pattern for semiconductor integrated circuit and method of testing the same
JP3363691B2 (en) Semiconductor logic integrated circuit
JP2002071761A (en) Circuit and method for delay test
US20200182933A1 (en) Circuit applied to multiple scan modes for testing
JP5383588B2 (en) Scan test circuit, semiconductor integrated circuit
JP3594582B2 (en) Semiconductor device
JPH1123660A (en) Test simplifying circuit of integrated circuit
JPH11258304A (en) Circuit and method for test of system logic
US6321355B1 (en) Semiconductor integrated circuit and method of testing the same
JP2000321331A (en) Scan test circuit and semiconductor integrated circuit using it
JP2003098221A (en) Semiconductor device, testing method for semiconductor device and testing device for semiconductor device
JP4644966B2 (en) Semiconductor test method

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040121