JP2002057121A - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same

Info

Publication number
JP2002057121A
JP2002057121A JP2001150537A JP2001150537A JP2002057121A JP 2002057121 A JP2002057121 A JP 2002057121A JP 2001150537 A JP2001150537 A JP 2001150537A JP 2001150537 A JP2001150537 A JP 2001150537A JP 2002057121 A JP2002057121 A JP 2002057121A
Authority
JP
Japan
Prior art keywords
wiring
oxide film
film
drain
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
JP2001150537A
Other languages
Japanese (ja)
Inventor
Toyota Morimoto
豊太 森本
Masakatsu Tsuchiaki
正勝 土明
Tatsuya Oguro
達也 大黒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2001150537A priority Critical patent/JP2002057121A/en
Publication of JP2002057121A publication Critical patent/JP2002057121A/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

PROBLEM TO BE SOLVED: To realize manufacturing-process simplification and device-element miniaturization by forming buried-wiring which makes a silicide process and device-element wiring common in the formation of miniaturized inter-device- element wiring using the silicide process. SOLUTION: A surface of an insulating film formed on a semiconductor substrate is subjected to plasma processing in which at least oxygen and fluorine are contained. A refractory metal formed on the insulating film is subjected to a heat treatment. The salicide process for a MOSFET is commonly shared with the wiring process, and since the contacts and the wiring are composed of almost a same material, contact failure caused by electrical or chemical difference does not generate. Besides, since the contacts and the wiring are formed in a self-aligning manner, areas for source and drain can be reduced.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は半導体装置の製造方
法に係わり、特にシリサイド層を含むトランジスタの構
造及び製造方法に関する。
The present invention relates to a method of manufacturing a semiconductor device, and more particularly to a structure and a method of manufacturing a transistor including a silicide layer.

【0002】[0002]

【従来の技術】スケーリング則に沿って素子の高速化が
達成されてきたが、スケーリングされないパラメーター
の存在により、これまでの高速化が難しくなってきてい
る。微細化に伴う、拡散層のシート抵抗、コンタクト抵
抗、ゲート電極の抵抗の増大が問題となってきており、
これを解決する手段として、自己整合的にシリサイド層
をソース、ドレイン及びゲート電極に張り付けるという
サリサイド構造が提案されている。従来のサリサイド構
造の製造方法を以下に説明する。素子分離領域の形成さ
れた半導体基板上にポリシリコンからなるゲート電極を
形成する。次に、素子領域上に第1の酸化膜を形成した
後、リンをイオン注入する。
2. Description of the Related Art Although the speeding up of elements has been achieved in accordance with the scaling law, the speeding up to date has been difficult due to the existence of non-scaled parameters. Increasing sheet resistance, contact resistance, and gate electrode resistance of the diffusion layer due to miniaturization have become a problem.
As a means for solving this, a salicide structure in which a silicide layer is stuck to the source, drain and gate electrodes in a self-aligned manner has been proposed. A conventional method for manufacturing a salicide structure will be described below. A gate electrode made of polysilicon is formed on a semiconductor substrate on which an element isolation region is formed. Next, after forming a first oxide film on the element region, phosphorus is ion-implanted.

【0003】続いて、絶縁膜例えば、窒化膜を堆積し、
CF4 、N2 、H2 混合ガスあるいはCHF3 、COの
混合ガスを用い、エッチバックすることにより、ゲート
電極の側部にゲート側壁を形成する。次に、素子領域上
に第2の酸化膜を形成し、ゲート電極及びゲート側壁さ
らに素子分離領域をマスクにヒ素をイオン注入する。続
いて、不純物の活性化の熱処理を行い、LDD型のソー
ス、ドレインを形成する。次にサリサイドの工程に入
る。
Subsequently, an insulating film, for example, a nitride film is deposited,
Using a mixed gas of CF4, N2 and H2 or a mixed gas of CHF3 and CO, the gate side wall is formed on the side of the gate electrode by etching back. Next, a second oxide film is formed on the element region, and arsenic is ion-implanted using the gate electrode, the gate side wall, and the element isolation region as a mask. Subsequently, heat treatment for activating the impurities is performed to form an LDD type source and drain. Next, the salicide process is started.

【0004】ソース、ドレイン上さらにゲート上の酸化
膜を希HF液を用い、除去した後で、Tiを堆積し、熱
処理を施すことにより、シリコンとTiの接触する部分
をシリサイド化させる。次に、側壁上及び素子分離領域
上の未反応のTiを硫酸と過酸化水素水の混合液によ
り、選択的にエッチング除去する。その後、層間絶縁膜
の堆積、コンタクト開口、配線形成など通常の工程を経
て、自己整合型シリサイド層を有するNMOSトランジ
スタは完成する。
After removing the oxide film on the source, the drain and the gate using a dilute HF solution, Ti is deposited, and a heat treatment is performed to silicide the contact portion between silicon and Ti. Next, unreacted Ti on the side wall and the element isolation region is selectively removed by etching with a mixed solution of sulfuric acid and hydrogen peroxide solution. Thereafter, the NMOS transistor having a self-aligned silicide layer is completed through ordinary steps such as deposition of an interlayer insulating film, contact opening, and wiring formation.

【0005】[0005]

【発明が解決しようとする課題】上述のようなシリサイ
ドの形成方法では、TiSi2 の主な拡散種がSiであ
るため、シリサイド形成時にSiの移動に伴う膜の這い
上がりが発生しやすく、導通してしまい、歩留まりが低
下するといった問題があった。さらに、側壁形成の際
に、デポ膜が付着し、これがTiと反応してシリサイド
膜の選択形成が崩れるといった問題があった。
In the above silicide formation method, since the main diffusion species of TiSi2 is Si, the film is likely to crawl due to the movement of Si during the formation of the silicide, and the silicide is formed. As a result, there is a problem that the yield is reduced. Further, there is a problem that a deposition film adheres during the formation of the side wall, and this reacts with Ti to break the selective formation of the silicide film.

【0006】さらに、上記工程ではイオン注入を行った
後に、ゲート電極及びソース、ドレイン領域上に形成さ
れた酸化膜を除去するが、この酸化膜の除去が完全でな
いとTiSi2 膜の形成の選択性が十分にとれない。し
かし、ゲート電極材料のポリシリコン上では拡散層であ
るシリコン上と比べ、形成される酸化膜の厚さが厚くな
るため、ウエット処理などにより酸化膜を同時にかつ完
全に除去するのが困難であった。これは、処理時間を長
くして、ポリシリコン上の酸化膜を完全に除去しようと
すると、フィールドエッジ部の後退が生じてしまい、接
合リークの原因となるからである。
Further, in the above process, after ion implantation, the oxide film formed on the gate electrode and the source and drain regions is removed. If the removal of the oxide film is not complete, the selectivity of the formation of the TiSi2 film is reduced. Is not enough. However, the thickness of the oxide film formed on the polysilicon of the gate electrode material is larger than that on the silicon which is the diffusion layer. Therefore, it is difficult to simultaneously and completely remove the oxide film by wet processing or the like. Was. This is because if the processing time is lengthened to completely remove the oxide film on the polysilicon, the field edge portion is recessed, which causes a junction leak.

【0007】また、上述のようなシリサイド工程を用い
た微細な素子間配線を通常の配線技術を用いて行おうと
すると、配線用の物質をあらためて形成し、これを加工
することが必要となり、工程が複雑になるという問題が
生じていた。
[0007] In addition, if fine inter-element wiring using the above-described silicide process is to be performed using a normal wiring technique, it is necessary to newly form a wiring material and process it. Has become a problem.

【0008】さらに、素子の微細化に伴い、ショートチ
ャネル効果を抑制するために基板濃度が増大するが、そ
のためにソース、ドレインとなる高濃度の拡散層と基板
との接合容量が増加し、デバイスの高速化にとって大き
な障害となっていた。
Further, as the element becomes finer, the concentration of the substrate increases in order to suppress the short channel effect. Therefore, the junction capacitance between the substrate and the high-concentration diffusion layer serving as the source and drain increases, and the device Was a major obstacle to speeding up the process.

【0009】[0009]

【課題を解決するための手段】本願発明は、半導体基板
上に絶縁膜を形成する工程と、この絶縁膜表面に少なく
とも酸素及びフッ素を含むプラズマ処理を施す工程と、
前記絶縁膜上に高融点金属層を形成する工程と、この高
融点金属に熱処理を加える工程とを含む半導体装置の製
造方法を提供することを特徴とする。
SUMMARY OF THE INVENTION The present invention comprises a step of forming an insulating film on a semiconductor substrate, a step of performing a plasma treatment on the surface of the insulating film at least containing oxygen and fluorine,
A method for manufacturing a semiconductor device includes a step of forming a refractory metal layer on the insulating film and a step of heat-treating the refractory metal.

【0010】本構成により、MOSFETのサリサイド
工程と、配線工程を兼ねることができるため、MOSF
ETのソース、ドレイン拡散層上のコンタクト(サリサ
イド部分)と同時にこれらをつなぐ配線が形成できる。
その上、コンタクトと配線はほぼ同じ材質でできている
ため、異なった材質を使用した時に問題となる、電気
的,化学的な差異に起因したコンタクト不良を生じな
い。さらに、サリサイド部分と直接つながっているため
この配線は原理的に全面コンタクトである。
According to this structure, the salicide step of the MOSFET and the wiring step can be performed simultaneously.
At the same time as the contacts (salicide portions) on the source and drain diffusion layers of the ET, a wiring connecting them can be formed.
In addition, since the contact and the wiring are made of substantially the same material, there is no occurrence of a contact failure due to an electrical or chemical difference, which is a problem when different materials are used. Further, since the wiring is directly connected to the salicide portion, this wiring is a contact on the whole surface in principle.

【0011】又、電流の径路を線から面にすることがで
きるため、抵抗の削減、配線の信頼性を確保できる。加
えて、配線を形成するために、特別に構造物を構成する
必要もなく、もちろん埋めこまれているために段差が生
じない。よってその後の層間絶縁膜の堆積と平坦化に極
めて有利である。又、この工程の後にさらに通常のロー
カルインターコネクションの工程を行えば、ローカルイ
ンターコネクションの多層化を達成することも可能であ
る。
Further, since the current path can be formed from the line to the surface, the resistance can be reduced and the reliability of the wiring can be ensured. In addition, there is no need to form a special structure to form a wiring, and, of course, there is no step due to being embedded. Therefore, it is extremely advantageous for the subsequent deposition and planarization of the interlayer insulating film. Further, if a normal local interconnection step is further performed after this step, it is possible to achieve a multilayered local interconnection.

【0012】又、コンタクトと配線を自己整合的に形成
するために、従来コンタクトを用い広い面積を確保しな
ければならなかったソース、ドレイン拡散層を縮小する
ことができ、しかもコンタクトは完全に形成できる。こ
のため素子の縮小、高速化に絶対的優位性をもってい
る。
Further, in order to form the contact and the wiring in a self-aligned manner, the source and drain diffusion layers which had to be secured by using the conventional contact and have a large area can be reduced, and the contact is completely formed. it can. Therefore, it has an absolute advantage in reducing the size and speed of the device.

【0013】さらに短いソース、ドレイン拡散層が達成
できるために、この部分と基板との容量が低減でき、さ
らに拡散層を比較的深くできるので、サリサイド等のプ
ロセスマージンを著しく向上させ、並びにジャンクショ
ンリーク等を抑制することが可能である。
Since a shorter source / drain diffusion layer can be achieved, the capacitance between this portion and the substrate can be reduced, and the diffusion layer can be made relatively deep, so that the process margin for salicide and the like can be significantly improved, and junction leakage can be improved. Etc. can be suppressed.

【0014】又、この工程は、ローカルインターコネク
ションのみに適応できるのみならず、絶縁膜中に、導電
層、埋め込み電極等を形成する手法として、層間絶縁
膜、その他の素子用の電極形成にも幅広く適応できる。
This process can be applied not only to local interconnection but also to the formation of an interlayer insulating film and other electrodes for devices as a method of forming a conductive layer, a buried electrode and the like in an insulating film. Can be widely adapted.

【0015】[0015]

【発明の実施の形態】(実施例1)本発明の実施例をN
MOSを例にとり図1を用いて説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS (Embodiment 1)
This will be described with reference to FIG. 1 taking a MOS as an example.

【0016】素子分離領域102の形成された半導体基
板101上に20nmの厚さの熱酸化膜を形成し、しき
い値制御用およびパンテスルーストッパ用のボロンのイ
オン注入を行う。
A 20 nm-thick thermal oxide film is formed on the semiconductor substrate 101 on which the element isolation region 102 is formed, and boron ions are implanted for controlling a threshold value and for a pante through stopper.

【0017】次に前記熱酸化膜を剥離し、11nmのゲ
ート酸化膜103を形成した後、350nmの厚さのポ
リシリコンを堆積し、POCl3 中850℃で30分の
熱処理を施し前記ポリシリコンをN+ 化させる。次に、
N+ 化されたポリシリコンをパターニングし、ゲート電
極104を形成する。
Next, after removing the thermal oxide film and forming an 11 nm gate oxide film 103, a 350 nm thick polysilicon is deposited, and a heat treatment is performed in POCl 3 at 850 ° C. for 30 minutes to remove the polysilicon. To N +. next,
The gate electrode 104 is formed by patterning the N + polysilicon.

【0018】続いて、熱酸化を900℃、10分、Dr
yO2 雰囲気で行ない、ゲート電極104表面および素
子領域(ソース/ドレイン形成予定域)上に酸化膜10
5を形成する。次に、基板表面にリンを40keV、7
E13cm-2の条件でイオン注入し、N- 層を形成す
る。次に、LPCVD法で窒化膜を15nmの厚さに堆
積する。
Subsequently, thermal oxidation was performed at 900 ° C. for 10 minutes,
The oxide film 10 is formed in a yO2 atmosphere, and the oxide film 10
5 is formed. Next, 40 keV, 7
Ion implantation is performed under the condition of E13 cm @ -2 to form an N @-layer. Next, a nitride film is deposited to a thickness of 15 nm by LPCVD.

【0019】さらに、CHF3 ガスを用いた異方性エッ
チングにより、ゲート電極側部に側壁106を形成す
る。次いで、850℃、DryO2 雰囲気で熱酸化し、
素子領域上に酸化膜107を15nm程度形成する。
Further, a side wall 106 is formed on the side of the gate electrode by anisotropic etching using CHF3 gas. Next, thermal oxidation is performed at 850 ° C. in a DryO2 atmosphere.
An oxide film 107 having a thickness of about 15 nm is formed on the element region.

【0020】この後、ヒ素を50keV、3E15cm
-2の条件でイオン注入し、1000℃で20秒間N2 中
で活性化し、ソース/ドレイン領域108を形成する
(図1(a) )。これから、サリサイドの工程に入る。
After that, arsenic is applied at 50 keV and 3E15 cm.
Ion implantation is performed under the condition of -2, and activation is performed in N2 at 1000 DEG C. for 20 seconds to form a source / drain region 108 (FIG. 1A). The salicide process will now begin.

【0021】希HF溶液により、ソース/ドレイン領域
およびゲート電極上の熱酸化膜105を除去した後、T
iおよびTiN膜を連続で、それぞれ20nm、50n
mスパッタ法により堆積し、続いて、750℃、N2 中
で30秒間熱処理を施し、ソース/ドレインおよびゲー
トポリシリコン電極上のTiをシリサイド化し、TiS
i2 層109を形成する。このあと、側壁および素子分
離領域上の未反応のTiを硫酸および過酸化水素水の混
合液で完全にエッチング除去する(図1(b) )。
After removing the thermal oxide film 105 on the source / drain region and the gate electrode with a dilute HF solution,
i and TiN films are continuously formed at 20 nm and 50 n, respectively.
m, sputtered at 750 ° C. for 30 seconds in N 2 to silicide Ti on the source / drain and gate polysilicon electrodes,
An i2 layer 109 is formed. Thereafter, unreacted Ti on the side wall and the element isolation region is completely removed by etching with a mixed solution of sulfuric acid and hydrogen peroxide solution (FIG. 1 (b)).

【0022】このとき、TiSi2 形成時の主たる拡散
種はSiであり、シリサイドの這い上がりが考えられる
が、N2 中でアニールすることにより、Ti表面からは
窒化が、Si界面ではシリサイド化が進行するため、這
い上がりは抑えられる。また、キャップとして用いたT
iNは、膜ストレスと考えられる作用により、SiのT
i中の拡散を抑えることができ、これによっても図2
(a),(b) に示したような這い上がりを抑えることがで
きる。
At this time, Si is the main diffusion species at the time of forming TiSi 2, and it is considered that the silicide creeps up. By annealing in N 2, nitridation proceeds from the Ti surface and silicidation proceeds at the Si interface. Therefore, climbing is suppressed. In addition, T used as a cap
iN causes the T of Si to increase due to the action considered as film stress.
The diffusion in i can be suppressed, which also
The crawling as shown in (a) and (b) can be suppressed.

【0023】上述の這い上がり対策を施した場合にも側
壁形成条件によっては、ゲートおよびソース/ドレイン
の導通が生じるという新しい不良モードがあることが判
明した。
It has been found that, even when the above-mentioned countermeasures are taken, there is a new failure mode in which conduction between the gate and the source / drain occurs depending on the side wall forming conditions.

【0024】これはCO添加(CHF3 /CO)などの
ガス系により、対Siの選択比を上げた場合に生じやす
い現象で、側壁上にデポされるフロロカーボン膜とスパ
ッタしたTiが反応することにより導電膜が形成され導
通がゲートとソース/ドレイン間で起きる(図3 (a),
(b) )。
This phenomenon is apt to occur when the selectivity of Si to Si is increased by a gas system such as CO addition (CHF 3 / CO). The fluorocarbon film deposited on the side wall reacts with the sputtered Ti. A conductive film is formed and conduction occurs between the gate and the source / drain (FIG. 3 (a),
(b)).

【0025】いくつかのガス系で実験をくりかえした結
果、CHF3 のみでエッチングした場合が最も、前述の
ブリッジング(シリサイドの這い上がりによる導通では
ない)が生じにくいことが明らかとなった。これはTi
などのシリサイドをソース/ドレインやゲートに貼りつ
けることによって初めて問題となってくる現象で、シリ
サイド膜を貼りつけないトランジスタでは全く問題とは
ならない。Tiなどの金属と、上述のデポ膜がシリサイ
デーションのアニールにより反応することがこの導通の
本質的な問題である。図4,図5にゲートとドレインの
導通を調べた実験結果(1ウエハ−,37チップ)を示
す。測定パターンは図6に示すもので、側壁の周辺長は
25mm,n+ polyゲートとn+ 拡散層とのリーク
を調べている。図4(a) は本発明のリーク電流とゲート
電圧の関係を示す結果、図5(a)は従来例(CHF3 /
CO)のリーク電流とゲート電圧の関係を示す結果であ
る。図4(b) ,図5(b) はリーク電流が10-9Aとなる
に必要なゲート電圧の分布である。本発明(図4 (a),
(b) )では、ゲート酸化膜のトンネル電流が流れはじめ
るVG =7Vまでリーク電流は抑えられているが、従来
例図5 (a),(b) では、いくつかのチップでブリッジン
グが生じていることが明らかである。以上のブリッジン
グ(不良)をμ−AESで分析してみるとCとTiが検
出され、側壁上にデポされるフロロカーボン膜とTiと
の反応で、導電膜が形成されやすいことが判明した。な
お、選択エッチング終了後は、通常の層間膜形成,コン
タクト開孔,配線工程などを経て、LDD型−サリサイ
ドNMOSFETは完成する。本発明の実施例ではNM
OSを取りあげ説明したが、PMOSであってもCMO
Sであってもかまわない。また、LDD構造であって
も、GDD構造であっても、シングルドレイン構造であ
ってもよい。
As a result of repeating experiments with several gas systems, it was found that the above-described bridging (not conduction due to the creeping up of silicide) is most unlikely to occur when etching is performed only with CHF 3. This is Ti
This is a phenomenon that becomes a problem for the first time when a silicide is attached to a source / drain or a gate, and does not cause any problem in a transistor without a silicide film attached. An essential problem of this conduction is that the metal such as Ti reacts with the above-mentioned deposition film by silicidation annealing. 4 and 5 show the results of an experiment (1 wafer, 37 chips) in which conduction between the gate and the drain was examined. The measurement pattern is shown in FIG. 6, the peripheral length of the side wall is 25 mm, and the leakage between the n + poly gate and the n + diffusion layer is examined. FIG. 4 (a) shows the relationship between the leakage current and the gate voltage of the present invention, and FIG. 5 (a) shows the conventional example (CHF3 /
It is a result showing the relationship between the leak current of CO) and the gate voltage. FIGS. 4 (b) and 5 (b) show the distribution of the gate voltage required for the leakage current to reach 10 @ -9 A. FIG. The present invention (FIG. 4 (a),
In (b)), the leak current is suppressed until VG = 7V at which the tunnel current of the gate oxide film starts to flow. However, in FIGS. 5 (a) and 5 (b), bridging occurs in some chips. It is clear that When the above bridging (defective) was analyzed by μ-AES, C and Ti were detected, and it was found that a conductive film was easily formed by a reaction between the fluorocarbon film deposited on the side wall and Ti. After the end of the selective etching, the LDD-salicide NMOSFET is completed through ordinary interlayer film formation, contact opening, wiring steps, and the like. In an embodiment of the present invention, NM
The OS has been described, but even if it is a PMOS, the CMO
It may be S. Further, it may have an LDD structure, a GDD structure, or a single drain structure.

【0026】さらに、本発明の実施例では、ゲート電極
が単層でリン拡散polyシリコンを用いたが、イオン
注入で形成しても、ゲートパターニング前に絶縁膜を堆
積し、ポリサイド構造をつくった上で、ゲート電極をパ
ターニングしてもよい。また、シリサイドはソース/ド
レイン上にのみ選択的に形成してよい。
Further, in the embodiment of the present invention, the gate electrode is made of a single layer of phosphorus-diffused poly silicon. However, even if it is formed by ion implantation, an insulating film is deposited before gate patterning to form a polycide structure. Above, the gate electrode may be patterned. Further, silicide may be selectively formed only on the source / drain.

【0027】また、窒化膜を側壁スペーサに用いたが、
LPCVD法などによる酸化膜を用いても、また酸化膜
と窒化膜の複合膜を用いてもCHF3 ガスを用いて形成
するかぎりかまわない。
Although the nitride film is used for the side wall spacer,
An oxide film formed by LPCVD or the like, or a composite film of an oxide film and a nitride film may be used as long as it is formed by using CHF3 gas.

【0028】TiSi2 の例について、這い上がりのな
い場合でも、ブリッジングが生じるモードがあることに
ついて述べたが、拡散種が金属である場合、例えばNi
Siなどのシリサイドの選択形成にも本発明を適用でき
る。さらに、選択CVD(TiCl4 ガス)などにより
サリサイド構造を実現してもよい。その他、本発明の主
旨を逸脱しない範囲で種々変形して利用できる。
In the example of TiSi 2, it has been described that there is a mode in which bridging occurs even without crawling. However, when the diffusion species is a metal, for example, Ni
The present invention can be applied to selective formation of silicide such as Si. Further, a salicide structure may be realized by selective CVD (TiCl4 gas) or the like. In addition, various modifications can be made without departing from the spirit of the present invention.

【0029】(実施例2)本発明の実施例を図7乃至図
13を参照しながら説明する。本実施例では、まず、P
型基板201上にpウエル領域(図示せず)を形成す
る。
(Embodiment 2) An embodiment of the present invention will be described with reference to FIGS. In this embodiment, first, P
A p-well region (not shown) is formed on the mold substrate 201.

【0030】次に950℃で酸化することにより熱酸化
膜202を500オングストロームの厚さに形成し、次
いでLPCVD法を用いて、窒化膜203および酸化膜
204をそれぞれ2000オングストローム,2500
オングストロームの厚さに堆積する(図7(a) )。
Next, a thermal oxide film 202 is formed to a thickness of 500 angstroms by oxidizing at 950 ° C., and then a nitride film 203 and an oxide film 204 are respectively formed to 2000 angstroms and 2500 angstroms by LPCVD.
It is deposited to a thickness of Å (FIG. 7 (a)).

【0031】次に素子形成予定領域上にレジスト層を形
成し、これをマスクにRIE法により、酸化膜204,
窒化膜203をエッチングし、開口部205を形成す
る。さらにレジストを除去する(図7(b) )。次に、例
えば160℃の熱リン酸溶液に浸し開口部205内の露
出した窒化膜203を選択的にサイドエッチングする。
Next, a resist layer is formed on a region where an element is to be formed, and the oxide film 204,
An opening 205 is formed by etching the nitride film 203. Further, the resist is removed (FIG. 7B). Next, the exposed nitride film 203 in the opening 205 is immersed in, for example, a 160 ° C. hot phosphoric acid solution and selectively side-etched.

【0032】続いて酸化膜204及び窒化膜203をマ
スクに前記開口部内に例えばθ=45°の大斜角の回転
イオン注入で、リンを1×1014cm2 のドーズ量注入
し、不純物層206を形成する(図8(a) )。
Subsequently, using the oxide film 204 and the nitride film 203 as a mask, phosphorus is implanted into the opening by, for example, a large oblique angle of θ = 45 ° by a dose of 1 × 10 14 cm 2 to form an impurity layer 206. (FIG. 8A).

【0033】次に開口部205の酸化膜202をウエッ
トエッチングもしくはRIEで除去した後、酸化膜20
4をマスクにシリコン基板201を例えば8000オン
グストロームの深さエッチングし、トレンチを形成す
る。次いで、このトレンチ内にP型の不純物、例えばB
F2 を50keVで2×1013cm-2注入する。このイ
オン注入はθ=0°もしくは7°の角度で行ない、トレ
ンチの下部にのみ選択的にP型層207を形成する(図
8(b) )。尚、この際BF2 イオン注入に先だち、トレ
ンチのコーナー部をまるめるためのCDE処理、熱処理
工程を加えてもよい。
Next, after the oxide film 202 in the opening 205 is removed by wet etching or RIE, the oxide film 20 is removed.
Using the mask 4 as a mask, the silicon substrate 201 is etched to a depth of, for example, 8000 Å to form a trench. Next, a P-type impurity such as B
F2 is implanted at 2.times.10@13 cm @ -2 at 50 keV. This ion implantation is performed at an angle of θ = 0 ° or 7 °, and a P-type layer 207 is selectively formed only at the lower part of the trench (FIG. 8B). At this time, before the BF2 ion implantation, a CDE process and a heat treatment process for rounding the corner of the trench may be added.

【0034】次に、NH4 F溶液により、酸化膜204
をエッチング除去し、さらに900℃で熱酸化を行い、
トレンチ内に500オングスロトームの熱酸化膜を形成
する(図9(a) )。次にLPCVD法で全面に酸化膜2
08を8000オングトロームの厚さに堆積する(図9
(b) )。
Next, an oxide film 204 is formed using an NH 4 F solution.
Is removed by etching, and thermal oxidation is further performed at 900 ° C.
A 500 angstrom thermal oxide film is formed in the trench (FIG. 9A). Next, an oxide film 2 is formed on the entire surface by LPCVD.
08 to a thickness of 8000 angstroms (FIG. 9).
(b)).

【0035】次にCMP(化学的機械研磨)法により前
記酸化膜208を削る。この際、窒化膜203は良好な
ストッパとなり、基板表面は完全に平坦化された形状と
なる(図10(a) )。次に、残存する窒化膜203を熱
リン酸で選択的に除去する(図10(b) )。ここで、素
子分離形成が終了する。
Next, the oxide film 208 is shaved by CMP (chemical mechanical polishing). At this time, the nitride film 203 serves as a good stopper, and the substrate surface has a completely flattened shape (FIG. 10A). Next, the remaining nitride film 203 is selectively removed with hot phosphoric acid (FIG. 10B). Here, the element isolation formation is completed.

【0036】次に基板全面に熱酸化膜(図示せず)を1
20オングストロームの厚さに形成し、Bを65ke
V、5E12cm-2の条件で注入する。この熱酸化膜を
NH4F液で除去した後、800℃の酸化によりゲート
酸化膜209を70オングストロームの厚さに形成す
る。
Next, a thermal oxide film (not shown) is formed on the entire surface of the substrate.
Formed to a thickness of 20 angstroms and B is 65 ke
V is implanted under the condition of 5E12 cm−2. After removing this thermal oxide film with an NH4F solution, a gate oxide film 209 is formed to a thickness of 70 Å by oxidation at 800 ° C.

【0037】続いて、polyシリコン210をLPC
VD法で3500オングストロームの厚さに堆積し、8
50℃、60分のリン拡散工程の後フォトリソグラフィ
ー工程、RIE工程を経て、前記ポリシリコン210を
パターニングしゲート電極210を形成する。その後、
900℃、10分の酸化によりポリシリコン210の上
部および側部に後酸化膜211を形成する。続いて、リ
ンを40keV,6×1012cm-2の条件で注入し、N
- イオン注入層212を形成する。次にLPCVD法で
SiNを1000オングストロームの厚さに堆積させ、
RIE法でエッチバックし、側壁213を形成する。続
いて、850℃で10nmの厚さの酸化膜を形成し、A
sを5×1015cm-2、50keVで注入し、N+ イオ
ン注入層214を形成する。次に1000℃、20秒の
RTAを行ない、不純物を活性化する。次に、この基板
を希HF溶液(100:2)に4分浸し、ゲート電極2
10、高濃度ソース/ドレイン領域214上の酸化膜を
除去する。
Subsequently, the poly silicon 210 is LPC
Deposited to a thickness of 3500 angstroms by VD method, 8
After the phosphorus diffusion step at 50 ° C. for 60 minutes, the polysilicon 210 is patterned through a photolithography step and an RIE step to form a gate electrode 210. afterwards,
A post-oxide film 211 is formed on the top and sides of the polysilicon 210 by oxidation at 900 ° C. for 10 minutes. Subsequently, phosphorus was implanted under the conditions of 40 keV and 6.times.10@12 cm @ -2,
-Forming an ion implanted layer 212; Next, SiN is deposited to a thickness of 1000 Å by LPCVD,
The side wall 213 is formed by etching back by the RIE method. Subsequently, an oxide film having a thickness of 10 nm is formed at 850 ° C.
s is implanted at 5.times.10@15 cm @ -2 at 50 keV to form an N @ + ion implanted layer 214. FIG. Next, RTA at 1000 ° C. for 20 seconds is performed to activate the impurities. Next, this substrate was immersed in a dilute HF solution (100: 2) for 4 minutes to form a gate electrode 2
10. The oxide film on the high concentration source / drain region 214 is removed.

【0038】次に、基板全面にTiを200オングスト
ロームの厚さに堆積し、N2 中で700℃、30秒間ア
ニールし、ゲート電極210上、およびソース/ドレイ
ン214上にTiSi2 215を形成する。
Next, Ti is deposited on the entire surface of the substrate to a thickness of 200 Å and annealed in N 2 at 700 ° C. for 30 seconds to form TiSi 2 215 on the gate electrode 210 and on the source / drain 214.

【0039】次いで、未反応のTiをウエット溶液で選
択的に除去した後、900℃で20秒間アニールし、T
iSi2 を低抵抗層であるC54構造に変える(図1
1)。尚、トレンチ内に埋め込まれた酸化膜208の形
状は、T字形状であり、上部が基板表面より高くなって
いる(図10(b) )。これは、素子分離領域形成後のエ
ッチング工程により、中央部がけずられ、最終的な素子
分離領域のトレンチ形状は図11に示すように上部が基
板表面とほぼ等しい高さとなるためである。その後は従
来技術と同様、絶縁膜の堆積、コンタクトホールの開
口、配線を施し表面をパッシベートすることでnMOS
FETは完成する。
Next, after unreacted Ti is selectively removed with a wet solution, annealing is performed at 900 ° C. for 20 seconds,
Change iSi2 to C54 structure which is a low resistance layer (FIG. 1)
1). The shape of the oxide film 208 buried in the trench is T-shaped, with the upper part being higher than the substrate surface (FIG. 10B). This is because the center of the trench is cut off by the etching process after the formation of the element isolation region, and the final trench shape of the element isolation region has a height almost equal to the substrate surface as shown in FIG. Thereafter, as in the prior art, an insulating film is deposited, a contact hole is opened, wiring is performed, and the surface is passivated to form an nMOS.
The FET is completed.

【0040】尚、上記工程の図7(b) では窒化膜203
を垂直にエッチングしているが、20°程度の逆テーパ
状にも加工することができる。この場合、素子分離領域
の仕上り形状は図12のようになる。また、図9(a) に
示す工程の後、窒化膜203を熱リン酸で選択的に除去
してもよい(図13(a) )。
In the above process, FIG.
Is vertically etched, but can also be processed into a reverse tapered shape of about 20 °. In this case, the finished shape of the element isolation region is as shown in FIG. After the step shown in FIG. 9A, the nitride film 203 may be selectively removed with hot phosphoric acid (FIG. 13A).

【0041】この後、全面に200オングストロームの
厚さの窒化膜を堆積させた後、酸化膜208を堆積さ
せ、CMP法で表面を研磨し、酸化膜208をトレンチ
内部にうめ込む。次いでストッパに用いた窒化膜を熱リ
ン酸で除去する(図13(b) )。その後は、上記工程と
同様にしてMOSFETは完成する。上記実施例ではn
MOSの場合について説明したが、レジストのパターニ
ング工程を追加することでCMOSにも適用できる。ま
た、空洞形成後のイオン注入は前記実施例では一回行な
っていたが、2つの角度に分けて行なってもさしつかえ
ない。さらにトランジスタのソース/ドレイン構造は、
LDDである必要はなく、シングルドレインであっても
かまわない。その他、本発明の主旨を逸脱しない範囲で
種々変形してこれを用いることができる。
Thereafter, a nitride film having a thickness of 200 angstroms is deposited on the entire surface, an oxide film 208 is deposited, and the surface is polished by a CMP method, so that the oxide film 208 is embedded in the trench. Next, the nitride film used as the stopper is removed with hot phosphoric acid (FIG. 13B). Thereafter, the MOSFET is completed in the same manner as in the above steps. In the above embodiment, n
Although the case of MOS has been described, the present invention can be applied to CMOS by adding a resist patterning step. Although the ion implantation after the formation of the cavity is performed once in the above-described embodiment, the ion implantation may be performed at two angles. Furthermore, the source / drain structure of the transistor is
It need not be an LDD, and may be a single drain. In addition, various modifications can be used without departing from the gist of the present invention.

【0042】(実施例3)本発明の実施例を工程断面
図、図14乃至図15を用いて説明する。P型基板30
1上に素子分離領域302を形成した後、しきい値制御
用のチャネルイオン注入(P型)を行なう。次にゲート
酸化膜303を7nmの厚さに形成し、ポリシリコン3
04をLPCVD法により3000オングストロームの
厚さに堆積する。続いて、POCl3 中で850℃、6
0分の熱処理を施すことにより、ポリシリコン304に
リンをドープさせる。次に、フォトリソグラフィ−工程
を通じ、ポリシリコンのパターニングをRIE法で行な
い、ゲート電極304を形成する。
(Embodiment 3) An embodiment of the present invention will be described with reference to FIGS. P-type substrate 30
After the element isolation region 302 is formed on the substrate 1, channel ion implantation (P type) for controlling the threshold value is performed. Next, a gate oxide film 303 is formed to a thickness of 7 nm,
04 is deposited to a thickness of 3000 Å by LPCVD. Subsequently, in POCl 3 at 850 ° C., 6
By performing a heat treatment for 0 minutes, the polysilicon 304 is doped with phosphorus. Next, the polysilicon is patterned by RIE through a photolithography process to form a gate electrode 304.

【0043】次に、前記パターニングされたポリシリコ
ンのコーナをまるめるための、後酸化を900℃、10
分、Dry雰囲気中で行なう。この時、ソース/ドレイ
ン形成予定域上では約150オングストローム、ゲート
電極304上では約600オングストロームの熱酸化膜
305が形成される(図14(a) )。
Next, post-oxidation at 900.degree. C. for rounding the corners of the patterned polysilicon is performed at 900.degree.
For a minute in a dry atmosphere. At this time, a thermal oxide film 305 of about 150 Å is formed on the source / drain formation area and about 600 Å on the gate electrode 304 (FIG. 14A).

【0044】次に、ゲート電極304及びフィールド酸
化膜302をマスクに基板上にリンを7E13cm-2、
50keVでイオン注入し、N- イオン注入層307を
形成する。
Next, using the gate electrode 304 and the field oxide film 302 as a mask, phosphorous is
By ion implantation at 50 keV, an N @-ion implantation layer 307 is formed.

【0045】続いて窒化膜をLPCVD法により150
nm堆積し、エッチバックすることにより、ゲート電極
304の側部にゲート側壁306を形成する(図14
(b) )。さらにDryO2 中で900℃、10分の熱酸
化を行い、第2の後酸化膜308を形成した後、ゲート
電極304およびゲート側壁306およびフィールド酸
化膜302をマスクにヒ素を50keV、3E15cm
-2の条件でイオン注入し、N+ イオン注入層309を形
成する。続いて、1000℃、20秒のRTA(Rapid
Thermal Anneal)により不純物の活性化を行なう。以上
のようにして、LDD型のソース/ドレイン307,3
09が形成される(図15(a) )。次に、サリサイド形
成工程を行う。
Subsequently, a nitride film is formed by LPCVD to a thickness of 150 nm.
The gate side wall 306 is formed on the side of the gate electrode 304 by depositing and etching back.
(b)). Further, thermal oxidation is performed in DryO2 at 900 ° C. for 10 minutes to form a second post-oxide film 308. Then, arsenic is applied at 50 keV and 3E15 cm using the gate electrode 304, the gate sidewall 306, and the field oxide film 302 as a mask.
By ion implantation under the condition of -2, an N @ + ion implantation layer 309 is formed. Subsequently, RTA (Rapid) at 1000 ° C. for 20 seconds
Activation of impurities is carried out by thermal annealing. As described above, the LDD source / drain 307,3
09 is formed (FIG. 15A). Next, a salicide forming step is performed.

【0046】図15(b) に示すような、レジストパター
ン310を形成した後、希HF(100:2)液により
ソース/ドレイン309上の酸化膜308を除去し、シ
リコン基板を露出させる。このとき、フィールドエッヂ
部では、先に形成した、酸化膜308が残置し、オーバ
ーハング部311が形成される。このとき、ゲート電極
304上には、ソース/ドレイン上と多量のリンを含ん
だポリシリコンとの酸化レートの差により、酸化膜30
8が残置している。
After forming a resist pattern 310 as shown in FIG. 15B, the oxide film 308 on the source / drain 309 is removed with a diluted HF (100: 2) solution to expose the silicon substrate. At this time, in the field edge portion, the previously formed oxide film 308 is left, and an overhang portion 311 is formed. At this time, the oxide film 30 is formed on the gate electrode 304 due to the difference in the oxidation rate between the source / drain and the polysilicon containing a large amount of phosphorus.
8 are left behind.

【0047】続いて、CHF3 /CO系のRIEによ
り、上述の酸化膜308を完全に除去する。このとき、
RIEにより、C,F,Oなどがゲート電極304中に
打ち込まれるが、このRIEによりゲートポリシリコン
膜の膜質を改変させ、シリサイド形成核を多量に発生さ
せる。次に、レジストパターン310を除去し、高融点
金属、例えばTi薄膜312をスパッタ法により20n
mの厚さに堆積させる(図16(a) )。続いて、Arあ
るいはN2 雰囲気で750℃、30秒のRTAを行な
い、Siと接したTiを反応させ、シリサイド層313
を形成する。
Subsequently, the above-mentioned oxide film 308 is completely removed by CHF 3 / CO-based RIE. At this time,
C, F, O, etc. are implanted into the gate electrode 304 by RIE, and the RIE alters the film quality of the gate polysilicon film to generate a large amount of silicide formation nuclei. Next, the resist pattern 310 is removed, and a high melting point metal, for example, a Ti thin film 312 is formed by sputtering for 20 nm.
m (FIG. 16 (a)). Subsequently, RTA is performed at 750 ° C. for 30 seconds in an Ar or N 2 atmosphere, and Ti in contact with Si is reacted to form a silicide layer 313.
To form

【0048】次に例えば、硫酸と過酸化水素水の混合液
により、未反応のTiを選択的に除去する。このとき、
前記混合液はフィールド酸化膜302およびシリサイド
膜313には何ら影響をおよぼさない(図16(b) )。
以上のようにして、ゲートおよびソース/ドレイン上に
は、シリサイド層が自己整合的に形成される。この後
は、層間絶縁膜の堆積工程,リフロー工程,コンタクト
開孔工程,配線工程など通常の工程を経てMOSFET
は完成する。上述の実施例ではNMOSのLDD型構造
を例にとり説明したが、もちろんCMOS工程であって
もかまわない。また、ゲート電極がnMOSにはn+ p
oly,pMOSにはp+ polyを用いるdual−
gate型の構造であってもよい。
Next, unreacted Ti is selectively removed by, for example, a mixed solution of sulfuric acid and hydrogen peroxide solution. At this time,
The mixed solution has no effect on the field oxide film 302 and the silicide film 313 (FIG. 16B).
As described above, a silicide layer is formed on the gate and the source / drain in a self-aligned manner. After that, the MOSFET is subjected to normal processes such as an interlayer insulating film deposition process, a reflow process, a contact opening process, and a wiring process.
Is completed. In the above embodiment, the LDD type structure of the NMOS has been described as an example, but a CMOS process may of course be used. The gate electrode is n + p for nMOS.
dual-type using p + poly for poly and pMOS
A gate type structure may be used.

【0049】さらに、本実施例ではゲート電極にポリシ
リコンを用いたが、Wsixなどのポリサイド構造を用
いてもよい。また、ポリシリコン堆積、不純物導入に続
き、SiO2 膜を堆積した後にゲート電極のパターニン
グを行なうこともできる。この時には、前記SiO2 膜
と、先に形成されている熱酸化膜をCHF3 /CO系の
RIEにより除去することが可能である。さらに、本実
施例ではゲート側壁306の形成後に第2の後酸化を行
なっているが、この工程は省略してもさしつかえない。
Further, in this embodiment, polysilicon is used for the gate electrode, but a polycide structure such as Wsix may be used. Also, after depositing polysilicon and introducing impurities, the gate electrode can be patterned after depositing an SiO2 film. At this time, the SiO2 film and the previously formed thermal oxide film can be removed by CHF3 / CO-based RIE. Further, in this embodiment, the second post-oxidation is performed after the formation of the gate side wall 306, but this step may be omitted.

【0050】また、高融点金属膜の堆積前に酸系の処理
を追加してもよい。また、スパッタの前処理に逆スパッ
タを用いてもよい。さらに、本実施例では高融点金属と
して、Tiをとりあげたが、Co,Niなどの金属であ
ってもさしつかえない。
Before the deposition of the refractory metal film, an acid-based treatment may be added. In addition, reverse sputtering may be used for pretreatment of sputtering. Further, in the present embodiment, Ti is taken as the high melting point metal, but metals such as Co and Ni may be used.

【0051】また、サリサイド構造形成後(図16(b)
)、第2のRTA、例えば900℃、20分間を行な
い、シリサイド層をより安定化(C54構造)すること
もできる。フィールドエッヂカバーのマスク形成には、
例えば素子領域規定用マスクの反転マスク開口部を1μ
m程度以内でせまくしたものと、ゲート電極形成用マス
クを0.3μm程度太くしたものの論理和を用いること
ができる。その他、本発明の主旨を逸脱しない範囲で、
種々変形して、これを利用できる。
After the formation of the salicide structure (FIG. 16B)
), A second RTA, for example, at 900 ° C. for 20 minutes, may further stabilize the silicide layer (C54 structure). For field edge cover mask formation,
For example, the opening of the inverted mask of the element region defining mask is 1 μm.
The logical sum of a narrowed one within about m and a thickened gate electrode forming mask of about 0.3 μm can be used. In addition, without departing from the gist of the present invention,
This can be used in various modifications.

【0052】本発明を用いることにより、ゲート電極上
の酸化膜の除去が完全なものとなり、且つ、フィールド
の後退が迎えられるため、従来問題となっていたフィー
ルドエッヂ寄因の接合リークの増大を防ぐことができる
(図17)。また、HF時間の延長によるリークレベル
の増大(図18)も、防ぐことができる。
By using the present invention, the removal of the oxide film on the gate electrode is completed and the field recedes, so that the junction leakage due to the field edge, which has been a problem in the past, can be reduced. Can be prevented (FIG. 17). In addition, an increase in the leak level due to the extension of the HF time (FIG. 18) can be prevented.

【0053】さらに、REIによりシリサイド形成核を
増大させることができ、ゲート電極上のシリサイド膜が
安定になるため、細線の場合でも従来問題となっていた
シート抵抗の上昇を迎えることができる(図19)。よ
って、リフロー熱工程でおこるシリサイドのアグロメレ
ーションによるシート抵抗の増大をも防ぐ効果がある。
以上のように、本発明では、ゲートポリシリコン上での
安定した成膜(低いシート抵抗)と接合リーク抑制を同
時に実現することが可能となる。
Further, since the silicide formation nuclei can be increased by REI, and the silicide film on the gate electrode becomes stable, the sheet resistance, which has conventionally been a problem, can be met even in the case of thin wires (FIG. 19). Therefore, an effect of preventing an increase in sheet resistance due to agglomeration of silicide occurring in the reflow heating step is also obtained.
As described above, according to the present invention, it is possible to simultaneously realize stable film formation (low sheet resistance) on gate polysilicon and suppression of junction leakage.

【0054】(実施例4)以下、第4の実施例を図20
乃至図25を参照しつつ説明する。
Embodiment 4 Hereinafter, a fourth embodiment will be described with reference to FIG.
This will be described with reference to FIGS.

【0055】まず、Si基板401上にn−well領
域402,p−well領域403を形成した後、通常
の素子分離工程を経て、素子分離酸化膜404を形成す
る。さらにp−MOSFETを形成すべきn−well
領域402、及びn−MOSFETを形成すべきp−w
ell領域403に、それぞれ、目途とすべきしきい値
電圧を得るに必要なイオン注入を行い不純物濃度を調整
する。
First, after forming an n-well region 402 and a p-well region 403 on a Si substrate 401, an element isolation oxide film 404 is formed through a normal element isolation step. Further, an n-well for forming a p-MOSFET
Region 402, and pw to form an n-MOSFET
Ion implantation necessary for obtaining a target threshold voltage is performed in each of the well regions 403 to adjust the impurity concentration.

【0056】さらに必要な膜厚のゲート絶縁膜を形成し
た後、ゲート電極を構成するポリシリコンを堆積しこれ
をRIEすることでゲート電極405,406を形成す
る。さらにLDD領域407,408をイオン注入によ
り形成した後、側壁材としてスパッタ法により炭素40
9を1000オングストロームの厚さに堆積する(図2
0 (a),(b) )。
After a gate insulating film having a required film thickness is further formed, polysilicon constituting a gate electrode is deposited and RIE is performed thereon to form gate electrodes 405 and 406. Further, after LDD regions 407 and 408 are formed by ion implantation, carbon 40 is formed as a side wall material by sputtering.
9 is deposited to a thickness of 1000 Å (FIG. 2)
0 (a), (b)).

【0057】次に、レジスト412を塗布し、側壁40
9を残したい部分と、配線を形成したい領域のレジスト
をリソグラフィー法により選択的に除去する。続いて、
フッ素を含む酸素プラズマを例えば酸素流量:100s
ccm、RF・power:300W,圧力:40mT
orrの条件で発生させ、炭素膜409をRIEすると
同時にオーバーエッチングをかけることで配線を形成し
たい領域の素子分離酸化膜404を若干エッチングする
(図21 (a),(b) )。その後、残存するレジスト41
2を硫酸と過酸化水素水の混合液で処理し剥離する。
Next, a resist 412 is applied, and the side wall 40 is coated.
The resist in the portion where 9 is to be left and in the region where the wiring is to be formed are selectively removed by lithography. continue,
Oxygen plasma containing fluorine, for example, oxygen flow rate: 100 s
ccm, RF power: 300 W, pressure: 40 mT
The element isolation oxide film 404 in a region where a wiring is to be formed is slightly etched by applying RIE to the carbon film 409 and simultaneously performing over-etching (FIG. 21A and FIG. 21B). Then, the remaining resist 41
2 is treated with a mixed solution of sulfuric acid and a hydrogen peroxide solution and peeled off.

【0058】続いて、n+ ,p+ リース・ドレイン拡散
層410,411を形成するため、リソグラフィー法を
用いて、選択的に適宜の核種をイオン注入し、これを例
えば窒素中1000℃−20秒の急速加熱処理RTAで
活性化する。この過程で、ゲート電極を構成するポリシ
リコン405,406もそれぞれの導電型に活性化さ
れ、cual−gate−LDD−CMOS構造が達成
される(図22)。
Subsequently, in order to form the n + and p + lease / drain diffusion layers 410 and 411, appropriate nuclides are selectively ion-implanted by lithography, and this is implanted, for example, in nitrogen at 1000.degree. Activated with rapid heat treatment RTA for 2 seconds. In this process, the polysilicons 405 and 406 constituting the gate electrode are also activated to their respective conductivity types, and a dual-gate-LDD-CMOS structure is achieved (FIG. 22).

【0059】次に、拡散層上の酸化膜と、配線を形成し
たい素子分離用酸化膜の表面を希フッ酸を含むエッチン
グ液で剥離し、この後、全面に例えばTi及びTiNを
200オングストローム、700オングストロームの厚
さにスパッタ法にて堆積し、例えば750℃−30秒の
RTAを窒素雰囲気中で施す。
Next, the surface of the oxide film on the diffusion layer and the surface of the oxide film for element isolation on which a wiring is to be formed are peeled off with an etching solution containing dilute hydrofluoric acid. It is deposited by a sputtering method to a thickness of 700 angstroms, and is subjected to, for example, RTA at 750 ° C. for 30 seconds in a nitrogen atmosphere.

【0060】ここでTiは拡散層410,411上、ポ
リシリコンゲート405,406上、及び、配線を形成
すべく選択的にプラズマ処理された素子分離酸化膜40
4の当該部分の表面下、100〜300オングストロー
ム程度の深さで選択的に化学反応し、導電性の高い物質
404´を形成する。
Here, Ti is deposited on the diffusion layers 410 and 411, on the polysilicon gates 405 and 406, and on the element isolation oxide film 40 selectively plasma-treated to form wiring.
4 selectively reacts below the surface of the portion at a depth of about 100 to 300 angstroms to form a highly conductive substance 404 '.

【0061】図24には実際にこのような工程を経て、
素子分離用の酸化膜下に形成されたTiを含む導電性の
層を、AES分析法により、酸化膜表面から深さ方向に
調べた化学組成の分布を示す。この図より、酸化膜の表
面より100〜150オングストロームの深さにTiを
含む導電性の領域が形成されていることが明らかであ
る。
In FIG. 24, after actually performing such steps,
3 shows a distribution of a chemical composition of a conductive layer containing Ti formed under an oxide film for element isolation, which is examined in a depth direction from a surface of the oxide film by an AES analysis method. From this figure, it is apparent that a conductive region containing Ti is formed at a depth of 100 to 150 Å from the surface of the oxide film.

【0062】次いで未反応のまま残存しているTi及び
TiNを、例えば硫酸と過酸化水素水の混合液で選択的
に剥離する。最後に、残存している炭素膜と炭素側壁を
酸素アッシャーにて剥離し、ローカルインターコネクシ
ョンを具備したC−MOS−LDD−サリサイド素子が
達成される(図23 (a),(b) )。
Next, the unreacted remaining Ti and TiN are selectively peeled off with, for example, a mixed solution of sulfuric acid and hydrogen peroxide. Finally, the remaining carbon film and the carbon side walls are peeled off by an oxygen asher, and a C-MOS-LDD-salicide device having a local interconnection is achieved (FIGS. 23A and 23B).

【0063】その後、必要であればさらに、ローカルイ
ンターコネクション等形成し、従来の手法に基づいて、
層間膜413、配線用金属414等を形成し必要な配線
を完成させ、半導体装置を完成させる。この際、結線を
形成しなくとも、ソース、ドレイン等の電極を実質的に
素子分離酸化膜上にまでひきだし、この電極とのコンタ
クトを素子分離酸化膜上でおこなうことにより、ソー
ス、ドレイン領域を縮小しつつ安定したコンタクトを形
成することもできる(図25)。
Thereafter, if necessary, a local interconnection and the like are further formed, and based on a conventional method,
An interlayer film 413, wiring metal 414, and the like are formed to complete necessary wiring, thereby completing a semiconductor device. At this time, even if no connection is formed, the electrodes such as the source and the drain are substantially extended to the element isolation oxide film, and the contact with the electrode is performed on the element isolation oxide film, so that the source and drain regions are formed. A stable contact can be formed while reducing the size (FIG. 25).

【0064】尚、本実施例は、LDD−CMOS−サリ
サイドプロセスに対して本発明の手法を適用した場合を
示したが、その他、本発明の主旨を逸脱しない範囲で種
々変形してこれを適用できる。
In this embodiment, the case where the method of the present invention is applied to the LDD-CMOS-salicide process has been described. In addition, various modifications may be made without departing from the gist of the present invention. it can.

【0065】上述のように本発明による配線工程は、サ
リサイド工程に於けるソース、ドレイン拡散層、並びに
ゲートポリシリコン上のシリサイド化と兼用することが
できるため、配線工程をきわめて簡略化できる。
As described above, the wiring step according to the present invention can be used also as the silicidation on the source and drain diffusion layers and the gate polysilicon in the salicide step, so that the wiring step can be extremely simplified.

【0066】さらに配線と同時にソース、ドレインとの
全面コンタクトが自己整合的に形成できる。その上、こ
のコンタクトはもともと同じ材質でできているためコン
タクト不良が生じない。
Further, the entire contact with the source and the drain can be formed in a self-alignment manner simultaneously with the wiring. In addition, since this contact is originally made of the same material, no contact failure occurs.

【0067】さらに電流の径路を、2次元的にリソグラ
フィを用いて自由に形成でき抵抗等を削減できる。さら
に、結線に利用しなくても実質的にソース、ドレイン拡
散層を素子分離酸化膜上に拡張することができるため、
ソース、ドレイン拡散層の横幅を短縮し、基板との容量
を低減しつつ、この電極へのコンタクト余裕を確保でき
る。
Further, the current path can be freely formed two-dimensionally by using lithography, and the resistance and the like can be reduced. Furthermore, since the source and drain diffusion layers can be substantially extended on the element isolation oxide film without using for connection,
The contact margin to this electrode can be ensured while reducing the lateral width of the source / drain diffusion layers and reducing the capacitance with the substrate.

【0068】さらに炭素側壁によるサリサイド工程を適
用することで、酸素プラズマ処理と炭素側壁のRIEを
兼用することができ、工程を短縮できる。炭素膜は、周
辺素子分離酸化膜上に残存しており、この部分でのTi
との反応を完全にブロックする。その上、この炭素膜は
酸化膜のTiスパッタ前処理として希HF酸処理へのバ
リアとしても働き、この部分の酸化膜の後退を防ぐ。
Further, by applying the salicide process using the carbon side wall, the oxygen plasma treatment and the RIE of the carbon side wall can be used simultaneously, and the process can be shortened. The carbon film remains on the peripheral element isolation oxide film, and Ti
Completely block the reaction with. In addition, this carbon film also acts as a barrier to dilute HF acid treatment as a pre-sputtering treatment of the oxide film with Ti, and prevents the oxide film in this portion from retreating.

【0069】又、この部分の炭素膜は、側壁部と同時に
酸素アッシャーにより容易に除去可能であり、側壁部を
除去することによりサリサイド工程に於けるブリッジン
グを完全に抑制できる。この際、導電性物質は酸化膜表
面にではなく、ある深さ以降に存在しているので、この
アッシャー処理で影響されることはない。又、配線にと
もない、新たな構成物を必要とせず、段差も生じない。
Further, the carbon film in this portion can be easily removed by the oxygen asher simultaneously with the side wall portion, and bridging in the salicide process can be completely suppressed by removing the side wall portion. At this time, since the conductive substance is present not at the oxide film surface but at a certain depth or later, it is not affected by the asher treatment. In addition, no new components are required, and no steps are formed due to the wiring.

【0070】又、この手法は素子分離酸化膜以外にも、
その他の絶縁膜(例えば層間絶縁膜)に適応することも
できるし、その他様々な素子間の結線、電極引き出し、
埋めこみ電極の形成等を含めきわめて広範な適応範囲を
もつ。
In addition to this method, in addition to the element isolation oxide film,
It can be applied to other insulating films (for example, interlayer insulating films), and can also be used to connect various elements, draw out electrodes,
It has a very wide range of applications, including the formation of embedded electrodes.

【0071】(実施例5)以下に第5の実施例を図面を
参照しながら説明する。まず、半導体基板501上に素
子分離領域502を形成し、全面に絶縁膜503を60
オングストロームの厚さに堆積する。次に、ゲート電極
材、例えばポリシリコン膜を2000オングストローム
の厚さに堆積させ、リソグラフィー工程により、ゲート
電極504を形成する。次に、基板表面に、例えばAs
を40keV、7.0E13/cm2 の条件でイオン注
入し、第1の低濃度拡散層505を形成する(図26
(a) )。
(Embodiment 5) A fifth embodiment will be described below with reference to the drawings. First, an element isolation region 502 is formed on a semiconductor substrate 501, and an insulating film 503 is formed over the entire surface.
Deposits to a thickness of Angstrom. Next, a gate electrode material, for example, a polysilicon film is deposited to a thickness of 2000 Å, and a gate electrode 504 is formed by a lithography process. Next, for example, As
Is implanted under the conditions of 40 keV and 7.0E13 / cm 2 to form a first low concentration diffusion layer 505 (FIG. 26).
(a)).

【0072】次にLPCVD法により、全面に窒化膜を
1000オングストロームの厚さに堆積させ、RIE法
によりゲート側壁506を形成する。ここで、より深い
拡散層か必要であれば、Asを50keV、7.0E1
3/cm2 の条件でイオン注入し、第2の低濃度拡散層
507を形成する(図26(b) )。
Next, a nitride film is deposited on the entire surface to a thickness of 1000 angstroms by LPCVD, and a gate side wall 506 is formed by RIE. Here, if a deeper diffusion layer is required, As is set to 50 keV and 7.0E1.
Ion implantation is performed under the condition of 3 / cm @ 2 to form a second low concentration diffusion layer 507 (FIG. 26B).

【0073】次に、全面にレジスト層510を形成し、
リソグラフィー工程によりパターニングする。このレジ
ストパターン510をマスクに、Asを50keV、
5.0E15/cm2 の条件でイオン注入し、ゲート側
壁より外側でかつゲート側壁に隣接する0.5μm程の
領域に高濃度拡散層508を形成する(図27(a) )。
この高濃度拡散層508の不純物濃度は1×1020〜1
×1021/cm2 程である。
Next, a resist layer 510 is formed on the entire surface,
Patterning is performed by a lithography process. Using this resist pattern 510 as a mask, As is 50 keV,
Ion implantation is performed under the condition of 5.0E15/cm@2, and a high concentration diffusion layer 508 is formed outside the gate side wall and in a region of about 0.5 μm adjacent to the gate side wall (FIG. 27A).
The impurity concentration of this high concentration diffusion layer 508 is 1 × 10 20 -1.
× 10 21 / cm 2.

【0074】次に、レジストパターン510を除去し、
全面に例えばTiを堆積させ、750℃のアニールによ
り、ゲート電極504及び低濃度拡散層505,507
及び高濃度拡散層508上をシリサイド化し、TiSi
2 層509を形成する。
Next, the resist pattern 510 is removed,
For example, Ti is deposited on the entire surface and the gate electrode 504 and the low concentration diffusion layers 505 and 507 are annealed at 750 ° C.
And the high concentration diffusion layer 508 is silicided,
Two layers 509 are formed.

【0075】最後に、硫酸と過酸化水素水の混合液によ
り、未反応のTiを選択的に除去し、ソース、ドレイ
ン、ゲート上に低抵抗のシリサイド層を備えたMOS型
トランジスタを得る(図27(b) )。
Finally, unreacted Ti is selectively removed with a mixed solution of sulfuric acid and hydrogen peroxide to obtain a MOS transistor having a low-resistance silicide layer on the source, drain, and gate (FIG. 10). 27 (b)).

【0076】本発明による構造においては、ソース・ド
レインとなる拡散層の領域は一部高濃度であるが、大部
分が低濃度であるため、ショートチャネル効果を抑制す
るために基板濃度が増加しても接合容量の増大を防ぐこ
とができる。また、低濃度領域では拡散層抵抗は増大す
るが、シミュレーションによるとキャリアは高濃度の拡
散層からソース・ドレイン上に存在する低抵抗のシリサ
イド層に流れ込むといった結果が得られており、低濃度
領域の存在による駆動力の低下はないと考えられる。図
28はウェハー上でのキャリアの流れを示す図であり、
矢印がキャリアの流れのベクトルを示しており、殆どシ
リサイド層に流れている。これらのことから、駆動力の
低下をひき起こさずに接合容量を十分に減少することが
できるので、デバイスの高速化を実現できる。
In the structure according to the present invention, the region of the diffusion layer serving as the source / drain is partially high in concentration, but is mostly low in concentration, so that the substrate concentration increases to suppress the short channel effect. However, an increase in junction capacitance can be prevented. In addition, the resistance of the diffusion layer increases in the low-concentration region, but the simulation shows that carriers flow from the high-concentration diffusion layer into the low-resistance silicide layer on the source / drain. It is considered that there is no decrease in driving force due to the presence of. FIG. 28 is a diagram showing a carrier flow on a wafer.
Arrows indicate the flow vector of the carrier, and almost all flow into the silicide layer. From these facts, the junction capacitance can be sufficiently reduced without causing a reduction in driving force, so that a high-speed device can be realized.

【0077】[0077]

【発明の効果】本願発明によれば、シリサイド工程と素
子配線工程をかねた埋め込み配線の形成により、工程の
簡略化及び素子の微細化が実現できる。
According to the present invention, the simplification of the process and the miniaturization of the device can be realized by forming the buried wiring which serves both the silicide process and the device wiring process.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の第1の実施例を説明する工程別素子
断面図。
FIG. 1 is a sectional view of an element for each process for explaining a first embodiment of the present invention.

【図2】 シリサイド工程における這い上がり現象を説
明する図。
FIG. 2 is a diagram illustrating a creeping phenomenon in a silicide process.

【図3】 シリサイド工程におけるゲート側壁のブリッ
ジングを説明する図。
FIG. 3 is a diagram illustrating bridging of a gate sidewall in a silicide process.

【図4】 本発明の第1の実施例によるゲート、ドレイ
ン間のリーク電流特性及び耐圧ヒストグラムを示す図。
FIG. 4 is a diagram showing a leakage current characteristic and a breakdown voltage histogram between a gate and a drain according to the first embodiment of the present invention.

【図5】 従来のゲート、ドレイン間のリーク電流特性
及び耐圧ヒストグラムを示す図。
FIG. 5 is a diagram showing a conventional leakage current characteristic between a gate and a drain and a breakdown voltage histogram.

【図6】 ブリッジング測定に用いたパターン及び測定
方法を示す図。
FIG. 6 is a view showing a pattern and a measurement method used for bridging measurement.

【図7】 本発明の第2の実施例を説明する工程別素子
断面図。
FIG. 7 is a sectional view of an element in each step for explaining a second embodiment of the present invention.

【図8】 本発明の第2の実施例を説明する工程別素子
断面図。
FIG. 8 is a cross-sectional view of an element in each step for explaining a second example of the present invention.

【図9】 本発明の第2の実施例を説明する工程別素子
断面図。
FIG. 9 is a sectional view of an element in each step for explaining the second embodiment of the present invention.

【図10】 本発明の第2の実施例を説明する工程別素
子断面図。
FIG. 10 is a sectional view of an element in each step for explaining the second embodiment of the present invention.

【図11】 本発明の第2の実施例を説明する工程別素
子断面図。
FIG. 11 is a sectional view of an element for each step for explaining the second embodiment of the present invention.

【図12】 本発明の第2の実施例を説明する工程別素
子断面図。
FIG. 12 is a sectional view of an element according to a step for explaining a second embodiment of the present invention.

【図13】 本発明の第2の実施例を説明する工程別素
子断面図。
FIG. 13 is a sectional view of an element according to a step for explaining the second embodiment of the present invention.

【図14】 本発明の第3の実施例を説明する工程別素
子断面図。
FIG. 14 is a sectional view of an element for explaining a third embodiment of the present invention.

【図15】 本発明の第3の実施例を説明する工程別素
子断面図。
FIG. 15 is a sectional view of an element in each step for explaining the third embodiment of the present invention.

【図16】 本発明の第3の実施例を説明する工程別素
子断面図。
FIG. 16 is a sectional view of an element in each step for explaining the third example of the present invention.

【図17】 フィールド後退に起因する接合の逆方向リ
ーク特性を示す概念図。
FIG. 17 is a conceptual diagram showing a reverse leakage characteristic of a junction caused by field retreat.

【図18】 スパッタ前の希HF処理時間とリークレベ
ルの関係を示す図。
FIG. 18 is a diagram showing a relationship between a rare HF processing time before sputtering and a leak level.

【図19】 従来技術におけるポリシリコン上のシート
抵抗と線幅との関係を示す図。
FIG. 19 is a diagram showing a relationship between a sheet resistance on polysilicon and a line width in a conventional technique.

【図20】 本発明の第4の実施例を説明する工程別素
子断面図。
FIG. 20 is a sectional view of an element according to a step for explaining the fourth embodiment of the present invention.

【図21】 本発明の第4の実施例を説明する工程別素
子断面図。
FIG. 21 is a sectional view of an element according to a step for explaining the fourth embodiment of the present invention.

【図22】 本発明の第4の実施例を説明する工程別素
子断面図。
FIG. 22 is a sectional view of an element for each step for explaining the fourth embodiment of the present invention.

【図23】 本発明の第4の実施例を説明する工程別素
子断面図。
FIG. 23 is a sectional view of an element in each step for explaining the fourth embodiment of the present invention.

【図24】 本発明の第4の実施例によるトランジスタ
ーのAES分析結果を示す図。
FIG. 24 is a diagram showing an AES analysis result of the transistor according to the fourth embodiment of the present invention.

【図25】 本発明の第4の実施例による配線例を示す
図。
FIG. 25 is a diagram showing a wiring example according to a fourth embodiment of the present invention.

【図26】 本発明の第5の実施例を説明する工程別素
子断面図。
FIG. 26 is a sectional view of an element in each step for explaining the fifth example of the present invention.

【図27】 本発明の第5の実施例を説明する工程別素
子断面図。
FIG. 27 is a sectional view of an element in each step for explaining the fifth example of the present invention.

【図28】 本発明の第5の実施例によるトランジスタ
ーのキャリアの流れを説明する図。
FIG. 28 is a diagram illustrating the flow of carriers in a transistor according to a fifth embodiment of the present invention.

【符号の説明】[Explanation of symbols]

101,201,301,401,501 半導体基板 102,302,404,502 素子分離領域 103,209,303 ゲート酸化膜 104,210,304,504 ゲート電極 105,107,202,204,208,211,3 08 酸化膜 106,213,306,409,506 ゲート側壁 108 ソース、ドレイン領域 109,215,313,509 TiSi2 層 203 窒化膜 205 開口部 206 不純物層 207 P型層 212,307 N- イオン注入層 214,309 N+ イオン注入層 310,412,510 レジストパターン 312 Ti薄膜 402 n−well領域 403 p−well領域 409 炭素膜 413 層間膜 414 配線用金属 503 絶縁膜 505 第1の低濃度拡散層 507 第2の低濃度拡散層 508 高濃度拡散層 101, 201, 301, 401, 501 Semiconductor substrate 102, 302, 404, 502 Element isolation region 103, 209, 303 Gate oxide film 104, 210, 304, 504 Gate electrode 105, 107, 202, 204, 208, 211, 308 oxide film 106, 213, 306, 409, 506 gate sidewall 108 source / drain region 109, 215, 313, 509 TiSi2 layer 203 nitride film 205 opening 206 impurity layer 207 P-type layer 212, 307 N- ion implantation layer 214,309 N + ion implantation layer 310,412,510 Resist pattern 312 Ti thin film 402 n-well region 403 p-well region 409 carbon film 413 interlayer film 414 metal for wiring 503 insulating film 505 first low concentration diffusion layer 507 Second low Degrees diffusion layer 508 high-concentration diffusion layer

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/336 H01L 27/08 321F 5F140 21/76 29/78 301P 21/768 21/76 L 21/8238 21/302 J 27/092 29/78 (72)発明者 大黒 達也 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 Fターム(参考) 4M104 AA01 BB01 BB21 BB25 CC05 DD04 DD23 DD26 DD37 DD46 DD64 DD79 DD84 EE05 EE09 FF13 FF14 GG09 GG10 HH05 HH12 HH14 HH20 5F004 AA09 BA04 DA00 DA16 DB03 EB02 FA08 5F032 AA35 AA44 AA45 AA77 BA01 CA17 DA04 DA44 DA53 DA77 5F033 HH04 HH25 HH26 HH27 KK01 KK25 KK26 KK27 MM07 MM08 NN12 NN20 PP15 QQ09 QQ13 QQ15 QQ16 QQ19 QQ37 QQ58 QQ65 QQ70 QQ73 QQ76 RR04 RR06 SS13 TT08 VV06 XX01 XX03 XX28 XX31 XX33 5F048 AA01 AA09 AC03 BA01 BB06 BB07 BB08 BB09 BB12 BB13 BB14 BC06 BE03 BF06 BF16 BG12 BG14 BH07 DA25 DA27 DA30 5F140 AA14 AA39 AA40 AB03 BA01 BB15 BC06 BE07 BF01 BF04 BF11 BF18 BF21 BF30 BG08 BG09 BG11 BG12 BG14 BG27 BG28 BG31 BG32 BG35 BG38 BG44 BG49 BG51 BG52 BG53 BG54 BH15 BH16 BJ01 BJ08 BJ11 BJ20 BK02 BK13 BK21 BK29 BK31 BK34 BK35 BK38 BK39 CB01 CB04 CF04 ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) H01L 21/336 H01L 27/08 321F 5F140 21/76 29/78 301P 21/768 21/76 L 21/8238 21/302 J 27/092 29/78 (72) Inventor Tatsuya Oguro 1-term, Komukai Toshiba-cho, Saiwai-ku, Kawasaki-shi, Kanagawa F-term in the Toshiba R & D Center (reference) 4M104 AA01 BB01 BB21 BB25 CC05 DD04 DD23 DD26 DD37 DD46 DD64 DD79 DD84 EE05 EE09 FF13 FF14 GG09 GG10 HH05 HH12 HH14 HH20 5F004 AA09 BA04 DA00 DA16 DB03 EB02 FA08 5F032 AA35 AA44 AA45 NN77 NN01 QQ13 QQ15 QQ16 QQ19 QQ37 QQ58 QQ65 QQ70 QQ73 QQ76 RR04 RR06 SS13 TT08 VV06 XX01 XX03 XX28 XX31 XX33 5F048 AA01 AA09 AC03 BA01 BB06 BB07 BB08 BB09 BB12 BB13 BB 14 BC06 BE03 BF06 BF16 BG12 BG14 BH07 DA25 DA27 DA30 5F140 AA14 AA39 AA40 AB03 BA01 BB15 BC06 BE07 BF01 BF04 BF11 BF18 BF21 BF30 BG08 BG09 BG11 BG12 BG14 BG27 BG28 BG31 B16 BG31 B32 BG31 B32 BG31 B32 BG31 BG32 BK13 BK21 BK29 BK31 BK34 BK35 BK38 BK39 CB01 CB04 CF04

Claims (1)

【特許請求の範囲】[Claims] 【請求項1】 半導体基板上に絶縁膜を形成する工程
と、この絶縁膜表面に少なくとも酸素及びフッ素を含む
プラズマ処理を施す工程と、前記絶縁膜上に高融点金属
層を形成する工程と、この高融点金属に熱処理を加える
工程とを含むことを特徴とする半導体装置の製造方法。
A step of forming an insulating film on a semiconductor substrate, a step of performing a plasma treatment containing at least oxygen and fluorine on the surface of the insulating film, and a step of forming a high melting point metal layer on the insulating film. Applying a heat treatment to the refractory metal.
JP2001150537A 2001-05-21 2001-05-21 Semiconductor device and method of manufacturing the same Abandoned JP2002057121A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001150537A JP2002057121A (en) 2001-05-21 2001-05-21 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001150537A JP2002057121A (en) 2001-05-21 2001-05-21 Semiconductor device and method of manufacturing the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP393393A Division JPH06216152A (en) 1993-01-13 1993-01-13 Semiconductor device and manufacture thereof

Publications (1)

Publication Number Publication Date
JP2002057121A true JP2002057121A (en) 2002-02-22

Family

ID=18995531

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001150537A Abandoned JP2002057121A (en) 2001-05-21 2001-05-21 Semiconductor device and method of manufacturing the same

Country Status (1)

Country Link
JP (1) JP2002057121A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110476239A (en) * 2017-04-07 2019-11-19 应用材料公司 Use the gap filling of reactive anneal

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110476239A (en) * 2017-04-07 2019-11-19 应用材料公司 Use the gap filling of reactive anneal
CN110476239B (en) * 2017-04-07 2023-10-13 应用材料公司 Gap filling using reactive annealing

Similar Documents

Publication Publication Date Title
US5605854A (en) Integrated Ti-W polycide for deep submicron processing
JPH1050986A (en) Mos transistor in semiconductor device and fabrication thereof
JP2001298186A (en) Semiconductor device and manufacturing method thereof
JPH07142726A (en) Manufacture of field effect transistor
JP2874626B2 (en) Method for manufacturing semiconductor device
KR0183490B1 (en) Fabrication process for semiconductor device having mos type field effect transistor
KR100376235B1 (en) Semiconductor device and its manufacturing method
JP3505493B2 (en) Method for manufacturing semiconductor device
JPH09312395A (en) Method of fabricating semiconductor device
JP3190858B2 (en) Semiconductor device and method of manufacturing the same
JPH09260656A (en) Method for manufacturing semiconductor device
JP3614782B2 (en) Manufacturing method of semiconductor device and semiconductor device manufactured by the method
JP3381252B2 (en) Semiconductor device and manufacturing method thereof
JPH07115198A (en) Method of manufacturing semiconductor device
JP2002057121A (en) Semiconductor device and method of manufacturing the same
JPH10313117A (en) Mis transistor and manufacture thereof
JP3657532B2 (en) Manufacturing method of semiconductor device
JPH11297987A (en) Semiconductor device and manufacture thereof
JPH0897414A (en) Semiconductor device
JPH05190566A (en) Manufacture of semiconductor device
JPH06216152A (en) Semiconductor device and manufacture thereof
JP2513312B2 (en) Method for manufacturing MOS transistor
JPH07153939A (en) Semiconductor element and manufacture thereof
KR100247811B1 (en) Method for manufacturing semiconductor device
JP2000269491A (en) Manufacture of semiconductor device, and the semiconductor device

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050208

A762 Written abandonment of application

Free format text: JAPANESE INTERMEDIATE CODE: A762

Effective date: 20050411

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20050414