JP2002043215A - Method for forming resist pattern, semiconductor manufacturing apparatus, semiconductor device, and portable information terminal - Google Patents

Method for forming resist pattern, semiconductor manufacturing apparatus, semiconductor device, and portable information terminal

Info

Publication number
JP2002043215A
JP2002043215A JP2000228796A JP2000228796A JP2002043215A JP 2002043215 A JP2002043215 A JP 2002043215A JP 2000228796 A JP2000228796 A JP 2000228796A JP 2000228796 A JP2000228796 A JP 2000228796A JP 2002043215 A JP2002043215 A JP 2002043215A
Authority
JP
Japan
Prior art keywords
resist
forming
film
resist pattern
exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000228796A
Other languages
Japanese (ja)
Inventor
Shigeyasu Mori
重恭 森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP2000228796A priority Critical patent/JP2002043215A/en
Publication of JP2002043215A publication Critical patent/JP2002043215A/en
Pending legal-status Critical Current

Links

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method for forming a resist pattern by which a high- density resist pattern the line width of which can be controlled excellently on the surface of a wafer and between wafers can be formed, a semiconductor manufacturing apparatus a semiconductor device, and a portable information terminal. SOLUTION: In the method for forming resist pattern in which exposure is performed in a vacuum or a dry atmosphere, a resist is applied to a substrate in a resist applying step S1 and, after applying the resist, the substrate is pre- baked in a pre-baking step S2. Then, after the resist film on the pre-baked substrate is exposed in an exposing step S3, the performance stability of the resist is improved by promoting the diffusion of exposure products in the resist film in a diffusion promoting step S4. After the promoting step S4, the substrate is baked in a baking step S5 and the resist film is developed in a developing step S6.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】この発明は、レジストを用い
て微細パターニングするレジストパターン形成方法およ
び半導体製造装置および半導体装置および携帯情報端末
に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of forming a resist pattern for fine patterning using a resist, a semiconductor manufacturing apparatus, a semiconductor device, and a portable information terminal.

【0002】[0002]

【従来の技術】従来より、LSI(大規模集積回路)等の
半導体装置において、エッチングマスクの材料としてレ
ジストが広く用いられている。特に、電子機器の多機能
化,素子の高度化に伴って高密度化を図るために、短波
長化された光や電離放射線,電子線を露光に用いてレジ
ストパターンを微細化するレジストパターン形成方法が
提案されている。これらのレジストパターン形成方法に
おける露光では、短波長光,放射線および電子線の線源
が大気によって減衰を受けないようにするために、真空
中または乾燥雰囲気下で露光する必要がある。
2. Description of the Related Art Conventionally, in semiconductor devices such as LSIs (Large Scale Integrated Circuits), resists have been widely used as a material for an etching mask. In particular, in order to increase the density as electronic devices become more functional and more sophisticated, resist patterns are formed by using light with shorter wavelengths, ionizing radiation, and electron beams for exposure to finer resist patterns. A method has been proposed. In the exposure in these resist pattern forming methods, it is necessary to expose in a vacuum or in a dry atmosphere in order to prevent the short-wavelength light, radiation and electron beam radiation sources from being attenuated by the atmosphere.

【0003】このような真空中または乾燥雰囲気下で露
光するレジストパターン形成方法として、化学増幅型レ
ジストを用いたレジストパターン形成方法が提案されて
いる(特開平7−57997号公報)。この化学増幅型レ
ジストを用いたレジストパターン形成方法では、露光後
ベークを行う前のレジスト中の残留溶媒量が、レジスト
の感度や解像度に影響するために、複数の基板において
残留溶媒量が均一となるように制御している。
As a method of forming a resist pattern to be exposed in a vacuum or under a dry atmosphere, a method of forming a resist pattern using a chemically amplified resist has been proposed (JP-A-7-57997). In this method of forming a resist pattern using a chemically amplified resist, the amount of residual solvent in the resist before baking after exposure affects the sensitivity and resolution of the resist. It is controlled to become.

【0004】[0004]

【発明が解決しようとする課題】ところが、上記短波長
化された光や電離放射線,電子線を露光に用いたレジス
トパターン形成方法では、真空中(または乾燥雰囲気下)
で基板に塗布されたレジストが露光されるため、残留溶
媒量が真空中(または乾燥雰囲気下)に基板が保持される
保持時間に応じてレジストの感度や解像度が変化すると
共に、残留溶媒量は、真空中に基板が保持されていると
きの真空度(または乾燥の程度)に応じてレジストの感度
や解像度が変化するという問題がある。
However, in the method of forming a resist pattern using light having a shorter wavelength, ionizing radiation, or an electron beam for exposure, the method described above is performed in a vacuum (or in a dry atmosphere).
Since the resist applied to the substrate is exposed in the step, the amount of residual solvent varies with the sensitivity and resolution of the resist according to the holding time during which the substrate is held in vacuum (or in a dry atmosphere), and the amount of residual solvent is In addition, there is a problem that the sensitivity and resolution of the resist change depending on the degree of vacuum (or the degree of drying) when the substrate is held in a vacuum.

【0005】図7,図8は、化学増幅系ポジ型レジスト
TDUR−P015(東京応化工業株式会社製)を500
0rpmで回転塗布し、200nmの膜厚のレジスト膜
を形成し、保持時間や真空度を変化させたときの感度の
変化を示しており、図7において、横軸は真空中放置時
間、縦軸は感度であり、図8において、横軸は真空度、
縦軸は感度である。図7に示すように、真空中放置時間
が長くなるほど、感度は低くなる。また、図8に示すよ
うに、真空度が高い(圧力が低い)ほど、感度が低くな
る。これらの傾向は、露光を乾燥雰囲気下で行う場合も
同様である。
FIGS. 7 and 8 show a chemically amplified positive resist TDUR-P015 (manufactured by Tokyo Ohka Kogyo Co., Ltd.) of 500.
Rotational coating is performed at 0 rpm, a resist film having a thickness of 200 nm is formed, and the change in sensitivity when the holding time or the degree of vacuum is changed is shown. In FIG. Is the sensitivity, and in FIG. 8, the horizontal axis is the degree of vacuum,
The vertical axis is the sensitivity. As shown in FIG. 7, the longer the standing time in vacuum, the lower the sensitivity. In addition, as shown in FIG. 8, the higher the degree of vacuum (the lower the pressure), the lower the sensitivity. These tendencies are the same when the exposure is performed in a dry atmosphere.

【0006】さらに、レジスト中に溶媒が残留すること
によって、真空中で露光を行うときに、溶媒が真空中に
拡散したり、露光によりレジスト中に生成された反応生
成物が真空中に拡散したりして、露光システムを汚染し
てしまうという問題がある。この問題は、露光を乾燥雰
囲気下で行う場合も同様に起こる。
Further, when the solvent is left in the resist, when the exposure is performed in a vacuum, the solvent is diffused in the vacuum, or the reaction product generated in the resist by the exposure is diffused in the vacuum. And contaminate the exposure system. This problem also occurs when exposure is performed in a dry atmosphere.

【0007】さらに、レジスト膜中に残留する水も、溶
媒と同様に残留量が感度,解像度に影響する。また、水
が真空中(または乾燥雰囲気下)に拡散したり、露光によ
ってレジスト中に生成された反応生成物が真空中(また
は乾燥雰囲気下)に拡散したりして、露光システムを汚
染してしまうという問題がある。
Further, the amount of water remaining in the resist film affects the sensitivity and resolution, similarly to the solvent. In addition, water diffuses into the vacuum (or under a dry atmosphere), and reaction products generated in the resist by exposure diffuse into the vacuum (or under a dry atmosphere), thereby contaminating the exposure system. Problem.

【0008】そこで、この発明の目的は、真空中または
乾燥雰囲気下の露光において、レジストの感度,解像度
を均一化でき、ウェハ面内,ウェハ間の線幅制御性の良
好な高密度レジストパターンを形成でき、露光システム
の汚染を防止できるレジストパターン形成方法およびそ
れを用いた半導体製造装置を提供すると共に、高密度化
された半導体装置およびそれを用いた携帯情報端末を提
供することにある。
Accordingly, an object of the present invention is to provide a high-density resist pattern which can make the sensitivity and resolution of a resist uniform in exposure in a vacuum or in a dry atmosphere, and has good line width control within a wafer surface and between wafers. It is an object of the present invention to provide a method of forming a resist pattern which can be formed and prevent contamination of an exposure system and a semiconductor manufacturing apparatus using the same, and a semiconductor device with a high density and a portable information terminal using the same.

【0009】[0009]

【課題を解決するための手段】上記目的を達成するた
め、この発明のレジストパターン形成方法は、基板上に
レジストを塗布するレジスト塗布工程と、上記レジスト
塗布工程後に上記基板をプリベークすることによりレジ
スト膜を形成するプリベーク工程と、上記プリベーク工
程後に上記レジスト膜を露光する露光工程と、上記露光
工程後に上記レジスト膜中の露光による生成物の拡散を
促進する拡散促進化工程と、上記拡散促進化工程後に上
記基板をベークする露光後ベーク工程と、上記露光後ベ
ーク工程後に上記レジスト膜を現像する現像工程とを有
することを特徴としている。
In order to achieve the above object, a method of forming a resist pattern according to the present invention comprises the steps of: applying a resist on a substrate; and prebaking the substrate after the resist application step. A pre-bake step of forming a film, an exposure step of exposing the resist film after the pre-bake step, a diffusion promotion step of promoting diffusion of a product by exposure in the resist film after the exposure step, and a diffusion promotion step A post-exposure bake step of baking the substrate after the step; and a development step of developing the resist film after the post-exposure bake step.

【0010】上記レジストパターン形成方法によれば、
上記露光工程後に上記レジスト膜中の露光による生成物
(例えば化学増幅型レジストにおいて露光により発生す
る酸)の拡散を拡散促進化工程において促進して、レジ
スト膜中の露光による生成物を拡散させることによっ
て、レジスト性能の安定性が向上し、ウェハ面内,ウェ
ハ間の線幅制御性の良好な高密度レジストパターンを形
成できる。
According to the above resist pattern forming method,
Product by exposure in the resist film after the exposure step
(E.g., acid generated by exposure in a chemically amplified resist) is promoted in a diffusion promoting step, and by diffusing a product by exposure in the resist film, the stability of the resist performance is improved, and the wafer surface is improved. A high-density resist pattern with good line width control between wafers can be formed.

【0011】また、一実施形態のレジストパターン形成
方法は、上記プリベーク工程後に上記レジスト中の残留
溶媒を除去する残留溶媒除去工程を有することを特徴と
している。
In one embodiment of the present invention, a method of forming a resist pattern includes a residual solvent removing step of removing a residual solvent in the resist after the prebaking step.

【0012】上記実施形態のレジストパターン形成方法
によれば、上記レジスト中の残留溶媒を露光工程の前に
あらかじめ除去して、レジスト中の残留溶媒を均一化す
ることによって、レジスト性能の安定性が向上し、ウェ
ハ面内,ウェハ間の線幅制御性の良好な高密度レジスト
パターンを形成できる。その後の拡散促進工程におい
て、例えば基板を上記レジストの溶媒雰囲気下で保持し
て、残留溶媒を補充することで、露光による生成物を拡
散しやすくする。
According to the method of forming a resist pattern of the above embodiment, the residual solvent in the resist is removed in advance before the exposure step, and the residual solvent in the resist is made uniform. It is possible to form a high-density resist pattern with improved line width controllability within a wafer surface and between wafers. In the subsequent diffusion promotion step, for example, the substrate is kept under a solvent atmosphere of the resist, and the residual solvent is replenished, thereby facilitating diffusion of the product by exposure.

【0013】また、この発明のレジストパターン形成方
法は、基板上にレジストを塗布するレジスト塗布工程
と、上記レジスト塗布工程後に上記基板をプリベークす
ることによりレジスト膜を形成するプリベーク工程と、
上記プリベーク工程後に上記レジスト膜の上部にレジス
ト中の残留溶媒の拡散を防止する拡散防止膜を形成する
拡散防止膜形成工程と、上記拡散防止膜形成工程後に上
記レジスト膜を露光する露光工程と、上記露光工程後に
上記基板をベークする露光後ベーク工程と、上記露光後
ベーク工程後に上記レジスト膜を現像する現像工程とを
有することを特徴としている。
Further, the method for forming a resist pattern according to the present invention includes a resist coating step of coating a resist on a substrate, a prebaking step of forming a resist film by prebaking the substrate after the resist coating step.
An anti-diffusion film forming step of forming an anti-diffusion film for preventing diffusion of residual solvent in the resist on the resist film after the pre-baking step, and an exposing step of exposing the resist film after the anti-diffusion film forming step, A post-exposure bake step of baking the substrate after the exposure step; and a development step of developing the resist film after the post-exposure bake step.

【0014】上記レジストパターン形成方法によれば、
上記レジスト上に残留溶媒拡散防止膜を形成することに
よって、露光中の残留溶媒が真空中(または乾燥雰囲気
下)に拡散して露光システムが汚染されるのを防止でき
る。また、上記残留溶媒拡散防止膜よって露光による生
成物が真空中(または乾燥雰囲気下)に拡散するのも防止
できる。
According to the above resist pattern forming method,
By forming the residual solvent diffusion preventing film on the resist, it is possible to prevent the residual solvent during exposure from diffusing into vacuum (or under a dry atmosphere) and contaminating the exposure system. Further, the residual solvent diffusion preventing film can also prevent the product by exposure from diffusing into vacuum (or under a dry atmosphere).

【0015】また、一実施形態のレジストパターン形成
方法は、上記露光後ベーク工程後かつ上記現像工程前に
上記レジスト膜をシリル化するシリル化工程を有し、上
記現像工程において上記レジスト膜をドライ現像するこ
とを特徴としている。
In one embodiment of the present invention, the resist pattern forming method further comprises a silylation step of silylating the resist film after the post-exposure bake step and before the development step. It is characterized by developing.

【0016】上記実施形態のレジストパターン形成方法
によれば、上記露光後ベーク工程後かつ現像工程前に上
記シリル化工程によって、レジスト膜の感光した領域を
シリル化し、他の領域をドライ現像により除去すること
によって、線幅制御性の良好なレジストパターンが得ら
れる。
According to the resist pattern forming method of the above embodiment, the exposed area of the resist film is silylated by the silylation step after the post-exposure bake step and before the development step, and other areas are removed by dry development. By doing so, a resist pattern with good line width controllability can be obtained.

【0017】また、一実施形態のレジストパターン形成
方法は、上記露光後ベーク工程後に上記拡散防止膜を除
去する拡散防止膜除去工程と、上記拡散防止膜除去工程
後かつ上記現像工程前に上記レジストをシリル化するシ
リル化工程とを有し、上記シリル化工程後の上記現像工
程において上記レジスト膜をドライ現像することを特徴
としている。
In one embodiment, the method for forming a resist pattern comprises a step of removing the diffusion barrier film after the post-exposure bake step, and a step of removing the resist layer after the diffusion barrier film removal step and before the development step. And a silylation step of silylating the resist film, wherein the resist film is dry-developed in the development step after the silylation step.

【0018】上記実施形態のレジストパターン形成方法
によれば、上記露光後ベーク工程後に上記拡散防止膜を
拡散防止膜除去工程で除去し、その後、現像工程前に上
記シリル化工程によって、レジスト膜の感光した領域を
シリル化し、他の領域をドライ現像により除去すること
によって、線幅制御性の良好なレジストパターンが得ら
れる。
According to the resist pattern forming method of the above embodiment, after the post-exposure baking step, the diffusion preventing film is removed in the diffusion preventing film removing step, and then the resist film is formed by the silylation step before the developing step. By exposing the exposed area to silylation and removing the other area by dry development, a resist pattern with good line width controllability can be obtained.

【0019】また、一実施形態のレジストパターン形成
方法は、上記レジスト塗布工程において異なる2種類の
レジストを順に下層と上層とに分けて塗布し、上記現像
工程において上記レジスト膜の上層をウェット現像した
後に上記レジスト膜の下層をドライ現像することを特徴
としている。
In one embodiment of the resist pattern forming method, in the resist coating step, two different resists are sequentially applied to a lower layer and an upper layer, and the upper layer of the resist film is wet-developed in the developing step. The method is characterized in that the lower layer of the resist film is dry-developed later.

【0020】上記実施形態のレジストパターン形成方法
によれば、2層レジストプロセス技術において、上層の
レジストをウエット現像してパターンを形成した後に、
下層のレジストをプラズマ等でドライ現像してパターン
を形成する。したがって、段差のある基板上でも微細パ
ターンを形成可能な2層レジストプロセス技術を用いて
線幅制御性の良好なレジストパターンが得られる。
According to the resist pattern forming method of the above embodiment, in the two-layer resist process technique, after the upper resist is wet-developed to form a pattern,
The lower resist is dry-developed with plasma or the like to form a pattern. Therefore, a resist pattern with good line width controllability can be obtained by using a two-layer resist process technique capable of forming a fine pattern even on a substrate having a step.

【0021】また、一実施形態のレジストパターン形成
方法は、上記拡散促進化工程において上記基板を上記レ
ジストの溶媒雰囲気下で保持することを特徴としてい
る。
In one embodiment, the resist pattern forming method is characterized in that the substrate is held in a solvent atmosphere of the resist in the diffusion promoting step.

【0022】上記実施形態のレジストパターン形成方法
によれば、露光中に失われた溶媒をレジスト中に補充し
て、露光による生成物の拡散を容易に促進できる。
According to the resist pattern forming method of the above embodiment, the solvent lost during the exposure can be replenished in the resist, and the diffusion of the product by the exposure can be easily promoted.

【0023】また、一実施形態のレジストパターン形成
方法は、上記拡散促進化工程において上記基板を水洗す
るかまたは上記基板を水蒸気雰囲気下で加熱することを
特徴としている。
In one embodiment of the present invention, in the method of forming a resist pattern, the substrate is washed with water or the substrate is heated in a steam atmosphere in the diffusion promoting step.

【0024】上記実施形態のレジストパターン形成方法
によれば、上記拡散促進化工程において上記基板を水洗
することによって、レジスト中に水を浸透させて、露光
による生成物の拡散を容易にする。また、上記拡散促進
化工程において、上記基板を水蒸気雰囲気下で加熱する
ことによって、レジスト中に水を浸透させて、露光によ
る生成物の拡散を容易に促進できる。
According to the method of forming a resist pattern of the above embodiment, the substrate is washed with water in the step of accelerating the diffusion, thereby allowing water to penetrate into the resist and facilitating the diffusion of the product by exposure. Further, in the diffusion promoting step, by heating the substrate in a water vapor atmosphere, water can penetrate into the resist, and the diffusion of the product by exposure can be easily promoted.

【0025】また、一実施形態のレジストパターン形成
方法は、上記残留溶媒除去工程において上記基板を上記
レジストの溶媒の沸点以上の温度で加熱することを特徴
としている。
In one embodiment of the method of forming a resist pattern, the substrate is heated at a temperature equal to or higher than the boiling point of the solvent of the resist in the residual solvent removing step.

【0026】上記実施形態のレジストパターン形成方法
によれば、上記残留溶媒除去工程において上記基板をレ
ジストの溶媒の沸点以上の温度で加熱することによっ
て、レジスト中の残留溶媒を短時間に効率よく除去でき
る。
According to the resist pattern forming method of the embodiment, the substrate is heated at a temperature higher than the boiling point of the solvent of the resist in the step of removing the residual solvent, so that the residual solvent in the resist is efficiently removed in a short time. it can.

【0027】また、一実施形態のレジストパターン形成
方法は、上記残留溶媒除去工程において上記基板を減圧
下で保持することを特徴としている。
In one embodiment of the method of forming a resist pattern, the substrate is held under reduced pressure in the residual solvent removing step.

【0028】上記実施形態のレジストパターン形成方法
によれば、上記残留溶媒除去工程において上記基板を減
圧下で保持することによって、残留溶媒がレジスト膜か
ら外部に拡散しやすくなり、レジスト中の残留溶媒を効
率よく除去できる。
According to the resist pattern forming method of the above embodiment, the substrate is held under reduced pressure in the residual solvent removing step, so that the residual solvent is easily diffused from the resist film to the outside. Can be efficiently removed.

【0029】また、一実施形態のレジストパターン形成
方法は、上記残留溶媒除去工程において上記基板を減圧
下で加熱することを特徴としている。
In one embodiment of the method of forming a resist pattern, the substrate is heated under reduced pressure in the residual solvent removing step.

【0030】上記実施形態のレジストパターン形成方法
によれば、上記残留溶媒除去工程において上記基板を減
圧下で加熱することによって、残留溶媒がレジスト膜か
ら外部に拡散しやすくなり、レジスト中の残留溶媒を効
率よく除去できる。
According to the resist pattern forming method of the above embodiment, by heating the substrate under reduced pressure in the residual solvent removing step, the residual solvent is easily diffused from the resist film to the outside, and the residual solvent in the resist is removed. Can be efficiently removed.

【0031】また、一実施形態のレジストパターン形成
方法は、上記露光工程において真空中または乾燥雰囲気
下で上記レジスト膜を露光することを特徴としている。
In one embodiment of the present invention, the resist pattern is exposed in a vacuum or a dry atmosphere in the exposing step.

【0032】上記実施形態のレジストパターン形成方法
によれば、上記露光工程において真空中または乾燥雰囲
気下でレジスト膜を露光することによって、線源からの
光(または放射線,電子線など)が減衰する要因を排除で
き、必要な露光量を容易に確保できる。
According to the resist pattern forming method of the above embodiment, light (or radiation, electron beam, etc.) from a radiation source is attenuated by exposing the resist film in a vacuum or under a dry atmosphere in the above exposure step. The factors can be eliminated, and the required exposure can be easily secured.

【0033】また、一実施形態のレジストパターン形成
方法は、上記レジスト膜が真空中で露光される上記露光
工程であって、10-4Torr以下の真空で露光するこ
とを特徴としている。
In one embodiment of the present invention, the resist film is exposed in a vacuum of 10 -4 Torr or less.

【0034】上記実施形態のレジストパターン形成方法
によれば、上記レジスト膜を露光するとき、10-4To
rr以下の真空で露光することによって、線源からの光
(または放射線,電子線など)が減衰する要因を排除で
き、必要な露光量を容易に確保できる。一方、上記露光
工程において10-4Torrを越える場合は、線源から
の光(または放射線,電子線など)が減衰して、必要な露
光量を得ることが困難になる。
According to the resist pattern forming method of the embodiment, when exposing the resist film, 10 -4 To
Exposure in a vacuum below rr allows light from the source to
(Or radiation, electron beam, etc.) can be eliminated, and the required exposure can be easily secured. On the other hand, if the exposure step exceeds 10 -4 Torr, the light (or radiation, electron beam, etc.) from the radiation source is attenuated, making it difficult to obtain the required exposure.

【0035】また、一実施形態のレジストパターン形成
方法は、上記レジスト膜が乾燥雰囲気下で露光される上
記露光工程であって、不活性ガスによる乾燥雰囲気下で
露光することを特徴としている。
In one embodiment of the present invention, in the above-mentioned exposure step, the resist film is exposed under a dry atmosphere, and the exposure is performed under a dry atmosphere using an inert gas.

【0036】上記実施形態のレジストパターン形成方法
によれば、上記レジスト膜を露光するとき、不活性ガス
による乾燥雰囲気下で露光することによって、線源から
の光(または放射線,電子線など)が大気により吸収され
るのを防ぎ、減衰を低減できる。
According to the resist pattern forming method of the above embodiment, when exposing the resist film in a dry atmosphere with an inert gas, light (or radiation, electron beam, etc.) from a radiation source is emitted. It can be prevented from being absorbed by the atmosphere and attenuation can be reduced.

【0037】また、一実施形態のレジストパターン形成
方法は、上記基板に塗布するレジストとして、露光後に
ベークする必要のあるレジストを用いることを特徴とし
ている。
The resist pattern forming method according to one embodiment is characterized in that a resist that needs to be baked after exposure is used as a resist applied to the substrate.

【0038】上記実施形態のレジストパターン形成方法
によれば、露光後ベークする前の状態のレジストであれ
ば、レジストの溶媒や水分がレジスト中に浸透しやす
く、浸透した水分により露光による生成物の拡散が容易
にできる。
According to the method for forming a resist pattern of the above embodiment, if the resist is in a state after the exposure and before the baking, the solvent or moisture of the resist easily penetrates into the resist, and the permeated moisture causes the product formed by the exposure. Diffusion is easy.

【0039】また、この発明の半導体製造装置は、上記
レジストパターン形成方法の拡散促進化工程において、
上記基板が保持されたウェハ保持チャンバー内に上記レ
ジストの溶媒を導入する半導体製造装置であって、不活
性ガスをキャリアガスとして用いることを特徴としてい
る。
Further, in the semiconductor manufacturing apparatus according to the present invention, in the diffusion promoting step of the resist pattern forming method,
A semiconductor manufacturing apparatus for introducing a solvent of the resist into a wafer holding chamber holding the substrate, wherein an inert gas is used as a carrier gas.

【0040】上記構成の半導体製造装置によれば、上記
レジストパターン形成方法の拡散促進化工程において、
上記基板が保持されたウェハ保持チャンバー内に、不活
性ガスをキャリアガスとして用いてレジストの溶媒を導
入するので、簡単な構成でウェハ保持チャンバー内にレ
ジストの溶媒を容易に導入できる。
According to the semiconductor manufacturing apparatus having the above structure, in the step of promoting diffusion in the method of forming a resist pattern,
Since the resist solvent is introduced into the wafer holding chamber holding the substrate by using an inert gas as a carrier gas, the resist solvent can be easily introduced into the wafer holding chamber with a simple configuration.

【0041】また、この発明の半導体製造装置は、上記
レジストパターン形成方法の拡散促進化工程において、
上記基板が保持されたウェハ保持チャンバー内に上記レ
ジストの溶媒を導入する半導体製造装置であって、上記
ウェハ保持チャンバーを真空にし、上記レジストの溶媒
をその溶媒の蒸気圧で上記ウェハ保持チャンバー内に導
入した後、上記ウェハ保持チャンバー内を一定圧に保持
することを特徴としている。
Further, according to the semiconductor manufacturing apparatus of the present invention, in the step of promoting diffusion of the resist pattern forming method,
A semiconductor manufacturing apparatus for introducing a solvent of the resist into a wafer holding chamber in which the substrate is held, wherein the wafer holding chamber is evacuated, and the solvent of the resist is introduced into the wafer holding chamber with a vapor pressure of the solvent. After the introduction, the inside of the wafer holding chamber is maintained at a constant pressure.

【0042】上記構成の半導体製造装置によれば、真空
状態の上記ウェハ保持チャンバー内に、上記レジストの
溶媒をその溶媒の蒸気圧で導入した後、上記ウェハ保持
チャンバー内を一定圧に保持することによって、ウェハ
保持チャンバー内の圧力調整により溶媒の導入量を調整
でき、拡散促進化を高精度に処理できる。
According to the semiconductor manufacturing apparatus having the above structure, after introducing the solvent of the resist into the wafer holding chamber in a vacuum state at the vapor pressure of the solvent, the inside of the wafer holding chamber is maintained at a constant pressure. Thereby, the introduction amount of the solvent can be adjusted by adjusting the pressure in the wafer holding chamber, and the diffusion promotion can be processed with high accuracy.

【0043】また、この発明の半導体装置は、上記レジ
ストパターン形成方法を用いて製造されたことを特徴と
している。
Further, a semiconductor device according to the present invention is characterized by being manufactured by using the above-described method for forming a resist pattern.

【0044】上記構成の半導体装置によれば、線幅制御
性の良好な高密度レジストパターンを用いることによっ
て、配線抵抗のばらつきを大幅に抑制できると共に、デ
バイスの特性ばらつきを抑制でき、1000MHzの周
波数で高速動作できる。また、電源電圧を0.5Vにす
ることが可能であり、消費電力を大幅に下げることがで
きる。
According to the semiconductor device having the above-described structure, by using a high-density resist pattern having good line width controllability, it is possible to greatly suppress the variation in the wiring resistance and the variation in the characteristics of the device. And can operate at high speed. Further, the power supply voltage can be set to 0.5 V, and the power consumption can be significantly reduced.

【0045】また、この発明の携帯情報端末は、上記半
導体装置からなることを特徴としている。
A portable information terminal according to the present invention is characterized by comprising the above-mentioned semiconductor device.

【0046】上記構成の携帯情報端末によれば、上記半
導体装置を用いることによって、高速動作、低消費電力
が必要な携帯電話等の携帯情報端末を実現することがで
きる。
According to the portable information terminal having the above-described structure, a portable information terminal such as a portable telephone which requires high-speed operation and low power consumption can be realized by using the semiconductor device.

【0047】[0047]

【発明の実施の形態】本出願人は、研究を重ねた結果、
真空中(または乾燥雰囲気下)で保持されたウェハ上のレ
ジストが露光される場合、レジスト中の残留溶媒が外に
拡散してしまうため、レジストの感度や解像度がウェハ
毎に変化してばらつきという問題があり、さらに露光に
よって生成した反応生成物が真空中(または乾燥雰囲気
下)に拡散して、露光システムを汚染してしまうという
問題があり、そのことから、真空中(または乾燥雰囲気
下)の露光においてレジスト性能の安定性を改善するた
めには、レジスト膜中の残留溶媒および水が、露光中に
レジスト膜から出ていかないようにすることが重要であ
ることを見出した。さらに、真空中に保持された基板に
おいて、真空中に拡散してしまった残留溶媒および水
を、露光後にレジスト膜に補充することが線幅の制御性
向上に有効であることを見出した。
BEST MODE FOR CARRYING OUT THE INVENTION As a result of repeated studies, the applicant has
When the resist on the wafer held in vacuum (or under a dry atmosphere) is exposed, the residual solvent in the resist diffuses out. There is a problem that the reaction product generated by exposure diffuses in vacuum (or under a dry atmosphere) and contaminates the exposure system.Therefore, in vacuum (or under a dry atmosphere) In order to improve the stability of the resist performance during the exposure, it was found that it was important to prevent the residual solvent and water in the resist film from coming out of the resist film during the exposure. Furthermore, it has been found that replenishment of the resist film with the residual solvent and water diffused in the vacuum on the substrate held in the vacuum after the exposure is effective for improving the controllability of the line width.

【0048】以下、この発明のレジストパターン形成方
法および半導体製造装置および半導体装置および携帯情
報端末を図示の実施の形態により詳細に説明する。ただ
し、当然のことながら、この発明は以下の実施形態に限
定されるものではない。
Hereinafter, a resist pattern forming method, a semiconductor manufacturing apparatus, a semiconductor device, and a portable information terminal according to the present invention will be described in detail with reference to the illustrated embodiments. However, needless to say, the present invention is not limited to the following embodiments.

【0049】(第1実施形態)図1はこの発明の第1実
施形態のレジストパターン形成方法のプロセスフローを
示している。この第1実施形態では、予め200℃で脱
水ベーク後にHMDS(ヘキサメチルジシラザン)蒸気で
温度25℃で表面処理を施したシリコンウェハを用い
る。
(First Embodiment) FIG. 1 shows a process flow of a method for forming a resist pattern according to a first embodiment of the present invention. In the first embodiment, a silicon wafer that has been subjected to a surface treatment at 25 ° C. with HMDS (hexamethyldisilazane) vapor after a dehydration bake at 200 ° C. in advance is used.

【0050】まず、レジスト塗布工程S1において、上
記シリコンウェハ上に、化学増幅系ポジ型レジストTD
UR−P015(東京応化工業株式会社製)を5000r
pmで回転塗布する。このとき、レジストは、シリコン
ウェハ上に限らず、半導体製造工程で必要である基板上
に塗布するものである。この半導体製造工程で必要とさ
れる基板上としては、例えば、シリコン酸化膜上、シリ
コン窒化膜上、窒化チタン膜上、アルミニウム膜上、銅
膜上等がある。また、レジストは、化学増幅系ポジ型レ
ジストTDUR−P015に限らず、次工程のエッチン
グや注入等に耐え得るものであればよい。
First, in a resist coating step S1, a chemically amplified positive resist TD is formed on the silicon wafer.
UR-P015 (Tokyo Ohka Kogyo Co., Ltd.) 5000r
Spin coating at pm. At this time, the resist is applied not only on the silicon wafer but also on a substrate required in a semiconductor manufacturing process. The substrate required in the semiconductor manufacturing process includes, for example, a silicon oxide film, a silicon nitride film, a titanium nitride film, an aluminum film, and a copper film. In addition, the resist is not limited to the chemically amplified positive resist TDUR-P015, and may be any resist that can withstand etching, implantation, and the like in the next step.

【0051】その後、プリベーク(塗布後ベーク)工程S
2において、レジストが塗布されたシリコンウェハを9
0℃で60秒プリベークし、200nm膜厚のレジスト
膜を形成する。このプリベークは90℃程度が適してい
るが、80℃から130℃位でも可能である。また、レ
ジスト膜厚についても、100nmから2000nmま
で可能であり、次工程のエッチングや注入等に耐え得る
膜厚であればよい。
Thereafter, a pre-bake (bake after coating) step S
In step 2, the resist-coated silicon wafer was
Prebake at 0 ° C. for 60 seconds to form a resist film having a thickness of 200 nm. This prebaking is suitably performed at about 90 ° C., but may be performed at about 80 ° C. to about 130 ° C. Also, the resist film thickness can be from 100 nm to 2000 nm, and may be any film thickness that can withstand etching, implantation, and the like in the next step.

【0052】次に、露光工程S3において、レジスト膜
が形成されたシリコンウェハを露光チャンバー内(真空
度10-5Torr)に導入して、極端紫外光(13nm)
を用いた縮小反射露光システム(開口数NA:0.1、縮
小率1/5)により、マスクを介してシリコンウェハ上
のレジスト膜に露光量5mJ/cm2で露光を行う。こ
のときの露光量については、レジストの種類,パターン
形状に依存して変化する。
Next, in the exposure step S3, the silicon wafer on which the resist film has been formed is introduced into an exposure chamber (degree of vacuum: 10 −5 Torr), and extreme ultraviolet light (13 nm) is applied.
The resist film on the silicon wafer is exposed through a mask at an exposure amount of 5 mJ / cm 2 by a reduced reflection exposure system (numerical aperture NA: 0.1, reduction ratio: 1/5) using the above method. The amount of exposure at this time varies depending on the type of resist and the pattern shape.

【0053】図4は上記縮小反射露光システムの概略構
成図を示しており、1はシリコンウェハ、2はマスク、
3は第1ミラー、4は第2ミラー、5は第3ミラー、6
はEUV(極端紫外)光、7は真空チャンバーである。こ
の縮小反射露光システムは、EUV光6が真空チャンバ
ー7に入射され、マスク2を照明する。上記マスク2
で、EUV光6が反射され、マスク2のパターン情報が
反映されたEUV光6に反映される。そして、反射され
たEUV光6は、第1ミラー3,第2ミラー4、第3ミ
ラー5で反射を繰り返し、マスク2のパターン情報が縮
小されてシリコンウェハ上のレジスト膜を露光する。
FIG. 4 is a schematic configuration diagram of the above-mentioned reduced reflection exposure system, wherein 1 is a silicon wafer, 2 is a mask,
3 is a first mirror, 4 is a second mirror, 5 is a third mirror, 6
Denotes EUV (extreme ultraviolet) light, and 7 denotes a vacuum chamber. In this reduced reflection exposure system, the EUV light 6 enters the vacuum chamber 7 and illuminates the mask 2. The above mask 2
Then, the EUV light 6 is reflected, and is reflected on the EUV light 6 on which the pattern information of the mask 2 is reflected. Then, the reflected EUV light 6 is repeatedly reflected by the first mirror 3, the second mirror 4, and the third mirror 5, and the pattern information of the mask 2 is reduced to expose the resist film on the silicon wafer.

【0054】次に、拡散促進化工程S4において、レジ
スト溶媒であるPEGMEA(プロピレングリコールモ
ノメチルエーテルアセテート)蒸気を飽和させた雰囲気
中でホットプレート上にシリコンウェハを保持して、2
5℃で60秒間、溶媒蒸気処理を行う。ここでは、PE
GMEA蒸気で処理を行ったが、露光によって生成した
反応物を拡散させるのに効果がある有機溶剤蒸気で処理
を行っても同様の効果が得られる。有機溶剤としては、
例えば、メチルアルコール、エチルアルコール、n−プ
ロピルアルコール、イソプロピルアルコール、アセト
ン、N−メチルピロリドン、エチルセルソルブアセテー
ト、乳酸エチル、酢酸エチル、酢酸メチル、酢酸ブチル
等の溶剤から選んでもよいし、これらの混合物でもよ
い。有機系の溶剤を拡散促進化工程に使う場合、レジス
ト中への溶媒の拡散が容易であり、短時間で効果が現れ
る。
Next, in the diffusion promoting step S4, the silicon wafer is held on a hot plate in an atmosphere saturated with a resist solvent, ie, PEGMEA (propylene glycol monomethyl ether acetate) vapor, and the
Perform solvent vapor treatment at 5 ° C. for 60 seconds. Here, PE
Although the treatment was performed with the GMEA vapor, the same effect can be obtained by treating with an organic solvent vapor that is effective in diffusing the reactant generated by the exposure. As organic solvents,
For example, it may be selected from solvents such as methyl alcohol, ethyl alcohol, n-propyl alcohol, isopropyl alcohol, acetone, N-methylpyrrolidone, ethyl cellosolve acetate, ethyl lactate, ethyl acetate, methyl acetate, butyl acetate and the like. It may be a mixture. When an organic solvent is used in the step of accelerating the diffusion, the solvent can be easily diffused into the resist, and the effect appears in a short time.

【0055】なお、上記拡散促進化工程S4は、図9に
示す半導体製造装置としての溶媒拡散装置で処理する。
図9において、101はシリコンウェハ、108はウェ
ハ支持ピン、109はホットプレート、110は分散
板、111はチャンバー、112は気化溶媒導入口、1
13は溶媒としてのPEGMEA、114はキャリアガ
ス導入口、115はPEGMEAの液面、118は容器
である。
The diffusion promoting step S4 is performed by a solvent diffusion apparatus as a semiconductor manufacturing apparatus shown in FIG.
In FIG. 9, 101 is a silicon wafer, 108 is a wafer support pin, 109 is a hot plate, 110 is a dispersion plate, 111 is a chamber, 112 is a vaporized solvent inlet, 1
Reference numeral 13 denotes a PGMEA as a solvent, 114 denotes a carrier gas inlet, 115 denotes a liquid level of the PGMEA, and 118 denotes a container.

【0056】上記チャンバー112内のホットプレート
109上にウェハ支持ピン108によりシリコンウェハ
101を保持し、PEGMEAの液を容器118に用意
し、窒素ガスをキャリアガスに用いて容器118内のP
EGMEAをバブリングして気化させ、気化したPEG
MEAを気化溶媒導入口112を介してチャンバー11
2内に導入する。このとき、シリコンウェハ101を均
一にPEGMEAで処理するために、分散板110を導
入した。この溶媒拡張装置では、PEGMEAを運ぶキ
ャリアガスとして窒素を用いたが、ヘリウム等の不活性
ガスであれば、使用可能である。また、上記溶媒拡張装
置では、ウェハ支持ピン108でシリコンウェハ101
を支持することで、ホットプレート109からの熱を均
一にシリコンウェハ101に伝達でき、シリコンウェハ
101の温度ばらつきを±0.1℃以下に抑えることが
できる。上記図9に示す溶媒拡散装置は安価に製造する
ことができる。
The silicon wafer 101 is held on the hot plate 109 in the chamber 112 by the wafer support pins 108, and a PGMEA solution is prepared in the container 118, and the P gas in the container 118 is prepared using nitrogen gas as a carrier gas.
EGMEA is bubbled and vaporized, and the vaporized PEG
The MEA is supplied to the chamber 11 through the vaporized solvent inlet 112.
2 is introduced. At this time, a dispersion plate 110 was introduced to uniformly treat the silicon wafer 101 with PEGMEA. In this solvent expansion device, nitrogen was used as a carrier gas for transporting PEGMEA, but any inert gas such as helium can be used. Further, in the above-mentioned solvent expansion apparatus, the silicon wafer 101 is
, The heat from the hot plate 109 can be uniformly transmitted to the silicon wafer 101, and the temperature variation of the silicon wafer 101 can be suppressed to ± 0.1 ° C. or less. The solvent diffusion device shown in FIG. 9 can be manufactured at low cost.

【0057】また、図10に示す別の半導体製造装置と
しての溶媒拡散装置を用いて、拡散促進化工程を処理す
ることも可能である。図10において、201はシリコ
ンウェハ、208はウェハ支持ピン、209はホットプ
レート、210は分散板、211はチャンバー、212
は気化溶媒導入口、213は溶媒としてのPEGME
A、215はPEGMEAの液面、216A,216B
はバルブ、217は圧力計、218は容器である。
It is also possible to use a solvent diffusion apparatus as another semiconductor manufacturing apparatus shown in FIG. 10 to perform the diffusion promoting step. In FIG. 10, 201 is a silicon wafer, 208 is wafer support pins, 209 is a hot plate, 210 is a dispersion plate, 211 is a chamber, 212
Is a vapor solvent inlet, 213 is PEGME as a solvent
A and 215 are liquid levels of PEGMEA, 216A and 216B
Is a valve, 217 is a pressure gauge, and 218 is a container.

【0058】上記チャンバー211内のホットプレート
209上にウェハ支持ピン208によりシリコンウェハ
201を保持し、PEGMEAの液を容器218に用意
する。そして、上記バルブ216Bを開いて、チャンバ
ー211内を真空ポンプ(図示せず)で1Torr以下に
真空引きした後、真空引きを止めて、PEGMEA液の
容器218とチャンバー211間のバルブ216Aを開
き、PEGMEAの蒸気圧を利用して、チャンバー21
1内にPEGMEAを導入する。そのとき、圧力計21
7によりチャンバー211の圧力を監視して、PEGM
EAの導入量を調整する。PEGMEA液の容器218
を加熱することで、PEGMEAの蒸気圧を高くでき、
導入量を多くできる。この第1実施形態では、PEGM
EAを25℃にして、60秒間30Torrの圧力にチ
ャンバー211を保持して、処理を行う。図10に示す
溶媒拡散装置では、高精度な処理が可能である。
The silicon wafer 201 is held by the wafer support pins 208 on the hot plate 209 in the chamber 211, and a pegmea solution is prepared in the container 218. Then, the valve 216B is opened, and the inside of the chamber 211 is evacuated to 1 Torr or less by a vacuum pump (not shown). Then, the evacuation is stopped, and the valve 216A between the container 218 of the PEGMEA liquid and the chamber 211 is opened. Using the vapor pressure of PEGMEA, the chamber 21
Introduce PEGMEA into 1. At that time, the pressure gauge 21
7, the pressure of the chamber 211 is monitored,
Adjust the amount of EA introduced. PEGMEA solution container 218
By heating the vapor pressure of PEGMEA can be increased,
The introduction amount can be increased. In this first embodiment, PEGM
The processing is performed by maintaining the chamber 211 at a pressure of 30 Torr at EA of 25 ° C. for 60 seconds. The solvent diffusion device shown in FIG. 10 can perform highly accurate processing.

【0059】次に、露光後ベーク工程S5で、上記処理
後のシリコンウェハに露光後ベーク(PEB:Post Expo
sure Bake)を110℃で90秒行う。このとき、露光後
ベークは100〜140℃位は可能であるが、それに伴
って露光量も大きく左右される。
Next, in the post-exposure bake step S5, the post-exposure bake (PEB: Post Expo
Make sure bake) at 110 ° C for 90 seconds. At this time, the post-exposure bake can be performed at about 100 to 140 ° C., but the amount of exposure greatly depends on the bake.

【0060】さらに、現像工程S6で、60秒間、TM
AH(テトラメチルアンモニウムハイドロオキサイド)
2.38%水溶液NMD−3(東京応化工業株式会社製)
でレジスト膜を現像し、100℃で60秒ポストベーク
を行う。このとき、ポストベークは、80℃〜120℃
位は可能である。また、現像工程S6に用いる現像液に
ついても、化学増幅系ポジ型レジストを現像できるもの
であればよい。
Further, in the developing step S6, the TM
AH (tetramethylammonium hydroxide)
2.38% aqueous solution NMD-3 (manufactured by Tokyo Ohka Kogyo Co., Ltd.)
To develop a resist film, and post-bake at 100 ° C. for 60 seconds. At this time, post-baking is performed at 80 ° C to 120 ° C.
Places are possible. The developer used in the developing step S6 may be any developer that can develop a chemically amplified positive resist.

【0061】この第1実施形態のレジストパターン形成
方法により形成されたレジストパターンについて、測長
SEM(走査型電子顕微鏡)を用いて、ウェハ面内の全面
で25点の線幅を測定すると共に、50枚のウェハから
ランダムに10枚を抜き取って、夫々の線幅を測定した
結果、 線幅0.1μmのウェハ面内のばらつき : 線幅の±5%以下 線幅0.1μmのウェハ間のばらつき : 線幅の±5%以下 線幅0.2μmのウェハ面内のばらつき : 線幅の±3%以下 線幅0.2μmのウェハ間のばらつき : 線幅の±3%以下 コンタクトホール径0.15μmのウェハ面内のばらつき: 径の±5%以下 コンタクトホール径0.15μmのウェハ間のばらつき : 径の±5%以下 となった。ここで、例えば、ウェハ内の線幅0.1μm
のウェハ面内ばらつきが線幅の±5%以下とは、0.0
95μmから0.105μmまでの範囲であることを示
す。
With respect to the resist pattern formed by the method of forming a resist pattern according to the first embodiment, the line width of 25 points is measured over the entire surface of the wafer using a length measuring SEM (scanning electron microscope). As a result of randomly extracting 10 wafers from 50 wafers and measuring their respective line widths, the variation in the wafer surface with a line width of 0.1 μm: ± 5% or less of the line width between wafers with a line width of 0.1 μm Dispersion: ± 5% or less of line width In-plane variation of wafer with a line width of 0.2 μm: ± 3% or less of line width Variation between wafers with a line width of 0.2 μm: ± 3% or less of line width Contact hole diameter 0 .15 μm in-wafer variation: ± 5% or less of diameter Diameter of contact hole diameter of 0.15 μm between wafers: ± 5% or less of diameter Here, for example, a line width of 0.1 μm
Is less than ± 5% of the line width when the
The range is from 95 μm to 0.105 μm.

【0062】これに対して、拡散促進化工程を行わずに
その他の工程は第1実施形態と全く同じ工程を進めてパ
ターン形成を行った結果、 線幅0.1μmのウェハ面内のばらつき : 線幅の±15% 線幅0.1μmのウェハ間のばらつき : 線幅の±15% 線幅0.2μmのウェハ面内のばらつき : 線幅の±10% 線幅0.2μmのウェハ間のばらつき : 線幅の±10% コンタクトホール径0.15μmのウェハ面内のばらつき: 径の±15% コンタクトホール径0.15μmのウェハ間のばらつき : 径の±15% であった。
On the other hand, as a result of performing the pattern formation by proceeding exactly the same steps as in the first embodiment without performing the diffusion promoting step, the variation in the wafer surface having a line width of 0.1 μm was obtained as follows: ± 15% of line width Variation between wafers with a line width of 0.1 μm: ± 15% of line width Variation within a wafer with a line width of 0.2 μm: ± 10% of a line width Between wafers with a line width of 0.2 μm Variation: ± 10% of line width Variation in wafer surface of contact hole diameter 0.15 μm: ± 15% of diameter Variation between wafers of contact hole diameter 0.15 μm: ± 15% of diameter

【0063】このように、上記露光工程後の拡散促進化
工程においてレジスト膜中の露光による生成物の拡散を
促進して、レジスト性能の安定性が向上することによっ
て、シリコンウェハ面内および複数のウェハ間の線幅の
ばらつきを抑制して、線幅制御性の良好な高密度レジス
トパターンを形成することができる。
As described above, in the diffusion promotion step after the above-mentioned exposure step, the diffusion of the product by the exposure in the resist film is promoted, and the stability of the resist performance is improved. A high-density resist pattern with good line width controllability can be formed while suppressing variations in line width between wafers.

【0064】(第2実施形態)図2はこの発明の第2実
施形態のレジストパターン形成方法のプロセスフローを
示している。この第2実施形態のレジストパターン形成
方法は、後述する残留溶媒除去工程を除いて第1実施形
態のレジストパターン形成方法の工程S1〜S6と同一
の工程である。
(Second Embodiment) FIG. 2 shows a process flow of a method for forming a resist pattern according to a second embodiment of the present invention. The method for forming a resist pattern according to the second embodiment is the same as the steps S1 to S6 of the method for forming a resist pattern according to the first embodiment except for a residual solvent removing step described later.

【0065】この第2実施形態では、第1実施形態に示
すプロセスフローにおいて、プリベーク工程S2の後、
かつ、露光工程S3の前に、レジスト膜が形成されたシ
リコンウェハをホットプレートで、レジスト溶媒(PE
GMEA)の沸点(146℃)以上の温度150℃で60
秒間、大気圧下で加熱する残留溶媒除去工程S11を行
う。このとき、プリベーク工程S2を省略しても、同様
の効果が得られる。
In the second embodiment, in the process flow shown in the first embodiment, after the pre-bake step S2,
Further, before the exposure step S3, the silicon wafer on which the resist film is formed is placed on a hot plate using a resist solvent (PE
GMEA) boiling point (146 ° C) or higher at 150 ° C and 60 ° C
The residual solvent removing step S11 of heating at atmospheric pressure for a second is performed. At this time, the same effect can be obtained even if the pre-bake step S2 is omitted.

【0066】この残留溶媒除去工程S11を行った結
果、レジスト膜中の残留溶媒は0.75重量%になっ
た。なお、残留溶媒量の測定は、レジスト塗布後のシリ
コンウェハの重量からレジスト塗布前のシリコンウェハ
の重量を差し引いて、塗布されたレジスト重量を求め、
さらに、レジスト膜の膜厚と塗布されたウェハサイズか
ら、塗布されたレジストの体積を計算し、レジスト密度
とレジスト体積をかけて、残留溶媒が全く無い場合のレ
ジスト膜の重量を算出し、算出されたレジスト膜の重量
を実際に測定したレジスト重量から差し引いて、残留溶
媒量を求めた。
As a result of the residual solvent removing step S11, the residual solvent in the resist film became 0.75% by weight. The residual solvent amount was measured by subtracting the weight of the silicon wafer before applying the resist from the weight of the silicon wafer after applying the resist to obtain the applied resist weight,
Furthermore, from the thickness of the resist film and the size of the applied wafer, calculate the volume of the applied resist, multiply the resist density and the resist volume, and calculate the weight of the resist film when there is no residual solvent. The weight of the resist film thus obtained was subtracted from the actually measured weight of the resist to determine the amount of residual solvent.

【0067】このレジストの残留溶媒量は図5,図6に
示すような特性を示している。図5はプリベーク時間を
60秒とした場合のプリベーク温度と残留溶媒量との関
係を示している。また、図6はプリベーク温度を60℃
とした場合のプリベーク温度と残留溶媒量との関係を示
している。図5に示すように、プリベーク温度が高くな
るほど残留溶媒量は少なくなり、約110℃を越える
と、残留溶媒量は一定値に収束していく。また、図6に
示すように、プレベーク時間が長くなるほど残留溶媒量
は少なくなり、約200℃を越えると、残留溶媒量は一
定値に収束していく。
The amount of residual solvent in the resist has characteristics as shown in FIGS. FIG. 5 shows the relationship between the prebake temperature and the residual solvent amount when the prebake time is set to 60 seconds. FIG. 6 shows that the pre-bake temperature is 60 ° C.
4 shows the relationship between the prebake temperature and the amount of residual solvent. As shown in FIG. 5, the residual solvent amount decreases as the pre-bake temperature increases, and when the temperature exceeds about 110 ° C., the residual solvent amount converges to a constant value. Further, as shown in FIG. 6, the longer the pre-bake time, the smaller the residual solvent amount. When the temperature exceeds about 200 ° C., the residual solvent amount converges to a constant value.

【0068】プリベーク工程S2の後、かつ、露光工程
S3の前に、レジスト塗布後のシリコンウェハをホット
プレートによりレジスト溶媒の沸点以上の温度で加熱す
る残留溶媒除去工程S11において、PEGMEAの沸
点(146℃)以上の温度で加熱したが、減圧下であれ
ば、加熱温度は低くても、十分に残留溶媒を減らすこと
ができる。例えば、1Torrの減圧下で90℃,60
秒の条件でも、残留溶媒を0.7重量%にすることが可
能である。
After the pre-bake step S2 and before the exposure step S3, in the residual solvent removing step S11 in which the resist-coated silicon wafer is heated on a hot plate at a temperature equal to or higher than the boiling point of the resist solvent, the boiling point of the PEGMEA (146 (° C.) or more, but under reduced pressure, the residual solvent can be sufficiently reduced even if the heating temperature is low. For example, at 90 ° C. and 60 ° C. under a reduced pressure of 1 Torr.
Even under the condition of seconds, it is possible to reduce the residual solvent to 0.7% by weight.

【0069】上記残留溶媒除去工程S11の処理を行っ
た後、第1実施形態と同様に露光工程S3〜現像工程S
6を進めることで、第1実施形態のレジストパターン形
成方法と同様の線幅制御性が得られる。
After performing the residual solvent removing step S11, the exposure step S3 to the developing step S3 are performed in the same manner as in the first embodiment.
By proceeding with No. 6, line width controllability similar to the resist pattern forming method of the first embodiment can be obtained.

【0070】このように、露光工程S3の前に残留溶媒
除去工程S11によって予めレジスト中の残留溶媒を除
去することによって、レジストの感度を均一化でき、ウ
ェハ面内,ウェハ間の線幅制御性の良好な高密度レジス
トパターンを形成することができる。
As described above, by removing the residual solvent in the resist in advance in the residual solvent removing step S11 before the exposure step S3, the sensitivity of the resist can be made uniform and the line width controllability within the wafer surface and between the wafers can be achieved. And a high-density resist pattern with good quality can be formed.

【0071】(第3実施形態)図3はこの発明の第3実
施形態のレジストパターン形成方法のプロセスフローを
示している。この第3実施形態では、予め200℃で脱
水ベーク後にHMDS(ヘキサメチルジシラザン)蒸気で
温度25℃で表面処理を施したシリコンウェハを用い
る。
(Third Embodiment) FIG. 3 shows a process flow of a method for forming a resist pattern according to a third embodiment of the present invention. In the third embodiment, a silicon wafer which has been subjected to a surface treatment at 25 ° C. with HMDS (hexamethyldisilazane) vapor after dehydration baking at 200 ° C. in advance is used.

【0072】まず、レジスト塗布工程S21において、
上記シリコンウェハ上に、化学増幅系ポジ型レジストT
DUR−P015(東京応化工業株式会社製)を5000
rpmで回転塗布する。
First, in the resist coating step S21,
On the silicon wafer, a chemically amplified positive resist T
DUR-P015 (Tokyo Ohka Kogyo Co., Ltd.) 5000
Spin coating at rpm.

【0073】その後、プリベーク工程S22において、
塗布後ベークを90℃で60秒行い、200nm膜厚の
レジスト膜を形成する。
Thereafter, in a pre-bake step S22,
After the application, baking is performed at 90 ° C. for 60 seconds to form a resist film having a thickness of 200 nm.

【0074】次に、残留溶媒拡散防止膜形成工程S23
で、レジスト膜が形成されたシリコンウェハに、膜厚5
0nmの残留溶媒拡散防止膜用の溶液を回転塗布し、塗
布後ベークを90℃で60秒行って残留溶媒拡散防止膜
を形成する。この残留溶媒拡散防止膜用の溶液は、低沸
点の溶媒にポリマーを溶解した溶液であり、このような
溶液としては、例えば、エチルアルコールにポリビニル
アルコールを溶解した溶液がある。好ましくは、残留溶
媒拡散防止膜に使用するポリマーは、後の現像工程にお
いて、現像液で剥離できるものであればよい。
Next, a residual solvent diffusion preventing film forming step S23
Then, the silicon wafer having the resist film formed thereon has a thickness of 5
A solution for a residual solvent diffusion preventing film of 0 nm is spin-coated, and after the application, baking is performed at 90 ° C. for 60 seconds to form a residual solvent diffusion preventing film. The solution for the residual solvent diffusion preventing film is a solution in which a polymer is dissolved in a solvent having a low boiling point, and for example, a solution in which polyvinyl alcohol is dissolved in ethyl alcohol. Preferably, the polymer used for the residual solvent diffusion preventing film may be any polymer that can be peeled off with a developing solution in the subsequent developing step.

【0075】次に、露光工程S24で、残留溶媒拡散防
止膜が形成されたシリコンウェハを露光チャンバー内
(真空度10-5Torr)に導入して、図4に示す極端紫
外光(13nm)を用いた縮小反射露光システム(開口数
NA:0.1、縮小率1/5)により、マスクを介してシ
リコンウェハ上のレジスト膜に露光量7mJ/cm2
露光を行う。
Next, in the exposure step S24, the silicon wafer on which the residual solvent diffusion preventing film has been formed is placed in the exposure chamber.
(Vacuum degree 10 −5 Torr), and through a mask by a reduced reflection exposure system (numerical aperture NA: 0.1, reduction ratio 1/5) using extreme ultraviolet light (13 nm) shown in FIG. Then, the resist film on the silicon wafer is exposed at an exposure amount of 7 mJ / cm 2 .

【0076】次に、露光後ベーク工程S25において、
露光後のシリコンウェハに露光後ベーク(PEB)を11
0℃で90秒行う。
Next, in the post-exposure bake step S25,
Post-exposure bake (PEB) is applied to the exposed silicon wafer by 11
Perform at 0 ° C. for 90 seconds.

【0077】さらに、現像工程S26において、60秒
間、TMAH(テトラメチルアンモニウムハイドロオキ
サイド)2.38%水溶液NMD−3(東京応化工業株式
会社製)でレジスト膜を現像し、100℃で60秒ポス
トベークを行う。
Further, in a developing step S26, the resist film is developed with a 2.38% aqueous solution of TMAH (tetramethylammonium hydroxide) NMD-3 (manufactured by Tokyo Ohka Kogyo Co., Ltd.) for 60 seconds, and post-processed at 100 ° C. for 60 seconds. Bake.

【0078】この結果、第1実施形態のレジストパター
ン形成方法と同様の線幅制御性が得られる。
As a result, line width controllability similar to that of the resist pattern forming method of the first embodiment can be obtained.

【0079】また、上記露光工程S24の前の残留溶媒
拡散防止膜形成工程S23においてレジスト上に残留溶
媒拡散防止膜を形成することによって、露光中の残留溶
媒および露光による生成物が真空中に拡散して露光シス
テムが汚染されるのを防止することができる。
Further, the residual solvent diffusion preventing film is formed on the resist in the residual solvent diffusion preventing film forming step S23 before the exposure step S24, so that the residual solvent during the exposure and the product by the exposure are diffused in a vacuum. To prevent contamination of the exposure system.

【0080】(第4実施形態)この発明の第4実施形態
のレジストパターン形成方法は、レジストと現像工程を
除いて第1実施形態のレジストパターン形成方法と同一
の工程をしており、図1を援用する。この第4実施形態
のレジストパターン形成方法では、レジストにシリル化
用レジストを用い、シリル化とドライ現像を行う。ま
た、この第4実施形態では、予め200℃で脱水ベーク
後HMDS(ヘキサメチルジシラザン)蒸気で温度25℃
で表面処理を施したシリコンウェハを用いる。
(Fourth Embodiment) A resist pattern forming method according to a fourth embodiment of the present invention has the same steps as the resist pattern forming method according to the first embodiment except for a resist and a developing step. Invite. In the method of forming a resist pattern according to the fourth embodiment, silylation and dry development are performed using a silylation resist. In the fourth embodiment, after a dehydration bake at 200 ° C. in advance, HMDS (hexamethyldisilazane) vapor is used at a temperature of 25 ° C.
Is used.

【0081】まず、レジスト塗布工程S1において、上
記シリコンウェハ上に、シリル化用レジストNTS−4
(住友化学工業株式会社製)を3000rpmで回転塗布
する。このとき、レジストは、シリコンウェハ上に限ら
ず、半導体製造工程で必要である基板上に塗布するもの
である。半導体製造工程で必要とされる基板上として
は、例えば、シリコン酸化膜上、シリコン窒化膜上、窒
化チタン膜上、アルミニウム膜上、銅膜上等がある。
First, in a resist coating step S1, a silylation resist NTS-4 is formed on the silicon wafer.
(Sumitomo Chemical Co., Ltd.) is spin-coated at 3000 rpm. At this time, the resist is applied not only on the silicon wafer but also on a substrate required in a semiconductor manufacturing process. The substrate required in the semiconductor manufacturing process includes, for example, a silicon oxide film, a silicon nitride film, a titanium nitride film, an aluminum film, and a copper film.

【0082】その後、プリベーク(塗布後ベーク)工程S
2において、レジストが塗布されたシリコンウェハを9
0℃で60秒プリベークを行い、400nm膜厚のレジ
スト膜を形成する。プリベークは、80℃から130℃
位でも可能である。また、形成されるレジスト膜厚につ
いても、200nmから2000nmまで可能であり、
次工程のエッチングや注入等に耐え得るものであればよ
い。
Thereafter, a pre-bake (bake after coating) step S
In step 2, the resist-coated silicon wafer was
Pre-baking is performed at 0 ° C. for 60 seconds to form a resist film having a thickness of 400 nm. Pre-bake, 80 ° C to 130 ° C
It is also possible in the order. Also, the thickness of the formed resist can be from 200 nm to 2000 nm,
Any material can be used as long as it can withstand the etching and implantation in the next step.

【0083】次に、露光工程S3において、レジスト膜
が形成されたシリコンウェハを露光チャンバー内(真空
度10-5Torr)に導入して、図4に示す極端紫外光
(13nm)を用いた縮小反射露光システム(開口数N
A:0.1、縮小率1/5)により、マスクを介してシリ
コンウェハ上のレジスト膜に露光量5mJ/cm2で露
光を行う。このときの露光量は、レジストの種類,パタ
ーン形状に応じて適宜調整する。
Next, in the exposure step S3, the silicon wafer on which the resist film has been formed is introduced into an exposure chamber (degree of vacuum: 10 −5 Torr), and the extreme ultraviolet light shown in FIG.
(13 nm) reduced reflection exposure system (numerical aperture N
A: A resist film on a silicon wafer is exposed through a mask at an exposure amount of 5 mJ / cm 2 at a rate of 0.1 and a reduction ratio of 1/5). The exposure at this time is appropriately adjusted according to the type of resist and the pattern shape.

【0084】その後、拡散促進化工程S4において、レ
ジスト溶媒であるPEGMEA(プロピレングリコール
モノメチルエーテルアセテート)蒸気を飽和させた雰囲
気中において、ホットプレート上にシリコンウェハを保
持して、25℃で60秒、溶媒蒸気処理を行う。ここで
は、PEGMEA蒸気で処理を行ったが、露光によって
生成した反応物を拡散させるのに効果がある有機溶剤蒸
気で処理を行っても同様の効果が得られる。例えば、有
機溶剤としては、メチルアルコール、エチルアルコー
ル、n−プロピルアルコール、イソプロピルアルコー
ル、アセトン、N−メチルピロリドン、エチルセルソル
ブアセテート、乳酸エチル、酢酸エチル、酢酸メチル、
酢酸ブチル等の溶剤から選んでもよいし、これらの混合
物でもよい。
Thereafter, in the diffusion promoting step S4, the silicon wafer is held on a hot plate in an atmosphere saturated with PEGMEA (propylene glycol monomethyl ether acetate) vapor as a resist solvent at 25 ° C. for 60 seconds. Perform solvent vapor treatment. Here, the processing is performed with the pegmea vapor, but the same effect can be obtained by performing the processing with the organic solvent vapor that is effective for diffusing the reactant generated by the exposure. For example, as the organic solvent, methyl alcohol, ethyl alcohol, n-propyl alcohol, isopropyl alcohol, acetone, N-methylpyrrolidone, ethyl cellosolve acetate, ethyl lactate, ethyl acetate, methyl acetate,
It may be selected from solvents such as butyl acetate or a mixture thereof.

【0085】次に、露光後ベーク工程S5において、上
記処理後のシリコンウェハに露光後ベーク(PEB)を1
10℃で90秒行う。このとき、露光後ベークも100
〜140℃位は可能であるが、それに伴って露光量も大
きく左右される。
Next, in a post-exposure bake step S5, a post-exposure bake (PEB) is applied to the silicon wafer after the above-described processing by one step.
Perform at 10 ° C. for 90 seconds. At this time, the bake after exposure is 100
A temperature of about 140 ° C. is possible, but the amount of exposure greatly depends on it.

【0086】さらに、シリル化工程(図示せず)におい
て、シリル化剤としてジメチルアミノジメチルシラン
(DMADMS)を用いシリル化を行う。このシリル化
は、ラム・リサーチ社製のシリル化チャンバー内で行
い、そのシリル化条件は、シリル化剤の圧力30Tor
r,チャンバー温度80℃、時間60秒である。2μm
平方の面積で膜厚100nmのシリル化層を形成する。
このときのシリル化層の膜厚は、レジストの種類,シリ
ル化条件に大きく依存する。また、形成されるシリル化
層の膜厚は、50〜150nmが最適であり、シリル化
条件によって、膜厚は任意に形成できる。なお、この第
4実施形態では、シリル化剤としてジメチルアミノジメ
チルシラン(DMADMS)を用いたが、他にジエチルア
ミノジメチルシランやジメチルアミノトリメチルシラン
等も同様に使用できる。
In the silylation step (not shown), dimethylaminodimethylsilane is used as a silylating agent.
The silylation is performed using (DMADMS). This silylation is performed in a silylation chamber manufactured by Lam Research Co., Ltd., and the silylation condition is a pressure of 30 Torr of the silylating agent.
r, chamber temperature 80 ° C., time 60 seconds. 2 μm
A silylation layer having a square area and a thickness of 100 nm is formed.
The thickness of the silylation layer at this time largely depends on the type of the resist and the silylation conditions. The thickness of the formed silylated layer is optimally 50 to 150 nm, and the thickness can be arbitrarily determined depending on the silylation conditions. In the fourth embodiment, dimethylaminodimethylsilane (DMADMS) is used as a silylating agent. However, diethylaminodimethylsilane, dimethylaminotrimethylsilane, and the like can be used similarly.

【0087】次に、ドライ現像工程(図示せず)におい
て、TCP(トランスファー・カップルド・プラズマ(誘
導結合型プラズマ))処理装置TCP9400(ラム・リ
サーチ社製)を使って、レジスト膜をドライ現像し、レ
ジストパターンを形成する。このときのドライ現像条件
は、 TCPパワー : 500W 基板バイアスパワー : 100W 圧力 : 5mTorr ガス流量 : 酸素ガス130sccm 二酸化硫黄 : 30sccm オーバエッチング量 : 50% (終点検出器を使用) で行う。
Next, in a dry development step (not shown), the resist film is dry-developed using a TCP (Transfer Coupled Plasma (Inductively Coupled Plasma)) processing apparatus TCP9400 (manufactured by Lam Research). Then, a resist pattern is formed. Dry development conditions at this time are as follows: TCP power: 500 W Substrate bias power: 100 W Pressure: 5 mTorr Gas flow rate: Oxygen gas 130 sccm Sulfur dioxide: 30 sccm Overetching amount: 50% (using an end point detector).

【0088】この第4実施形態のレジストパターン形成
方法により形成されたレジストパターンについて、測長
SEM(走査型電子顕微鏡)を用いて、ウェハ面内の全面
で25点の線幅を測定すると共に、50枚のウェハから
ランダムに10枚を抜き取って、夫々の線幅を測定した
結果、 線幅0.1μmのウェハ面内のばらつき : 線幅の±5%以下 線幅0.1μmのウェハ間のばらつき : 線幅の±5%以下 線幅0.2μmのウェハ面内のばらつき : 線幅の±3%以下 線幅0.2μmのウェハ間のばらつき : 線幅の±3%以下 コンタクトホール径0.15μmのウェハ面内のばらつき: 径の±5%以下 コンタクトホール径0.15μmのウェハ間のばらつき : 径の±5%以下 となった。
With respect to the resist pattern formed by the method of forming a resist pattern according to the fourth embodiment, the line width of 25 points is measured over the entire surface of the wafer using a length measuring SEM (scanning electron microscope). As a result of randomly extracting 10 wafers from 50 wafers and measuring their respective line widths, the variation in the wafer surface with a line width of 0.1 μm: ± 5% or less of the line width between wafers with a line width of 0.1 μm Dispersion: ± 5% or less of line width In-plane variation of wafer with a line width of 0.2 μm: ± 3% or less of line width Variation between wafers with a line width of 0.2 μm: ± 3% or less of line width Contact hole diameter 0 .15 μm in-wafer variation: ± 5% or less of diameter Diameter of contact hole diameter of 0.15 μm between wafers: ± 5% or less of diameter

【0089】これに対して、拡散促進化工程を行わずに
その他の工程は第1実施形態と全く同じで工程を進めて
パターン形成を行った結果、 線幅0.1μmのウェハ面内のばらつき : 線幅の±15% 線幅0.1μmのウェハ間のばらつき : 線幅の±15% 線幅0.2μmのウェハ面内のばらつき : 線幅の±10% 線幅0.2μmのウェハ間のばらつき : 線幅の±10% コンタクトホール径0.15μmのウェハ面内のばらつき: 径の±15% コンタクトホール径0.15μmのウェハ間のばらつき : 径の±15% であった。
On the other hand, the other steps were performed in exactly the same manner as in the first embodiment without performing the diffusion promoting step, and the pattern formation was performed. As a result, the variation in the wafer width of the line width of 0.1 μm was observed. : ± 15% of line width Variation between wafers with a line width of 0.1 μm: ± 15% of line width Variation in wafer plane of a line width of 0.2 μm: ± 10% of line width Between wafers with a line width of 0.2 μm Dispersion: ± 10% of line width Dispersion in wafer surface of contact hole diameter 0.15 μm: ± 15% of diameter Dispersion between wafers with contact hole diameter 0.15 μm: ± 15% of diameter

【0090】このように、シリコンウェハ内の線幅のば
らつきを抑制することができる。
As described above, the variation in the line width in the silicon wafer can be suppressed.

【0091】また、上記露光後ベーク工程S5後かつ現
像工程前にシリル化工程によって、レジスト膜の感光し
た領域をシリル化し、他の領域をドライ現像により除去
することによって、線幅制御性の良好なレジストパター
ンを得ることができる。
Also, after the post-exposure bake step S5 and before the development step, the exposed area of the resist film is silylated by a silylation step, and the other areas are removed by dry development, so that the line width controllability is improved. A resist pattern can be obtained.

【0092】(第5実施形態)この発明の第5実施形態
のレジストパターン形成方法は、レジストと残留溶媒拡
散防止膜を除去する工程および現像工程を除いて第3実
施形態のレジストパターン形成方法と同一の工程をして
おり、図3を援用する。この第4実施形態のレジストパ
ターン形成方法では、レジストにシリル化用レジストを
用い、残留溶媒拡散防止膜を除去した後、シリル化およ
びドライ現像を行う。また、この第5実施形態では、予
め200℃で脱水ベーク後にHMDS(ヘキサメチルジ
シラザン)蒸気で温度25℃で表面処理を施したシリコ
ンウェハを用いる。
(Fifth Embodiment) A resist pattern forming method according to a fifth embodiment of the present invention is different from the resist pattern forming method according to the third embodiment except for the step of removing the resist and the residual solvent diffusion preventing film and the developing step. The same process is performed, and FIG. 3 is referred. In the method of forming a resist pattern according to the fourth embodiment, a silylation resist is used as a resist, and after the residual solvent diffusion preventing film is removed, silylation and dry development are performed. In the fifth embodiment, a silicon wafer that has been subjected to a surface treatment at 25 ° C. with HMDS (hexamethyldisilazane) vapor after dehydration baking at 200 ° C. in advance is used.

【0093】まず、レジスト塗布工程S21において、
上記シリコンウェハ上に、シリル化用レジストNTS−
4(住友化学工業株式会社製)を3000rpmで回転塗
布する。
First, in the resist coating step S21,
On the silicon wafer, a silylation resist NTS-
4 (manufactured by Sumitomo Chemical Co., Ltd.) is spin-coated at 3000 rpm.

【0094】その後、プリベーク(塗布後ベーク)工程S
22において、レジストが塗布されたシリコンウェハに
90℃で60秒プリベークを行い、400nm膜厚のレ
ジスト膜を形成する。
Thereafter, a pre-bake (bake after coating) step S
At 22, the silicon wafer coated with the resist is prebaked at 90 ° C. for 60 seconds to form a resist film having a thickness of 400 nm.

【0095】次に、残留溶媒拡散防止膜形成工程S23
で、レジストが形成されたシリコンウェハに、膜厚50
nmの残留溶媒拡散防止膜用の溶液を回転塗布し、塗布
後ベークを90℃で60秒行い、残留溶媒拡散防止膜を
形成する。この残留溶媒拡散防止膜用の溶液は、低沸点
の溶媒にポリマーを溶解した溶液であり、このような溶
液としては、例えば、エチルアルコールにポリビニルア
ルコールを溶解した溶液がある。好ましくは、残留溶媒
拡散防止膜に使用するポリマーは、後の現像工程におい
て、現像液で剥離できるものであればよい。また、残留
溶媒拡散防止膜の膜厚は、10nm〜200nmが適用
可能である。
Next, a residual solvent diffusion preventing film forming step S23
Then, on the silicon wafer on which the resist is formed, a film thickness of 50
A solution for a residual solvent diffusion preventing film having a thickness of nm is spin-coated, and after the application, baking is performed at 90 ° C. for 60 seconds to form a residual solvent diffusion preventing film. The solution for the residual solvent diffusion preventing film is a solution in which a polymer is dissolved in a solvent having a low boiling point, and for example, a solution in which polyvinyl alcohol is dissolved in ethyl alcohol. Preferably, the polymer used for the residual solvent diffusion preventing film may be any polymer that can be peeled off with a developing solution in the subsequent developing step. Further, the thickness of the residual solvent diffusion preventing film can be applied in the range of 10 nm to 200 nm.

【0096】次に、露光工程S24において、残留溶媒
拡散防止膜が形成されたシリコンウェハを露光チャンバ
ー内(真空度10-5Torr)に導入して、図4に示す極
端紫外光(13nm)を用いた縮小反射露光システム(開
口数NA:0.1、縮小率1/5)により、マスクを介し
てシリコンウェハ上のレジスト膜に露光量7mJ/cm
2で露光を行う。
Next, in the exposure step S24, the silicon wafer on which the residual solvent diffusion preventing film is formed is introduced into the exposure chamber (vacuum degree: 10 −5 Torr), and the extreme ultraviolet light (13 nm) shown in FIG. Using the reduced reflection exposure system (numerical aperture NA: 0.1, reduction ratio 1/5), the resist film on the silicon wafer was exposed through a mask to an exposure amount of 7 mJ / cm.
2. Exposure is performed.

【0097】次に、露光後ベーク工程S25において、
露光後のシリコンウェハに露光後ベーク(PEB)を11
0℃で90秒行う。
Next, in the post-exposure bake step S25,
Post-exposure bake (PEB) is applied to the exposed silicon wafer by 11
Perform at 0 ° C. for 90 seconds.

【0098】次に、残留溶媒拡散防止膜を除去する工程
(図示せず)において、露光後のシリコンウェハを、純水
を用いて残留溶媒拡散防止膜を剥離して除去する。この
剥離処理は、24℃で60秒間、シリコンウェハに純水
をかけながら500rpmで回転させて、その後、30
00rpmで純水をかけずに回転させて、シリコンウェ
ハを乾燥させる。
Next, a step of removing the residual solvent diffusion preventing film
(Not shown), the exposed silicon wafer is removed by removing the residual solvent diffusion preventing film using pure water. This peeling treatment is performed by rotating the silicon wafer at 500 rpm at 24 ° C. for 60 seconds while applying pure water to the silicon wafer.
The silicon wafer is rotated at 00 rpm without applying pure water to dry the silicon wafer.

【0099】さらに、第4実施形態と同様のシリル化工
程とドライ現像工程を行ってレジストパターンを得る。
Further, the same silylation step and dry development step as in the fourth embodiment are performed to obtain a resist pattern.

【0100】この結果、第4実施形態のレジストパター
ン形成方法と同様の線幅制御性が得られる。
As a result, line width controllability similar to that of the resist pattern forming method of the fourth embodiment can be obtained.

【0101】上記露光後ベーク工程S25の後に拡散防
止膜除去工程において拡散防止膜を除去し、その後、現
像工程前にシリル化工程によって、レジスト膜の感光し
た領域をシリル化し、他の領域をドライ現像により除去
することによって、線幅制御性の良好なレジストパター
ンを得ることができる。
After the post-exposure bake step S25, the diffusion preventing film is removed in a diffusion preventing film removing step, and thereafter, the exposed area of the resist film is silylated by a silylation step before the developing step, and the other areas are dried. By removing the resist pattern by development, a resist pattern having good line width controllability can be obtained.

【0102】(第6実施形態)この発明の第6実施形態
のレジストパターン形成方法では、第1実施形態に示す
プロセスフローにおいて、露光後のPEGMEA蒸気処
理の代わりに、純水処理を行うものである。この純水処
理は、24℃で60秒間、シリコンウェハに純水をかけ
ながら500rpmで回転させて行う。その後、露光後
ベークを行い、レジスト膜を現像して、レジストパター
ンを形成する。純水を用いた場合は、通常の現像工程に
おいて、純水を使っているので、容易に装置を使える。
(Sixth Embodiment) In a method of forming a resist pattern according to a sixth embodiment of the present invention, in the process flow shown in the first embodiment, pure water treatment is performed instead of the pegmea vapor treatment after exposure. is there. This pure water treatment is performed by rotating the silicon wafer at 500 rpm for 60 seconds at 24 ° C. while applying pure water to the silicon wafer. Thereafter, a post-exposure bake is performed, and the resist film is developed to form a resist pattern. When pure water is used, the apparatus can be easily used since pure water is used in a normal developing process.

【0103】上記純水処理の代わりに、水蒸気処理を行
っても、同様の効果を得られる。この水蒸気処理は、1
00℃でチャンバー内に水蒸気を入れて、そこにシリコ
ンウェハを60秒間保持することで行う。
The same effect can be obtained by performing steam treatment instead of the pure water treatment. This steam treatment is
Water vapor is put into the chamber at 00 ° C., and the silicon wafer is held therein for 60 seconds.

【0104】以下、第1実施形態のレジストパターン形
成方法と同様に露光後の工程を進めることで、第1実施
形態と同様の線幅の制御性を得ることができる。
Thereafter, by performing the post-exposure steps in the same manner as in the resist pattern forming method of the first embodiment, it is possible to obtain the same line width controllability as in the first embodiment.

【0105】このように、上記拡散促進化工程において
基板を水洗することによって、レジスト中に水を浸透さ
せて、露光による生成物の拡散を容易に促進することが
でき、また、基板を水蒸気雰囲気下で加熱することによ
って、レジスト中に水を浸透させて、露光による生成物
の拡散を容易に促進することができる。
As described above, by washing the substrate with water in the above-mentioned diffusion promoting step, water can be permeated into the resist, and the diffusion of the product by exposure can be easily promoted. Heating underneath allows water to penetrate into the resist and facilitates the diffusion of the product by exposure.

【0106】(第7実施形態)この発明の第7実施形態
は、第1〜第6実施形態のうちのいずれか1つのレジス
トパターン形成方法を用いたデバイス(半導体装置)であ
り、特に、デバイス特性上重要なゲート加工について以
下に説明する。
(Seventh Embodiment) A seventh embodiment of the present invention relates to a device (semiconductor device) using any one of the resist pattern forming methods of the first to sixth embodiments. The gate processing which is important in characteristics will be described below.

【0107】まず、素子分離工程後のシリコン基板を
1.5nm酸化して、シリコン基板上にゲート酸化膜を
形成する。その上に、ポリシリコン膜をCVD法で20
0nm堆積する。
First, the silicon substrate after the element isolation step is oxidized by 1.5 nm to form a gate oxide film on the silicon substrate. On top of this, a polysilicon film is formed by CVD method.
Deposit 0 nm.

【0108】次に、第1〜第6実施形態のうちのいずれ
か1つのレジストパターン形成方法で、ポリシリコン膜
上にレジストパターンを形成する。そうすることによっ
て、200nmのレジスト膜厚で、線幅100nmにお
いてシリコンウェハ面内の線幅ばらつきを±5%以下に
抑制することができた。
Next, a resist pattern is formed on the polysilicon film by any one of the resist pattern forming methods of the first to sixth embodiments. By doing so, with a resist film thickness of 200 nm, the line width variation in the silicon wafer surface at a line width of 100 nm could be suppressed to ± 5% or less.

【0109】次に、このレジストパターンをマスクに下
地のポリシリコンをドライエッチングして、マスク通り
にポリシリコンゲートを加工する。そのときの線幅ばら
つきは、線幅100nmでシリコンウェハ面内で±5%
以下に抑制することができた。また、レジストパターン
は、極端紫外光(13nm)を用いた縮小反射露光システ
ム(開口数NA:0.1、縮小率1/5)により、60n
mまで加工可能である。さらに、この縮小反射露光シス
テムの開口数NAを0.2に上げることで、線幅30n
mまで加工可能である。
Next, the underlying polysilicon is dry-etched using the resist pattern as a mask, and the polysilicon gate is processed according to the mask. The line width variation at that time is ± 5% within the silicon wafer surface at a line width of 100 nm.
The following could be suppressed. Also, the resist pattern is 60 n by a reduced reflection exposure system (numerical aperture NA: 0.1, reduction ratio 1/5) using extreme ultraviolet light (13 nm).
m. Further, by increasing the numerical aperture NA of this reduced reflection exposure system to 0.2, the line width 30n
m.

【0110】上記レジストパターン形成方法により製造
したデバイスは、100nm以下のゲート長であり、さ
らに、ゲート長のばらつきを5%以下に抑えることで、
個々のデバイスの特性ばらつきを抑制でき、1000M
Hzの周波数で高速動作することが可能であると共に、
電源電圧を0.5Vにすることが可能であり、消費電力
を大幅に下げることができる。このようなデバイスは、
高速動作,低消費電力が必要な携帯電話等の携帯情報端
末に適用することができる。
The device manufactured by the above-described method for forming a resist pattern has a gate length of 100 nm or less, and furthermore, by suppressing the variation of the gate length to 5% or less,
Variation in characteristics of individual devices can be suppressed, and 1000M
It is possible to operate at high speed at the frequency of Hz,
The power supply voltage can be set to 0.5 V, and the power consumption can be significantly reduced. Such devices are:
The present invention can be applied to a portable information terminal such as a mobile phone that requires high-speed operation and low power consumption.

【0111】(第8実施形態)この発明の第8実施形態
は、第1〜第6実施形態のうちのいずれか1つのレジス
トパターン形成方法を用いたデバイスであり、特に、デ
バイス特性上重要なコンタクトホール加工およびスルー
ホール加工について説明する。
(Eighth Embodiment) An eighth embodiment of the present invention is a device using any one of the resist pattern forming methods of the first to sixth embodiments, and is particularly important in device characteristics. The contact hole processing and the through hole processing will be described.

【0112】まず、ゲート加工後のシリコン基板上で、
層間絶縁膜を500nm堆積する。この層間絶縁膜とし
ては、BPSG(ホウ素・リン・シリケート・ガラス)、
フッ素ドープシリコン酸化膜等のシリコン酸化膜、ポリ
イミド等の有機膜がある。
First, on the silicon substrate after the gate processing,
A 500 nm interlayer insulating film is deposited. As this interlayer insulating film, BPSG (boron, phosphorus, silicate, glass),
There are a silicon oxide film such as a fluorine-doped silicon oxide film and an organic film such as polyimide.

【0113】次に、第1〜第6実施形態のうちのいずれ
か1つレジストパターン形成方法で、層間絶縁膜上にレ
ジストパターンを形成する。そうすることによって、コ
ンタクトホール加工用のレジストパターンの径が100
nmの場合で、ホール径のばらつきを5%以下に抑える
ことができた。
Next, a resist pattern is formed on the interlayer insulating film by any one of the first to sixth embodiments. By doing so, the diameter of the resist pattern for processing the contact hole becomes 100
In the case of nm, the variation of the hole diameter could be suppressed to 5% or less.

【0114】次に、上記コンタクトホール加工用のレジ
ストパターンをマスクにして、ドライエッチングにより
層間絶縁膜を加工し、100nmのホールを形成し、さ
らに、そのホールに銅を埋め込んで、さらにその上に配
線加工用のレジストパターンを第1〜第6実施形態のう
ちのいずれか1つのレジストパターン形成方法で形成す
る。その線幅は100nmで、ばらつきは5%以下であ
る。上記配線加工用のレジストパターンをマスクに下地
の銅を加工する。さらに、層間絶縁膜を堆積して、以下
同様に繰り返すことで、多層配線を形成することが可能
となる。
Next, using the resist pattern for processing the contact hole as a mask, the interlayer insulating film is processed by dry etching to form a 100-nm hole, and furthermore, copper is buried in the hole, and furthermore, A resist pattern for wiring processing is formed by any one of the first to sixth embodiments. The line width is 100 nm, and the variation is 5% or less. The underlying copper is processed using the resist pattern for wiring processing as a mask. Furthermore, a multilayer wiring can be formed by depositing an interlayer insulating film and repeating the same process as described below.

【0115】上記レジストパターン形成方法により製造
したデバイスは、多層配線が10層以上可能で、その配
線抵抗のばらつきを5%以下に抑制できる。また、個々
のデバイスの特性ばらつきを抑制でき、1000MHz
の周波数で高速動作することが可能であると共に、その
電源電圧を0.5Vにすることが可能であり、消費電力
を大幅に下げることができる。このようなデバイスは、
高速動作,低消費電力が必要な携帯電話等の携帯情報端
末に適用することができる。
The device manufactured by the above-described method for forming a resist pattern can have 10 or more multilayer wirings, and the variation in wiring resistance can be suppressed to 5% or less. In addition, variations in characteristics of individual devices can be suppressed, and 1000 MHz
, And the power supply voltage can be set to 0.5 V, and the power consumption can be greatly reduced. Such devices are:
The present invention can be applied to a portable information terminal such as a mobile phone that requires high-speed operation and low power consumption.

【0116】上記第1〜第8実施形態では、露光法とし
て極端紫外光(波長13nm)露光法を用いたレジストパ
ターン形成方法について説明したが、 (1) 電子線を用いた露光方法(例えば、SCALPEL
(Scattering AgularLimited Projection Electron-bea
m Lithography)法、ブランキングアパーチャアレイ(Bla
nking Aperture Array)法、部分一括露光法、可変成形
露光法、ポイントビーム露光方法) (2) イオンプロジェクションリソグラフィ等の真空中
でイオン線を用いた露光方法 (3) F2エキシマレーザー(157nm)、ArFエキシ
マレーザー(193nm)、KrFエキシマレーザー(2
48nm)、Arダイマーエキシマレーザ光(121n
m)の波長を用いた乾燥雰囲気下の露光方法 等でも同じように適用できる。また、極端紫外光は3〜
15nmでもよく、X線を用いてもよい。ここで、真空
中の露光方法では、その真空度が10-4Torr以下の
真空であるとより効果的であり、10-4Torrを越え
る場合は、光や電子線が減衰しすぎて有効な露光ができ
なくなる。また、乾燥雰囲気下の露光方法では、不活性
ガスでパージしながら露光するのが、線源からの光(ま
たは放射線および電子線など)の減衰を抑えるのに有効
的であり、その不活性ガスとして窒素,He等の不活性ガ
スを使用する。
In the first to eighth embodiments, the resist pattern forming method using the extreme ultraviolet light (wavelength 13 nm) exposure method as the exposure method has been described. (1) The exposure method using an electron beam (for example, SCALPEL
(Scattering Agular Limited Projection Electron-bea
m Lithography) method, blanking aperture array (Bla
(nking Aperture Array) method, partial batch exposure method, variable shaping exposure method, point beam exposure method) (2) Exposure method using ion beam in vacuum such as ion projection lithography (3) F 2 excimer laser (157 nm), ArF excimer laser (193 nm), KrF excimer laser (2
48 nm), Ar dimer excimer laser light (121 n
The same applies to an exposure method under a dry atmosphere using the wavelength of m). Also, extreme ultraviolet light is 3 ~
It may be 15 nm, or X-rays may be used. Here, in the exposure method in a vacuum, it is more effective if the degree of vacuum is a vacuum of 10 -4 Torr or less, and if it exceeds 10 -4 Torr, light and electron beams are excessively attenuated, which is effective. Exposure becomes impossible. In the exposure method under a dry atmosphere, performing exposure while purging with an inert gas is effective for suppressing attenuation of light (or radiation and an electron beam, etc.) from a radiation source. , An inert gas such as nitrogen or He is used.

【0117】また、上記第1〜第8実施形態では、ポジ
型化学増幅レジストを用いていたが、露光後にベークを
行う必要のあるレジスト(ネガ型化学増幅レジスト、表
層イメージング用レジスト、ジアゾナフトキノン/ノボ
ラック系レジスト等)であれば同様の効果がある。
In the first to eighth embodiments, the positive type chemically amplified resist is used. However, a resist that needs to be baked after exposure (a negative type chemically amplified resist, a surface imaging resist, diazonaphthoquinone / A similar effect can be obtained with a novolak-based resist.

【0118】[0118]

【発明の効果】以上より明らかなように、この発明のレ
ジストパターン形成方法によれば、真空中または乾燥雰
囲気下で露光によりレジストパターンを形成するとき、
シリコンウェハ面内,シリコンウェハ間の線幅制御性の
良好なレジストパターンを形成することができる。
As is clear from the above, according to the method for forming a resist pattern of the present invention, when forming a resist pattern by exposure in a vacuum or under a dry atmosphere,
It is possible to form a resist pattern having good line width controllability within the silicon wafer surface and between the silicon wafers.

【0119】また、この発明の半導体製造装置によれ
ば、上記レジストパターン形成方法の拡散促進化工程に
おいて、基板が保持されたウェハ保持チャンバー内に、
不活性ガスをキャリアガスとして用いてレジストの溶媒
を導入するので、簡単な構成でウェハ保持チャンバー内
にレジストの溶媒を容易に導入することができる。
Further, according to the semiconductor manufacturing apparatus of the present invention, in the diffusion promoting step of the resist pattern forming method, the wafer is held in the wafer holding chamber holding the substrate.
Since the resist solvent is introduced using an inert gas as a carrier gas, the resist solvent can be easily introduced into the wafer holding chamber with a simple configuration.

【0120】また、真空状態のウェハ保持チャンバー内
に、レジストの溶媒をその溶媒の蒸気圧で導入した後、
上記ウェハ保持チャンバー内の圧力を調整することによ
って、溶媒の導入量を調整でき、拡散促進化を高精度に
処理可能な半導体製造装置を実現することができる。
After introducing the solvent of the resist into the wafer holding chamber in a vacuum state by the vapor pressure of the solvent,
By adjusting the pressure in the wafer holding chamber, the introduction amount of the solvent can be adjusted, and a semiconductor manufacturing apparatus that can process diffusion promotion with high accuracy can be realized.

【0121】また、この発明の半導体装置によれば、上
記レジストパターン形成方法を用いて製造することによ
って、多層配線が10層以上可能で、その配線抵抗のば
らつきを大幅に抑制できると共に、デバイスの特性ばら
つきを抑制でき、1000MHzの周波数で高速動作で
動作可能となると共に、電源電圧0.5Vで動作可能と
なり、消費電力を大幅に下げることができる。
Further, according to the semiconductor device of the present invention, by manufacturing using the above-described method for forming a resist pattern, ten or more multi-layer wirings can be formed, and the variation in the wiring resistance can be greatly suppressed. Characteristic variations can be suppressed, high-speed operation can be performed at a frequency of 1000 MHz, and operation can be performed at a power supply voltage of 0.5 V, so that power consumption can be significantly reduced.

【0122】また、この発明の携帯情報端末は、上記半
導体装置を用いることによって、高速動作で低消費電力
の携帯情報端末(携帯電話等)を実現することができる。
Further, the portable information terminal of the present invention can realize a portable information terminal (such as a portable telephone) which operates at high speed and consumes low power by using the semiconductor device.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 図1はこの発明の第1実施形態のレジストパ
ターン形成方法のプロセスフローである。
FIG. 1 is a process flow of a method for forming a resist pattern according to a first embodiment of the present invention.

【図2】 図2はこの発明の第2実施形態のレジストパ
ターン形成方法のプロセスフローである。
FIG. 2 is a process flow of a method for forming a resist pattern according to a second embodiment of the present invention.

【図3】 図3はこの発明の第3実施形態のレジストパ
ターン形成方法のプロセスフローである。
FIG. 3 is a process flow of a method for forming a resist pattern according to a third embodiment of the present invention.

【図4】 図4は極端紫外光を用いた縮小反射露光シス
テム概略図である。
FIG. 4 is a schematic diagram of a reduced reflection exposure system using extreme ultraviolet light.

【図5】 図5はレジスト中の残留溶媒量とプリベーク
温度の関係を示す図である。
FIG. 5 is a diagram showing a relationship between a residual solvent amount in a resist and a pre-bake temperature.

【図6】 図6はレジスト中の残留溶媒量とプリベーク
時間の関係を示す図である。
FIG. 6 is a diagram showing a relationship between a residual solvent amount in a resist and a pre-bake time.

【図7】 図7は真空中の放置時間と感度の関係を示す
図である。
FIG. 7 is a diagram showing a relationship between a standing time in a vacuum and sensitivity.

【図8】 図8は真空度と感度の関係を示す図である。FIG. 8 is a diagram showing the relationship between the degree of vacuum and the sensitivity.

【図9】 図9は溶媒拡散装置の概略構成図である。FIG. 9 is a schematic configuration diagram of a solvent diffusion device.

【図10】 図10は他の溶媒拡散装置の概略構成図で
ある。
FIG. 10 is a schematic configuration diagram of another solvent diffusion device.

【符号の説明】[Explanation of symbols]

1,101,201…シリコンウェハ、 2…マスク、 3…第1ミラー、 4…第2ミラー、 5…第3ミラー、 6…EUV(極端紫外)光、 7…真空チャンバー、 8,108,208…ウェハ支持ピン、 9,109,209…ホットプレート、 110,210…分散板、 111,211…チャンバー、 112,212…気化溶媒導入口、 113,213…PEGMEA、 114…キャリアガス導入口、 115,215…液面、 216A,216B…バルブ、 217…圧力計。 1, 101, 201: silicon wafer, 2: mask, 3: first mirror, 4: second mirror, 5: third mirror, 6: EUV (extreme ultraviolet) light, 7: vacuum chamber, 8, 108, 208 ... Wafer support pins, 9,109,209 ... Hot plate, 110,210 ... Dispersion plate, 111,211 ... Chamber, 112,212 ... Vaporized solvent inlet, 113,213 ... PEGMEA, 114 ... Carrier gas inlet, 115 , 215: liquid level, 216A, 216B: valve, 217: pressure gauge.

Claims (19)

【特許請求の範囲】[Claims] 【請求項1】 基板上にレジストを塗布するレジスト塗
布工程と、 上記レジスト塗布工程後に上記基板をプリベークするこ
とによりレジスト膜を形成するプリベーク工程と、 上記プリベーク工程後に上記レジスト膜を露光する露光
工程と、 上記露光工程後に上記レジスト膜中の露光による生成物
の拡散を促進する拡散促進化工程と、 上記拡散促進化工程後に上記基板をベークする露光後ベ
ーク工程と、 上記露光後ベーク工程後に上記レジスト膜を現像する現
像工程とを有することを特徴とするレジストパターン形
成方法。
A resist coating step of applying a resist on a substrate; a prebaking step of forming a resist film by prebaking the substrate after the resist coating step; and an exposing step of exposing the resist film after the prebaking step A diffusion promoting step of promoting diffusion of a product by exposure in the resist film after the exposure step; a post-exposure baking step of baking the substrate after the diffusion promoting step; and And a developing step of developing the resist film.
【請求項2】 請求項1に記載のレジストパターン形成
方法において、 上記プリベーク工程後に上記レジスト中の残留溶媒を除
去する残留溶媒除去工程を有することを特徴とするレジ
ストパターン形成方法。
2. The method for forming a resist pattern according to claim 1, further comprising a residual solvent removing step of removing a residual solvent in the resist after the pre-baking step.
【請求項3】 基板上にレジストを塗布するレジスト塗
布工程と、 上記レジスト塗布工程後に上記基板をプリベークするこ
とによりレジスト膜を形成するプリベーク工程と、 上記プリベーク工程後に上記レジスト膜の上部にレジス
ト中の残留溶媒の拡散を防止する拡散防止膜を形成する
拡散防止膜形成工程と、 上記拡散防止膜形成工程後に上記レジスト膜を露光する
露光工程と、 上記露光工程後に上記基板をベークする露光後ベーク工
程と、 上記露光後ベーク工程後に上記レジスト膜を現像する現
像工程とを有することを特徴とするレジストパターン形
成方法。
A resist coating step of applying a resist on the substrate; a prebaking step of forming a resist film by prebaking the substrate after the resist coating step; and a resist coating on the resist film after the prebaking step. An anti-diffusion film forming step of forming an anti-diffusion film for preventing the diffusion of the residual solvent, an exposure step of exposing the resist film after the anti-diffusion film formation step, and a post-exposure bake of baking the substrate after the exposure step And a developing step of developing the resist film after the post-exposure bake step.
【請求項4】 請求項1または2に記載のレジストパタ
ーン形成方法において、 上記露光後ベーク工程後かつ上記現像工程前に上記レジ
スト膜をシリル化するシリル化工程を有し、 上記現像工程において上記レジスト膜をドライ現像する
ことを特徴とするレジストパターン形成方法。
4. The resist pattern forming method according to claim 1, further comprising a silylation step of silylating the resist film after the post-exposure bake step and before the development step. A method of forming a resist pattern, comprising dry developing a resist film.
【請求項5】 請求項3に記載のレジストパターン形成
方法において、 上記露光後ベーク工程後に上記拡散防止膜を除去する拡
散防止膜除去工程と、 上記拡散防止膜除去工程後かつ上記現像工程前に上記レ
ジストをシリル化するシリル化工程とを有し、 上記シリル化工程後の上記現像工程において上記レジス
ト膜をドライ現像することを特徴とするレジストパター
ン形成方法。
5. The method of forming a resist pattern according to claim 3, wherein the step of removing the diffusion barrier film after the post-exposure bake step includes the steps of: removing the diffusion barrier film; and after the diffusion barrier film removal step and before the development step. A silylation step of silylating the resist, wherein the resist film is dry-developed in the development step after the silylation step.
【請求項6】 請求項1乃至3のいずれか1つに記載の
レジストパターン形成方法において、 上記レジスト塗布工程において異なる2種類のレジスト
を順に下層と上層とに分けて塗布し、 上記現像工程において上記レジスト膜の上層をウェット
現像した後に上記レジスト膜の下層をドライ現像するこ
とを特徴とするレジストパターン形成方法。
6. The method for forming a resist pattern according to claim 1, wherein two different types of resists are sequentially applied to the lower and upper layers in the resist application step, respectively. A method of forming a resist pattern, comprising wet-developing an upper layer of the resist film and dry-developing a lower layer of the resist film.
【請求項7】 請求項1に記載のレジストパターン形成
方法において、 上記拡散促進化工程において上記基板を上記レジストの
溶媒雰囲気下で保持することを特徴とするレジストパタ
ーン形成方法。
7. The method for forming a resist pattern according to claim 1, wherein the substrate is held in a solvent atmosphere of the resist in the diffusion promoting step.
【請求項8】 請求項1に記載のレジストパターン形成
方法において、 上記拡散促進化工程において上記基板を水洗するかまた
は上記基板を水蒸気雰囲気下で加熱することを特徴とす
るレジストパターン形成方法。
8. The method for forming a resist pattern according to claim 1, wherein the substrate is washed with water or the substrate is heated in a steam atmosphere in the step of promoting diffusion.
【請求項9】 請求項2に記載のレジストパターン形成
方法において、 上記残留溶媒除去工程において上記基板を上記レジスト
の溶媒の沸点以上の温度で加熱することを特徴とするレ
ジストパターン形成方法。
9. The resist pattern forming method according to claim 2, wherein the substrate is heated at a temperature equal to or higher than a boiling point of the solvent of the resist in the residual solvent removing step.
【請求項10】 請求項2に記載のレジストパターン形
成方法において、 上記残留溶媒除去工程において上記基板を減圧下で保持
することを特徴とするレジストパターン形成方法。
10. The resist pattern forming method according to claim 2, wherein said substrate is held under reduced pressure in said residual solvent removing step.
【請求項11】 請求項2にレジストパターン形成方法
において、 上記残留溶媒除去工程において上記基板を減圧下で加熱
することを特徴とするレジストパターン形成方法。
11. The method according to claim 2, wherein the substrate is heated under reduced pressure in the residual solvent removing step.
【請求項12】 請求項1乃至11のいずれか1つに記
載のレジストパターン形成方法において、 上記露光工程において真空中または乾燥雰囲気下で上記
レジスト膜を露光することを特徴とするレジストパター
ン形成方法。
12. The resist pattern forming method according to claim 1, wherein the resist film is exposed in a vacuum or a dry atmosphere in the exposing step. .
【請求項13】 請求項12に記載のレジストパターン
形成方法において、上記レジスト膜が真空中で露光され
る上記露光工程であって、10-4Torr以下の真空で
露光することを特徴とするレジストパターン形成方法。
13. The resist pattern forming method according to claim 12, wherein the resist film is exposed in a vacuum, wherein the resist film is exposed to a vacuum of 10 -4 Torr or less. Pattern formation method.
【請求項14】 請求項12に記載のレジストパターン
形成方法において、 上記レジスト膜が乾燥雰囲気下で露光される上記露光工
程であって、不活性ガスによる乾燥雰囲気下で露光する
ことを特徴とするレジストパターン形成方法。
14. The method for forming a resist pattern according to claim 12, wherein the exposing step of exposing the resist film in a dry atmosphere is performed in a dry atmosphere with an inert gas. A method for forming a resist pattern.
【請求項15】 請求項1乃至14のいずれか1つに記
載のレジストパターン形成方法において、 上記基板に塗布するレジストとして、露光後にベークす
る必要のあるレジストを用いることを特徴とするレジス
トパターン形成方法。
15. The method of forming a resist pattern according to claim 1, wherein a resist that needs to be baked after exposure is used as a resist applied to the substrate. Method.
【請求項16】 請求項7に記載のレジストパターン形
成方法の拡散促進化工程において、上記基板が保持され
たウェハ保持チャンバー内に上記レジストの溶媒を導入
する半導体製造装置であって、 不活性ガスをキャリアガスとして用いることを特徴とす
る半導体製造装置。
16. A semiconductor manufacturing apparatus for introducing a solvent of the resist into a wafer holding chamber holding the substrate in the diffusion promotion step of the method for forming a resist pattern according to claim 7. A semiconductor manufacturing apparatus, comprising: using as a carrier gas.
【請求項17】 請求項7に記載のレジストパターン形
成方法の拡散促進化工程において、上記基板が保持され
たウェハ保持チャンバー内に上記レジストの溶媒を導入
する半導体製造装置であって、 上記ウェハ保持チャンバーを真空にし、上記レジストの
溶媒をその溶媒の蒸気圧で上記ウェハ保持チャンバー内
に導入した後、上記ウェハ保持チャンバー内を一定圧に
保持することを特徴とする半導体製造装置。
17. A semiconductor manufacturing apparatus for introducing a solvent of the resist into a wafer holding chamber holding the substrate in the diffusion promotion step of the method for forming a resist pattern according to claim 7. A semiconductor manufacturing apparatus, wherein the chamber is evacuated, the solvent of the resist is introduced into the wafer holding chamber at the vapor pressure of the solvent, and then the inside of the wafer holding chamber is held at a constant pressure.
【請求項18】 請求項1乃至15のいずれか1つに記
載のレジストパターン形成方法を用いて製造されたこと
を特徴とする半導体装置。
18. A semiconductor device manufactured by using the method of forming a resist pattern according to claim 1. Description:
【請求項19】 請求項18に記載する半導体装置から
なることを特徴とする携帯情報端末。
19. A portable information terminal comprising the semiconductor device according to claim 18.
JP2000228796A 2000-07-28 2000-07-28 Method for forming resist pattern, semiconductor manufacturing apparatus, semiconductor device, and portable information terminal Pending JP2002043215A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000228796A JP2002043215A (en) 2000-07-28 2000-07-28 Method for forming resist pattern, semiconductor manufacturing apparatus, semiconductor device, and portable information terminal

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000228796A JP2002043215A (en) 2000-07-28 2000-07-28 Method for forming resist pattern, semiconductor manufacturing apparatus, semiconductor device, and portable information terminal

Publications (1)

Publication Number Publication Date
JP2002043215A true JP2002043215A (en) 2002-02-08

Family

ID=18722028

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000228796A Pending JP2002043215A (en) 2000-07-28 2000-07-28 Method for forming resist pattern, semiconductor manufacturing apparatus, semiconductor device, and portable information terminal

Country Status (1)

Country Link
JP (1) JP2002043215A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7090963B2 (en) 2003-06-25 2006-08-15 International Business Machines Corporation Process for forming features of 50 nm or less half-pitch with chemically amplified resist imaging
WO2007023648A1 (en) * 2005-08-24 2007-03-01 Tokyo Electron Limited Substrate heating device, coating/development device, and method for heating substrate
US7300741B2 (en) 2006-04-25 2007-11-27 International Business Machines Corporation Advanced chemically amplified resist for sub 30 nm dense feature resolution
US7527909B2 (en) 2003-01-31 2009-05-05 Tokyo Ohka Kogyo Co., Ltd. Resist composition
JP2013235901A (en) * 2012-05-07 2013-11-21 Tokyo Electron Ltd Substrate processing method and storage medium
JP2016096317A (en) * 2014-11-17 2016-05-26 株式会社東芝 Substrate processing apparatus and substrate processing method

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7527909B2 (en) 2003-01-31 2009-05-05 Tokyo Ohka Kogyo Co., Ltd. Resist composition
US7541138B2 (en) 2003-01-31 2009-06-02 Tokyo Ohka Kogyo Co., Ltd. Resist composition
US8198004B2 (en) 2003-01-31 2012-06-12 Tokyo Ohka Kogyo Co., Ltd. Resist composition
US7090963B2 (en) 2003-06-25 2006-08-15 International Business Machines Corporation Process for forming features of 50 nm or less half-pitch with chemically amplified resist imaging
WO2007023648A1 (en) * 2005-08-24 2007-03-01 Tokyo Electron Limited Substrate heating device, coating/development device, and method for heating substrate
US7300741B2 (en) 2006-04-25 2007-11-27 International Business Machines Corporation Advanced chemically amplified resist for sub 30 nm dense feature resolution
JP2013235901A (en) * 2012-05-07 2013-11-21 Tokyo Electron Ltd Substrate processing method and storage medium
JP2016096317A (en) * 2014-11-17 2016-05-26 株式会社東芝 Substrate processing apparatus and substrate processing method

Similar Documents

Publication Publication Date Title
CN106154767B (en) Method for reducing extreme ultraviolet sensitivity using shrinkage and growth
US6358673B1 (en) Pattern formation method and apparatus
US5427649A (en) Method for forming a pattern by silylation
US6191030B1 (en) Anti-reflective coating layer for semiconductor device
US20090246958A1 (en) Method for removing residues from a patterned substrate
US8741552B2 (en) Double patterning strategy for contact hole and trench in photolithography
US5756256A (en) Silylated photo-resist layer and planarizing method
KR101403832B1 (en) Method for manufacturing semiconductor device and apparatus for manufacturing semiconductor device
US20040185674A1 (en) Nitrogen-free hard mask over low K dielectric
JP5295968B2 (en) Method and apparatus for manufacturing semiconductor device
US7611825B2 (en) Photolithography method to prevent photoresist pattern collapse
JP2002043215A (en) Method for forming resist pattern, semiconductor manufacturing apparatus, semiconductor device, and portable information terminal
US20110059407A1 (en) Double patterning strategy for forming fine patterns in photolithography
US20100167213A1 (en) Semiconductor device manufacturing method
JPH08339950A (en) Photoresist pattern formation and photoresist treatment device
US7709383B2 (en) Film forming method, and substrate-processing apparatus
KR100621562B1 (en) Method of dry etching using selective polymer mask formed by CO gas
JPH0684787A (en) Formation of multilayered resist pattern
US6759351B2 (en) Method for eliminating development related defects in photoresist masks
US20030003402A1 (en) Method and apparatus to prevent pattern collapse of photoresist layer due to capillary forces
JP2001326173A (en) Pattern-forming method
CN112530793B (en) Photoetching-freezing-photoetching-etching double patterning method
JP3113040B2 (en) Method for manufacturing semiconductor device
US8715912B2 (en) Method for producing a high resolution resist pattern on a semiconductor wafer
JPH0697061A (en) Film forming method and its equipment