JP2002033381A - Formation method of element isolation insulating film and manufacturing method of semiconductor device - Google Patents

Formation method of element isolation insulating film and manufacturing method of semiconductor device

Info

Publication number
JP2002033381A
JP2002033381A JP2000218390A JP2000218390A JP2002033381A JP 2002033381 A JP2002033381 A JP 2002033381A JP 2000218390 A JP2000218390 A JP 2000218390A JP 2000218390 A JP2000218390 A JP 2000218390A JP 2002033381 A JP2002033381 A JP 2002033381A
Authority
JP
Japan
Prior art keywords
oxide film
manufacturing
semiconductor device
insulating film
silicon substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000218390A
Other languages
Japanese (ja)
Inventor
Kinya Goto
欣哉 後藤
Masazumi Matsuura
正純 松浦
Noboru Morimoto
昇 森本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP2000218390A priority Critical patent/JP2002033381A/en
Publication of JP2002033381A publication Critical patent/JP2002033381A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To obtain an element isolation insulating film that forms the shoulder part of a silicon substrate into a round shape, and at the same time, can thin the thickness of the inner-wall oxide film of a trench. SOLUTION: With a silicon nitride film 3 as an etching mask, a silicon substrate 1 is etched from the upper surface by a specific depth (for example, 300 nm) through anisotropic dry etching method with a high etching rate in the depth direction of the silicon substrate 1, thus forming a trench 5 in the upper surface of the silicon substrate 1. Then, in a known plasma-oxidizing device, a silicon oxide film 6 with a film thickness of approximately 15 nm is formed on the inner wall of the trench 5 by the plasma oxidation method, using an oxidizing gas with high concentration, such as an oxygen gas.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】この発明は、半導体装置の製
造方法に関し、特に、互いに隣接する半導体素子同士を
分離するための素子分離絶縁膜の形成方法に関するもの
である。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for forming an element isolation insulating film for isolating adjacent semiconductor elements.

【0002】[0002]

【従来の技術】DRAM(Dynamic Random Access Memo
ry)をはじめとする半導体デバイスにおいては、素子分
離絶縁膜の分離性能の向上と分離幅の縮小とが要求され
ている。図10〜図17は、従来の半導体装置の製造方
法を工程順に示す断面図である。まず、CVD(Chemic
al Vapor Deposition)法等によって、シリコン基板1
01の上面上に、シリコン酸化膜102及びシリコン窒
化膜103をこの順に全面に形成する(図10)。次
に、写真製版法によって、素子分離絶縁膜108を形成
する予定の領域の上方が開口したパターンを有するフォ
トレジスト104を、シリコン窒化膜103上に形成す
る(図11)。
2. Description of the Related Art DRAM (Dynamic Random Access Memory)
In semiconductor devices such as ry), it is required to improve the isolation performance of the element isolation insulating film and to reduce the isolation width. 10 to 17 are sectional views showing a conventional method for manufacturing a semiconductor device in the order of steps. First, CVD (Chemic
al Vapor Deposition) method, etc.
A silicon oxide film 102 and a silicon nitride film 103 are formed in this order on the upper surface of FIG. Next, a photoresist 104 having a pattern in which the upper part of the region where the element isolation insulating film 108 is to be formed is opened is formed on the silicon nitride film 103 by photolithography (FIG. 11).

【0003】次に、フォトレジスト104をエッチング
マスクに用いて、シリコン基板101の深さ方向にエッ
チングレートの高い異方性ドライエッチング法によっ
て、シリコン窒化膜103及びシリコン酸化膜102を
この順にエッチングして、シリコン基板101の上面を
露出する。その後、フォトレジスト104を除去する
(図12)。次に、シリコン窒化膜103をエッチング
マスクに用いて、シリコン基板101の深さ方向にエッ
チングレートの高い異方性ドライエッチング法によっ
て、シリコン基板101をその上面から所定の深さだけ
エッチングする。これにより、シリコン基板101の上
面内にトレンチ105を形成する(図13)。
Then, using a photoresist 104 as an etching mask, the silicon nitride film 103 and the silicon oxide film 102 are etched in this order by an anisotropic dry etching method having a high etching rate in the depth direction of the silicon substrate 101. Then, the upper surface of the silicon substrate 101 is exposed. After that, the photoresist 104 is removed (FIG. 12). Next, using the silicon nitride film 103 as an etching mask, the silicon substrate 101 is etched from the upper surface thereof to a predetermined depth by an anisotropic dry etching method having a high etching rate in the depth direction of the silicon substrate 101. Thus, a trench 105 is formed in the upper surface of the silicon substrate 101 (FIG. 13).

【0004】次に、トレンチ105の内壁を熱酸化する
ことにより、シリコン酸化膜106を形成する(図1
4)。これにより、トレンチ105を形成するための異
方性ドライエッチングによってシリコン基板101内に
生じたダメージを、シリコン酸化膜106内に取り込ま
せることができる。次に、HDP(High Density Plasm
a)−CVD法によって、トレンチ105内を埋め込む
ように、シリコン酸化膜107を全面に形成する(図1
5)。
Next, a silicon oxide film 106 is formed by thermally oxidizing the inner wall of the trench 105 (FIG. 1).
4). Thereby, the damage caused in the silicon substrate 101 by the anisotropic dry etching for forming the trench 105 can be taken into the silicon oxide film 106. Next, HDP (High Density Plasm
a) A silicon oxide film 107 is formed on the entire surface by -CVD so as to fill the trench 105 (FIG. 1).
5).

【0005】次に、CMP(Chemical Mechanical Poli
shing)法によって、シリコン酸化膜107を除去す
る。このCMP処理は、シリコン窒化膜103の上面が
露出した時点で停止する。次に、シリコン窒化膜103
と、その下地のシリコン酸化膜102とをウェットエッ
チング法によって除去する。次に、フッ酸を用いたウェ
ットエッチング法によって、シリコン基板101の上面
よりも上方に位置する部分のシリコン酸化膜107を、
その上面から所定の膜厚だけ除去する(図16)。図1
6に示した例では、ウェットエッチング後のシリコン酸
化膜107の上面が、シリコン基板101の上面よりも
若干高くなっている。以上の工程により、シリコン酸化
膜106,107から成る素子分離絶縁膜108を形成
することができる。
Next, CMP (Chemical Mechanical Poli)
The silicon oxide film 107 is removed by a shing) method. This CMP process stops when the upper surface of the silicon nitride film 103 is exposed. Next, the silicon nitride film 103
And the underlying silicon oxide film 102 are removed by wet etching. Next, a portion of the silicon oxide film 107 located above the upper surface of the silicon substrate 101 is removed by wet etching using hydrofluoric acid.
A predetermined thickness is removed from the upper surface (FIG. 16). Figure 1
In the example shown in FIG. 6, the upper surface of the silicon oxide film 107 after the wet etching is slightly higher than the upper surface of the silicon substrate 101. Through the above steps, the element isolation insulating film 108 including the silicon oxide films 106 and 107 can be formed.

【0006】次に、熱酸化法によって、素子分離絶縁膜
108によって規定される素子形成領域におけるシリコ
ン基板101の上面上に、ゲート酸化膜109を形成す
る。次に、CVD法等によってポリシリコン膜を全面に
形成し、写真製版法によってこのポリシリコン膜をパタ
ーニングすることにより、ゲート電極110を形成する
(図17)。図17に示すように、ゲート電極110の
端部は素子分離絶縁膜108上に位置している。その
後、ソース・ドレイン領域の形成工程や配線工程等のプ
ロセスを経て、デバイスが完成する。
Next, a gate oxide film 109 is formed on the upper surface of the silicon substrate 101 in an element formation region defined by the element isolation insulating film 108 by a thermal oxidation method. Next, a gate electrode 110 is formed by forming a polysilicon film on the entire surface by a CVD method or the like and patterning the polysilicon film by a photoengraving method (FIG. 17). As shown in FIG. 17, the end of the gate electrode 110 is located on the element isolation insulating film 108. After that, the device is completed through processes such as a source / drain region forming process and a wiring process.

【0007】ここで、シリコン酸化膜106の膜厚につ
いて説明する。図17を参照して、ゲート電極110に
電圧を印加した時に、ゲート電極110の端部下方にお
けるシリコン基板101の肩部200に電界が集中する
と、その付近においてゲート酸化膜109の絶縁破壊が
起こり易く、全体としてゲート酸化膜109の特性が低
下する。これを抑制するためには、電界集中を緩和する
ために、シリコン基板101の肩部200を、丸みを帯
びた形状とする必要がある。上記した従来の半導体装置
の製造方法のように、シリコン酸化膜106を熱酸化法
によって形成する場合、シリコン基板101の肩部20
0を丸みを帯びた形状とするためには、シリコン酸化膜
106の膜厚は20nm以上必要である。
Here, the thickness of the silicon oxide film 106 will be described. Referring to FIG. 17, when a voltage is applied to gate electrode 110 and an electric field is concentrated on shoulder portion 200 of silicon substrate 101 below the end of gate electrode 110, dielectric breakdown of gate oxide film 109 occurs near the shoulder. Therefore, the characteristics of the gate oxide film 109 are deteriorated as a whole. In order to suppress this, the shoulder 200 of the silicon substrate 101 needs to be rounded in order to alleviate the electric field concentration. When the silicon oxide film 106 is formed by the thermal oxidation method as in the above-described conventional method of manufacturing a semiconductor device, the shoulder 20 of the silicon substrate 101 is formed.
In order to make 0 a round shape, the thickness of the silicon oxide film 106 needs to be 20 nm or more.

【0008】[0008]

【発明が解決しようとする課題】以上のように、従来の
半導体装置の製造方法においては、トレンチ105の内
壁を熱酸化することによってシリコン酸化膜106を形
成するため、シリコン酸化膜106の膜厚として、20
nm以上の膜厚が必要となる。一方で、トランジスタの
駆動能力の向上及びチップの高集積化を図るためには、
素子分離絶縁膜の分離幅自体を広げることはできない。
例えば、256MDRAMでは、分離幅は0.1μm程
度に抑えることが要求されている。
As described above, in the conventional method of manufacturing a semiconductor device, since the silicon oxide film 106 is formed by thermally oxidizing the inner wall of the trench 105, the thickness of the silicon oxide film 106 is reduced. As 20
A film thickness of at least nm is required. On the other hand, in order to improve the driving capability of the transistor and increase the integration of the chip,
The isolation width itself of the element isolation insulating film cannot be increased.
For example, in a 256 MDRAM, the separation width is required to be suppressed to about 0.1 μm.

【0009】そのため、シリコン酸化膜106を形成し
た後のHDP−CVD工程でシリコン酸化膜107をト
レンチ105内に埋め込むにあたり、厚いシリコン酸化
膜106を形成したことに起因してトレンチ105の開
口幅が小さくなるため、埋め込み不良が生じ易いという
問題があった。図18は、かかる埋め込み不良が生じた
状況を示す断面図である。図18に示すように、シリコ
ン酸化膜107の中心部に、埋め込み不良111が発生
している。
Therefore, when the silicon oxide film 107 is buried in the trench 105 in the HDP-CVD process after the formation of the silicon oxide film 106, the opening width of the trench 105 is reduced due to the formation of the thick silicon oxide film 106. Since the size is reduced, there is a problem that the embedding defect easily occurs. FIG. 18 is a cross-sectional view showing a situation in which such embedding failure has occurred. As shown in FIG. 18, a burying defect 111 occurs at the center of the silicon oxide film 107.

【0010】本発明はかかる問題を解決するために成さ
れたものであり、電界集中を緩和するためにシリコン基
板の肩部を丸みを帯びた形状としつつ、トレンチの内壁
酸化膜の膜厚を薄くすることにより、埋め込み不良の発
生を抑制し得る素子分離絶縁膜の形成方法を得ること、
及び該方法を備える半導体装置の製造方法を得ることを
目的とするものである。
The present invention has been made in order to solve such a problem. In order to alleviate the electric field concentration, the thickness of the oxide film on the inner wall of the trench is reduced while the shoulder portion of the silicon substrate is rounded. To obtain a method for forming an element isolation insulating film capable of suppressing the occurrence of embedding defects by reducing the thickness,
And a method for manufacturing a semiconductor device including the method.

【0011】[0011]

【課題を解決するための手段】この発明のうち請求項1
に記載の素子分離絶縁膜の形成方法は、(a)基板を準
備する工程と、(b)基板の主面内に、凹部を選択的に
形成する工程と、(c)プラズマ酸化によって、凹部の
内壁に酸化膜を形成する工程と、(d)酸化膜が形成さ
れた凹部内に、絶縁膜を埋め込む工程とを備えるもので
ある。
Means for Solving the Problems Claim 1 of the present invention
(A) a step of preparing a substrate, (b) a step of selectively forming a recess in a main surface of the substrate, and (c) a recess by plasma oxidation. Forming an oxide film on the inner wall of the substrate, and (d) embedding an insulating film in the concave portion where the oxide film is formed.

【0012】また、この発明のうち請求項2に記載の素
子分離絶縁膜の形成方法は、請求項1に記載の素子分離
絶縁膜の形成方法であって、工程(d)は、HDP(Hi
gh Density Plasma)−CVDチャンバ内で絶縁膜を堆
積する工程を有し、工程(c)は、HDP−CVDチャ
ンバ内で実行されることを特徴とするものである。
According to a second aspect of the present invention, a method for forming an element isolation insulating film according to the first aspect is the method for forming an element isolation insulating film according to the first aspect.
gh Density Plasma) -deposition of an insulating film in a CVD chamber, and step (c) is performed in an HDP-CVD chamber.

【0013】また、この発明のうち請求項3に記載の素
子分離絶縁膜の形成方法は、請求項1又は2に記載の素
子分離絶縁膜の形成方法であって、工程(c)におい
て、プラズマ酸化は、不活性ガスを含む原料ガスを用い
て実行されることを特徴とするものである。
According to a third aspect of the present invention, there is provided a method for forming an element isolation insulating film according to the first or second aspect, wherein the step (c) comprises the steps of: The oxidation is performed using a source gas containing an inert gas.

【0014】また、この発明のうち請求項4に記載の素
子分離絶縁膜の形成方法は、請求項3に記載の素子分離
絶縁膜の形成方法であって、不活性ガスは、Ne,A
r,Kr,Xeのうちのいずれか一つのガスであること
を特徴とするものである。
According to a fourth aspect of the present invention, there is provided a method for forming an element isolation insulating film according to the third aspect, wherein the inert gas is Ne, A
The gas is one of r, Kr, and Xe.

【0015】また、この発明のうち請求項5に記載の素
子分離絶縁膜の形成方法は、請求項3又は4に記載の素
子分離絶縁膜の形成方法であって、工程(c)におい
て、基板には、不活性ガスのイオンとは逆極性のバイア
ス電圧が印加されることを特徴とするものである。
According to a fifth aspect of the present invention, there is provided a method for forming an element isolation insulating film according to the third or fourth aspect, wherein the step (c) comprises the step of: Is characterized in that a bias voltage having a polarity opposite to that of the inert gas ions is applied.

【0016】また、この発明のうち請求項6に記載の半
導体装置の製造方法は、請求項1〜5のいずれか一つに
記載の素子分離絶縁膜の形成方法を備えるものである。
According to a sixth aspect of the present invention, a method of manufacturing a semiconductor device includes the method of forming an element isolation insulating film according to any one of the first to fifth aspects.

【0017】[0017]

【発明の実施の形態】実施の形態1.図1〜図7は、本
発明の実施の形態1に係る半導体装置の製造方法を工程
順に示す断面図である。まず、熱酸化法によって、シリ
コン基板1の上面上にシリコン酸化膜2を全面に形成す
る。次に、CVD法によって、シリコン酸化膜2上にシ
リコン窒化膜3を全面に形成する(図1)。シリコン酸
化膜2は、シリコン窒化膜3をシリコン基板1上に直接
形成することに因るストレスを緩和する目的で形成され
る。次に、写真製版法によって、素子分離絶縁膜8を形
成する予定の領域の上方が開口したパターンを有するフ
ォトレジスト4を、シリコン窒化膜3上に形成する(図
2)。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiment 1 1 to 7 are sectional views showing a method of manufacturing a semiconductor device according to the first embodiment of the present invention in the order of steps. First, a silicon oxide film 2 is formed on the entire upper surface of a silicon substrate 1 by a thermal oxidation method. Next, a silicon nitride film 3 is formed on the entire surface of the silicon oxide film 2 by the CVD method (FIG. 1). The silicon oxide film 2 is formed for the purpose of reducing stress caused by forming the silicon nitride film 3 directly on the silicon substrate 1. Next, a photoresist 4 having a pattern in which an upper portion of a region where the element isolation insulating film 8 is to be formed is opened is formed on the silicon nitride film 3 by photolithography (FIG. 2).

【0018】次に、フォトレジスト4をエッチングマス
クに用いて、シリコン基板1の深さ方向にエッチングレ
ートの高い異方性ドライエッチング法によって、シリコ
ン窒化膜3及びシリコン酸化膜2をこの順にエッチング
して、シリコン基板1の上面を露出する。その後、フォ
トレジスト4を除去する(図3)。次に、シリコン窒化
膜3をエッチングマスクに用いて、シリコン基板1の深
さ方向にエッチングレートの高い異方性ドライエッチン
グ法によって、シリコン基板1をその上面から所定の深
さ(例えば300nm)だけエッチングする。これによ
り、シリコン基板1の上面内にトレンチ5を形成する
(図4)。
Next, using the photoresist 4 as an etching mask, the silicon nitride film 3 and the silicon oxide film 2 are etched in this order by an anisotropic dry etching method having a high etching rate in the depth direction of the silicon substrate 1. Then, the upper surface of the silicon substrate 1 is exposed. Thereafter, the photoresist 4 is removed (FIG. 3). Next, using the silicon nitride film 3 as an etching mask, the silicon substrate 1 is separated from the upper surface by a predetermined depth (for example, 300 nm) by an anisotropic dry etching method having a high etching rate in the depth direction of the silicon substrate 1 Etch. Thus, a trench 5 is formed in the upper surface of the silicon substrate 1 (FIG. 4).

【0019】次に、周知のプラズマ酸化装置内におい
て、高濃度の酸化性ガス(例えば酸素ガス)を用いたプ
ラズマ酸化法によって、トレンチ5の内壁に、膜厚が1
5nm程度のシリコン酸化膜6を形成する(図5)。こ
れにより、トレンチ5を形成するための異方性ドライエ
ッチングによってシリコン基板1内に生じたダメージ
を、シリコン酸化膜6内に取り込ませることができる。
Next, in a well-known plasma oxidizing apparatus, a film thickness of 1 is formed on the inner wall of the trench 5 by a plasma oxidizing method using a high-concentration oxidizing gas (for example, oxygen gas).
A silicon oxide film 6 of about 5 nm is formed (FIG. 5). Thereby, the damage caused in the silicon substrate 1 by the anisotropic dry etching for forming the trench 5 can be taken into the silicon oxide film 6.

【0020】ここで、酸素プラズマ中には、負の酸素イ
オンのほかに、反応性の高い、化学的に活性な酸素ラジ
カル(O*)が存在する。酸素ラジカルは電気的に中性
であるが、シリコン基板1の表面(厳密にはシリコン基
板上に形成された酸化膜の表面)でシリコン基板1から
電子を奪い、その結果、酸素ラジカルは負に帯電する。
また、シリコン基板1は正に帯電して電界を生じる。そ
して、トレンチ5の側面とシリコン基板1の上面とによ
って規定されるシリコン基板1の肩部10では、上記電
界が集中するため、酸素ラジカルは、その電界に引き寄
せられてシリコン基板10の肩部10に多く集中する。
そのため、シリコン基板1の肩部10では、他の部分よ
りも酸化が促進され、シリコン基板1の肩部10は丸み
を帯びた形状となる。
Here, in the oxygen plasma, in addition to negative oxygen ions, highly reactive, chemically active oxygen radicals (O *) are present. Oxygen radicals are electrically neutral, but take away electrons from the silicon substrate 1 on the surface of the silicon substrate 1 (strictly speaking, the surface of an oxide film formed on the silicon substrate). As a result, the oxygen radical becomes negative. Charges.
The silicon substrate 1 is positively charged to generate an electric field. The electric field is concentrated at the shoulder 10 of the silicon substrate 1 defined by the side surface of the trench 5 and the upper surface of the silicon substrate 1, so that oxygen radicals are attracted to the electric field and the shoulder 10 of the silicon substrate 10. Concentrate on a lot.
Therefore, oxidation is promoted more at the shoulder 10 of the silicon substrate 1 than at other portions, and the shoulder 10 of the silicon substrate 1 has a rounded shape.

【0021】次に、HDP−CVD法によって、トレン
チ5内を埋め込むように、シリコン酸化膜7を全面に形
成する(図6)。次に、CMP法によって、シリコン酸
化膜7を除去する。このCMP処理は、シリコン窒化膜
3の上面が露出した時点で停止する。次に、シリコン窒
化膜3と、その下地のシリコン酸化膜2とをウェットエ
ッチング法によって除去する。次に、フッ酸を用いたウ
ェットエッチング法によって、シリコン基板1の上面よ
りも上方に位置する部分のシリコン酸化膜7を、その上
面から所定の膜厚だけ除去する(図7)。図7に示した
例では、ウェットエッチング後のシリコン酸化膜7の上
面が、シリコン基板1の上面よりも若干高くなってい
る。以上の工程により、シリコン酸化膜6,7から成る
素子分離絶縁膜8を形成することができる。
Next, a silicon oxide film 7 is formed on the entire surface by HDP-CVD so as to fill the trench 5 (FIG. 6). Next, the silicon oxide film 7 is removed by the CMP method. This CMP process stops when the upper surface of the silicon nitride film 3 is exposed. Next, the silicon nitride film 3 and the underlying silicon oxide film 2 are removed by wet etching. Next, by a wet etching method using hydrofluoric acid, a portion of the silicon oxide film 7 located above the upper surface of the silicon substrate 1 is removed by a predetermined thickness from the upper surface (FIG. 7). In the example shown in FIG. 7, the upper surface of the silicon oxide film 7 after the wet etching is slightly higher than the upper surface of the silicon substrate 1. Through the above steps, the element isolation insulating film 8 composed of the silicon oxide films 6 and 7 can be formed.

【0022】その後、従来の半導体装置の製造方法と同
様に、素子分離絶縁膜8によって規定される素子形成領
域におけるシリコン基板1の上面上にゲート酸化膜を形
成した後、CVD法及び写真製版法等によってゲート酸
化膜上にゲート電極する。そして、ソース・ドレイン領
域の形成工程や配線工程等のプロセスを経て、デバイス
が完成する。
Thereafter, as in the conventional method of manufacturing a semiconductor device, a gate oxide film is formed on the upper surface of the silicon substrate 1 in the element formation region defined by the element isolation insulating film 8, and then the CVD method and the photoengraving method are performed. A gate electrode is formed on the gate oxide film by the method described above. Then, the device is completed through processes such as a source / drain region forming process and a wiring process.

【0023】このように本実施の形態1に係る半導体装
置の製造方法によれば、シリコン基板1の上面内にトレ
ンチ5を形成した後、酸素プラズマを用いたプラズマ酸
化法によって、トレンチ5の内壁にシリコン酸化膜6を
形成する。従来の半導体装置の製造方法のように、シリ
コン酸化膜106を熱酸化法によって形成する場合は、
シリコン基板101の肩部120を丸みを帯びた形状と
するためには、20nm以上の膜厚でシリコン酸化膜1
06を形成する必要があった。
As described above, according to the method of manufacturing a semiconductor device according to the first embodiment, after forming trench 5 in the upper surface of silicon substrate 1, the inner wall of trench 5 is formed by a plasma oxidation method using oxygen plasma. Then, a silicon oxide film 6 is formed. In the case where the silicon oxide film 106 is formed by a thermal oxidation method as in a conventional method of manufacturing a semiconductor device,
In order to form the shoulder 120 of the silicon substrate 101 into a round shape, the silicon oxide film 1 having a thickness of 20 nm or more is required.
06 had to be formed.

【0024】これに対して、本実施の形態1に係る半導
体装置の製造方法では、上記のように、15nm程度の
膜厚でシリコン酸化膜6を形成することによって、シリ
コン基板1の肩部10を丸みを帯びた形状とすることが
できる。その結果、シリコン酸化膜6を形成した後のH
DP−CVD工程でシリコン酸化膜7をトレンチ5内に
埋め込むにあたり、シリコン酸化膜6を形成することに
伴うトレンチ5の開口幅の縮小を低減できるため、埋め
込み不良の発生を抑制することが可能となる。
On the other hand, in the method of manufacturing the semiconductor device according to the first embodiment, as described above, the silicon oxide film 6 is formed with a thickness of about 15 nm, thereby forming the shoulder 10 of the silicon substrate 1. Can have a rounded shape. As a result, H after the silicon oxide film 6 is formed
When the silicon oxide film 7 is buried in the trench 5 in the DP-CVD process, the reduction in the opening width of the trench 5 due to the formation of the silicon oxide film 6 can be reduced, so that the occurrence of burying failure can be suppressed. Become.

【0025】なお、シリコン酸化膜6を形成するための
プラズマ酸化工程(図6)、及びシリコン酸化膜7を形
成するためのCVD工程(図7)は、いずれも高濃度の
酸素プラズマを用いて行われる。従って、シリコン酸化
膜6を形成するためのプラズマ酸化工程は、HDP−C
VDチャンバ内で実行してもよい。これにより、異なる
製造装置間でウェハを搬送するという処理を伴うことな
く、上記両工程を連続的に実行することが可能となり、
製造効率の向上を図ることができる。
The plasma oxidation step (FIG. 6) for forming the silicon oxide film 6 and the CVD step (FIG. 7) for forming the silicon oxide film 7 both use high-concentration oxygen plasma. Done. Therefore, the plasma oxidation process for forming the silicon oxide film 6 is performed in the HDP-C
It may be performed in a VD chamber. As a result, it is possible to continuously execute both of the above steps without the process of transporting the wafer between different manufacturing apparatuses,
Manufacturing efficiency can be improved.

【0026】実施の形態2.図8は、本発明の実施の形
態2に係る半導体装置の製造方法の一工程を示す断面図
である。本実施の形態2に係る半導体装置の製造方法
は、上記実施の形態1に係る半導体装置の製造方法を基
礎として、図5に示したプラズマ酸化によるシリコン酸
化膜6の形成工程において、プラズマ酸化装置に供給す
る原料ガス中に、酸化性ガスと濃度が同程度のArガス
を添加したものである。Arのような質量の大きいガス
を添加することによってプラズマの発生効率が向上し、
酸素プラズマの密度が高くなる。その結果、処理対象で
あるシリコン基板1の周辺における酸素ラジカルの密度
も高くなる。本実施の形態2に係る半導体装置の製造方
法のその他の工程は、上記実施の形態1に係る半導体装
置の製造方法と同様である。
Embodiment 2 FIG. FIG. 8 is a cross-sectional view showing one step of a method for manufacturing a semiconductor device according to the second embodiment of the present invention. The method of manufacturing a semiconductor device according to the second embodiment is based on the method of manufacturing a semiconductor device according to the first embodiment, and includes a step of forming a silicon oxide film 6 by plasma oxidation shown in FIG. Is obtained by adding Ar gas having the same concentration as that of the oxidizing gas to the raw material gas to be supplied. The plasma generation efficiency is improved by adding a gas having a large mass such as Ar,
The density of the oxygen plasma increases. As a result, the density of oxygen radicals around the silicon substrate 1 to be processed also increases. Other steps of the method of manufacturing the semiconductor device according to the second embodiment are the same as those of the method of manufacturing the semiconductor device according to the first embodiment.

【0027】このように本実施の形態2に係る半導体装
置の製造方法によれば、プラズマ酸化によるシリコン酸
化膜6の形成工程において、原料ガス中にArガスを添
加した。これにより、上記実施の形態1と比較して、シ
リコン基板1の周辺における酸素ラジカルの密度が高く
なり、シリコン基板1の肩部10に集中する酸素ラジカ
ルの量も増加する。その結果、シリコン基板1の肩部1
0において丸みを帯びた形状を維持しつつ、シリコン酸
化膜6の膜厚をさらに薄くすることができるため、続く
シリコン酸化膜7の形成工程において埋め込み不良の発
生をさらに抑制することが可能となる。
As described above, according to the method of manufacturing a semiconductor device according to the second embodiment, in the step of forming silicon oxide film 6 by plasma oxidation, Ar gas is added to the source gas. Thereby, the density of oxygen radicals in the periphery of silicon substrate 1 is increased and the amount of oxygen radicals concentrated on shoulder 10 of silicon substrate 1 is increased as compared with the first embodiment. As a result, the shoulder 1 of the silicon substrate 1
Since the thickness of the silicon oxide film 6 can be further reduced while maintaining the rounded shape at 0, it is possible to further suppress the occurrence of embedding defects in the subsequent step of forming the silicon oxide film 7. .

【0028】なお、以上の説明では、原料ガス中にAr
ガスを添加する場合の例について述べたが、Ar以外に
も、He,Ne,Kr,Xe等の不活性ガスを使用して
もよい。但し、酸素原子よりも質量が重いNe,Ar,
Kr,Xeを使用することにより、酸素を効率良く活性
化することができる。
In the above description, Ar gas is contained in the source gas.
Although an example in which a gas is added has been described, an inert gas such as He, Ne, Kr, or Xe may be used instead of Ar. However, Ne, Ar, which are heavier than oxygen atoms
By using Kr and Xe, oxygen can be efficiently activated.

【0029】実施の形態3.図9は、本発明の実施の形
態3に係る半導体装置の製造方法の一工程を示す断面図
である。本実施の形態3に係る半導体装置の製造方法
は、上記実施の形態2に係る半導体装置の製造方法を基
礎として、図8に示したプラズマ酸化によるシリコン酸
化膜6の形成工程において、シリコン基板1に所定の基
板バイアス電圧を印加したものである。シリコン基板1
には、上記不活性ガスのイオンと逆極性の基板バイアス
電圧を、シリコン基板1に接続された電源9から印加す
る。図9では不活性ガスとしてArを使用しているた
め、シリコン基板1には、負のアルゴンイオン(A
-)とは逆極性の正の基板バイアス電圧を印加する。
本実施の形態3に係る半導体装置の製造方法のその他の
工程は、上記実施の形態2に係る半導体装置の製造方法
と同様である。
Embodiment 3 FIG. 9 is a cross-sectional view showing a step of a method for manufacturing a semiconductor device according to the third embodiment of the present invention. The method for manufacturing a semiconductor device according to the third embodiment is based on the method for manufacturing a semiconductor device according to the second embodiment, and includes a step of forming a silicon oxide film 6 by plasma oxidation shown in FIG. Are applied with a predetermined substrate bias voltage. Silicon substrate 1
, A substrate bias voltage having a polarity opposite to that of the ions of the inert gas is applied from a power supply 9 connected to the silicon substrate 1. In FIG. 9, since Ar is used as an inert gas, negative argon ions (A
A positive substrate bias voltage having a polarity opposite to that of r ) is applied.
Other steps in the method for manufacturing a semiconductor device according to the third embodiment are the same as those in the method for manufacturing a semiconductor device according to the second embodiment.

【0030】このように、本実施の形態3に係る半導体
装置の製造方法によれば、シリコン酸化膜6の形成工程
において、シリコン基板1に所定の基板バイアス電圧を
印加した。トレンチ5の内壁酸化が進行すると、トレン
チ5の側面には、トレンチ5の内部方向に向かってシリ
コン酸化膜6が成長し、トレンチ5の開口幅が縮小す
る。しかしながら本実施の形態3に係る半導体装置の製
造方法によれば、シリコン酸化膜6の成長する一方で、
正の基板バイアス電圧によってシリコン基板1に垂直に
引き込まれた負のアルゴンイオンによって、トレンチ5
の側面に成長したシリコン酸化膜6をスパッタすること
ができる。即ち、アルゴンイオンによるスパッタによっ
て、トレンチ5の側面におけるシリコン酸化膜6の成長
を抑制することができる。その結果、上記実施の形態
1,2と比較して、トレンチ5の側面におけるシリコン
酸化膜6の膜厚が薄くなるため、続くシリコン酸化膜7
の形成工程において、埋め込み不良の発生をさらに抑制
することが可能となる。
As described above, according to the method of manufacturing the semiconductor device according to the third embodiment, a predetermined substrate bias voltage is applied to the silicon substrate 1 in the step of forming the silicon oxide film 6. As the inner wall oxidation of the trench 5 progresses, a silicon oxide film 6 grows on the side surface of the trench 5 toward the inside of the trench 5, and the opening width of the trench 5 decreases. However, according to the method of manufacturing a semiconductor device according to the third embodiment, while growing silicon oxide film 6,
Negative argon ions drawn vertically into the silicon substrate 1 by a positive substrate bias voltage cause the trench 5
The silicon oxide film 6 grown on the side surface can be sputtered. That is, the growth of the silicon oxide film 6 on the side surface of the trench 5 can be suppressed by sputtering with argon ions. As a result, the thickness of the silicon oxide film 6 on the side surface of the trench 5 is smaller than in the first and second embodiments, so that the subsequent silicon oxide film 7
In the formation process, the occurrence of defective embedding can be further suppressed.

【0031】[0031]

【発明の効果】この発明のうち請求項1に係るものによ
れば、工程(c)において、凹部の内壁の酸化膜を、プ
ラズマ酸化によって形成する。従って、プラズマ中の酸
素ラジカルの作用により、基板の肩部を丸みを帯びた形
状とするために必要な酸化膜の膜厚を、熱酸化によって
該酸化膜を形成する場合よりも薄く設定できる。その結
果、酸化膜を形成することに伴う凹部の開口幅の縮小を
低減できるため、工程(d)において、絶縁膜の埋め込
み不良の発生を抑制することができる。
According to the first aspect of the present invention, in the step (c), the oxide film on the inner wall of the concave portion is formed by plasma oxidation. Therefore, the thickness of the oxide film necessary for forming the shoulder portion of the substrate into a rounded shape by the action of oxygen radicals in the plasma can be set to be smaller than that in the case where the oxide film is formed by thermal oxidation. As a result, the reduction in the opening width of the concave portion due to the formation of the oxide film can be reduced, so that in the step (d), the occurrence of defective filling of the insulating film can be suppressed.

【0032】また、この発明のうち請求項2に係るもの
によれば、工程(c)及び(d)を同一のHDP−CV
Dチャンバ内で連続的に実行することができ、処理効率
の向上を図ることができる。
According to the second aspect of the present invention, the steps (c) and (d) are performed in the same HDP-CV.
The processing can be continuously performed in the D chamber, and the processing efficiency can be improved.

【0033】また、この発明のうち請求項3に係るもの
によれば、プラズマの発生効率が向上して酸素ラジカル
の密度も高くなるため、基板の肩部を丸みを帯びた形状
とするために必要な酸化膜の膜厚を、さらに薄く設定す
ることができる。その結果、埋め込み不良の発生をさら
に抑制することが可能となる。
According to the third aspect of the present invention, the plasma generation efficiency is improved and the density of oxygen radicals is also increased, so that the shoulder of the substrate is formed into a rounded shape. The required thickness of the oxide film can be set smaller. As a result, it is possible to further suppress the occurrence of defective embedding.

【0034】また、この発明のうち請求項4に係るもの
によれば、Ne,Ar,Kr,Xeの各原子は、酸素原
子よりも質量が重いため、不活性ガスとしてこれらのガ
スを使用することにより、酸素を効率良く活性化するこ
とができる。
According to the fourth aspect of the present invention, since Ne, Ar, Kr, and Xe atoms are heavier than oxygen atoms, these gases are used as inert gases. Thereby, oxygen can be efficiently activated.

【0035】また、この発明のうち請求項5に係るもの
によれば、不活性ガスのイオンは、基板に印加されたバ
イアス電圧によって基板に垂直に引き込まれ、このイオ
ンによるスパッタによって、凹部の側面における酸化膜
の成長を抑制することができる。その結果、凹部の側面
における酸化膜の膜厚が薄くなるため、工程(d)にお
いて、埋め込み不良の発生をさらに抑制することが可能
となる。
According to the fifth aspect of the present invention, the ions of the inert gas are vertically drawn into the substrate by the bias voltage applied to the substrate, and are sputtered by the ions to form the side surfaces of the recess. Can suppress the growth of the oxide film. As a result, the thickness of the oxide film on the side surface of the concave portion is reduced, so that it is possible to further suppress the occurrence of the embedding failure in the step (d).

【0036】また、この発明のうち請求項6に係るもの
によれば、分離幅を拡げることなく、分離性能の高い素
子分離絶縁膜を備える半導体装置を得ることができる。
According to the sixth aspect of the present invention, it is possible to obtain a semiconductor device having an element isolation insulating film having high isolation performance without increasing the isolation width.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の実施の形態1に係る半導体装置の製
造方法を工程順に示す断面図である。
FIG. 1 is a sectional view illustrating a method for manufacturing a semiconductor device according to a first embodiment of the present invention in the order of steps;

【図2】 本発明の実施の形態1に係る半導体装置の製
造方法を工程順に示す断面図である。
FIG. 2 is a sectional view illustrating a method of manufacturing the semiconductor device according to the first embodiment of the present invention in the order of steps.

【図3】 本発明の実施の形態1に係る半導体装置の製
造方法を工程順に示す断面図である。
FIG. 3 is a cross-sectional view illustrating a method of manufacturing the semiconductor device according to the first embodiment of the present invention in the order of steps;

【図4】 本発明の実施の形態1に係る半導体装置の製
造方法を工程順に示す断面図である。
FIG. 4 is a sectional view illustrating the method of manufacturing the semiconductor device according to the first embodiment of the present invention in the order of steps;

【図5】 本発明の実施の形態1に係る半導体装置の製
造方法を工程順に示す断面図である。
FIG. 5 is a sectional view illustrating the method of manufacturing the semiconductor device according to the first embodiment of the present invention in the order of steps.

【図6】 本発明の実施の形態1に係る半導体装置の製
造方法を工程順に示す断面図である。
FIG. 6 is a sectional view illustrating the method of manufacturing the semiconductor device according to the first embodiment of the present invention in the order of steps;

【図7】 本発明の実施の形態1に係る半導体装置の製
造方法を工程順に示す断面図である。
FIG. 7 is a sectional view illustrating the method of manufacturing the semiconductor device according to the first embodiment of the present invention in the order of steps;

【図8】 本発明の実施の形態2に係る半導体装置の製
造方法の一工程を示す断面図である。
FIG. 8 is a cross-sectional view showing a step of a method for manufacturing a semiconductor device according to the second embodiment of the present invention.

【図9】 本発明の実施の形態3に係る半導体装置の製
造方法の一工程を示す断面図である。
FIG. 9 is a sectional view showing one step of a method of manufacturing a semiconductor device according to Embodiment 3 of the present invention.

【図10】 従来の半導体装置の製造方法を工程順に示
す断面図である。
FIG. 10 is a sectional view showing a conventional method for manufacturing a semiconductor device in the order of steps.

【図11】 従来の半導体装置の製造方法を工程順に示
す断面図である。
FIG. 11 is a sectional view showing a conventional method for manufacturing a semiconductor device in the order of steps.

【図12】 従来の半導体装置の製造方法を工程順に示
す断面図である。
FIG. 12 is a cross-sectional view showing a conventional method of manufacturing a semiconductor device in the order of steps.

【図13】 従来の半導体装置の製造方法を工程順に示
す断面図である。
FIG. 13 is a cross-sectional view showing a conventional method of manufacturing a semiconductor device in the order of steps.

【図14】 従来の半導体装置の製造方法を工程順に示
す断面図である。
FIG. 14 is a cross-sectional view showing a conventional method of manufacturing a semiconductor device in the order of steps.

【図15】 従来の半導体装置の製造方法を工程順に示
す断面図である。
FIG. 15 is a cross-sectional view showing a conventional method of manufacturing a semiconductor device in the order of steps.

【図16】 従来の半導体装置の製造方法を工程順に示
す断面図である。
FIG. 16 is a sectional view showing a conventional method of manufacturing a semiconductor device in the order of steps.

【図17】 従来の半導体装置の製造方法を工程順に示
す断面図である。
FIG. 17 is a cross-sectional view showing a conventional method of manufacturing a semiconductor device in the order of steps.

【図18】 トレンチ内に埋め込み不良が発生した状況
を示す断面図である。
FIG. 18 is a cross-sectional view illustrating a situation where a filling failure has occurred in a trench.

【符号の説明】[Explanation of symbols]

1 シリコン基板、5 トレンチ、6,7 シリコン酸
化膜、8 素子分離絶縁膜、9 電源。
1 silicon substrate, 5 trenches, 6,7 silicon oxide film, 8 element isolation insulating film, 9 power supply.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 森本 昇 東京都千代田区丸の内二丁目2番3号 三 菱電機株式会社内 Fターム(参考) 5F032 AA14 AA36 AA44 AA77 BA01 CA17 DA04 DA23 DA24 DA25 DA53 5F058 BA02 BC02 BD01 BD04 BF07 BF73 BJ01 BJ06  ────────────────────────────────────────────────── ─── Continuation of the front page (72) Inventor Noboru Morimoto 2-3-2 Marunouchi, Chiyoda-ku, Tokyo F-term (reference) 5F032 AA14 AA36 AA44 AA77 BA01 CA17 DA04 DA23 DA24 DA25 DA53 5F058 BA02 BC02 BD01 BD04 BF07 BF73 BJ01 BJ06

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】 (a)基板を準備する工程と、 (b)前記基板の主面内に、凹部を選択的に形成する工
程と、 (c)プラズマ酸化によって、前記凹部の内壁に酸化膜
を形成する工程と、 (d)前記酸化膜が形成された前記凹部内に、絶縁膜を
埋め込む工程とを備える、素子分離絶縁膜の形成方法。
(A) a step of preparing a substrate; (b) a step of selectively forming a recess in a main surface of the substrate; and (c) an oxide film on an inner wall of the recess by plasma oxidation. And (d) embedding an insulating film in the concave portion in which the oxide film is formed.
【請求項2】 前記工程(d)は、HDP(High Densi
ty Plasma)−CVDチャンバ内で前記絶縁膜を堆積す
る工程を有し、 前記工程(c)は、前記HDP−CVDチャンバ内で実
行されることを特徴とする、請求項1に記載の素子分離
絶縁膜の形成方法。
2. The method according to claim 1, wherein the step (d) is performed with HDP (High Density).
2. The device isolation according to claim 1, further comprising a step of depositing the insulating film in a ty-plasma-CVD chamber, wherein the step (c) is performed in the HDP-CVD chamber. A method for forming an insulating film.
【請求項3】 前記工程(c)において、前記プラズマ
酸化は、不活性ガスを含む原料ガスを用いて実行される
ことを特徴とする、請求項1又は2に記載の素子分離絶
縁膜の形成方法。
3. The element isolation insulating film according to claim 1, wherein in the step (c), the plasma oxidation is performed using a source gas containing an inert gas. Method.
【請求項4】 前記不活性ガスは、Ne,Ar,Kr,
Xeのうちのいずれか一つのガスである、請求項3に記
載の素子分離絶縁膜の形成方法。
4. The inert gas includes Ne, Ar, Kr,
4. The method for forming an element isolation insulating film according to claim 3, wherein the gas is any one of Xe.
【請求項5】 前記工程(c)において、前記基板に
は、前記不活性ガスのイオンとは逆極性のバイアス電圧
が印加されることを特徴とする、請求項3又は4に記載
の素子分離絶縁膜の形成方法。
5. The device isolation according to claim 3, wherein in the step (c), a bias voltage having a polarity opposite to that of the ions of the inert gas is applied to the substrate. A method for forming an insulating film.
【請求項6】 請求項1〜5のいずれか一つに記載の素
子分離絶縁膜の形成方法を備える、半導体装置の製造方
法。
6. A method for manufacturing a semiconductor device, comprising the method for forming an element isolation insulating film according to claim 1.
JP2000218390A 2000-07-19 2000-07-19 Formation method of element isolation insulating film and manufacturing method of semiconductor device Pending JP2002033381A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000218390A JP2002033381A (en) 2000-07-19 2000-07-19 Formation method of element isolation insulating film and manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000218390A JP2002033381A (en) 2000-07-19 2000-07-19 Formation method of element isolation insulating film and manufacturing method of semiconductor device

Publications (1)

Publication Number Publication Date
JP2002033381A true JP2002033381A (en) 2002-01-31

Family

ID=18713349

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000218390A Pending JP2002033381A (en) 2000-07-19 2000-07-19 Formation method of element isolation insulating film and manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP2002033381A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004023549A1 (en) * 2002-08-30 2004-03-18 Fujitsu Amd Semiconductor Limited Semiconductor device and its manufacturing method
JP2006140521A (en) * 2006-01-10 2006-06-01 Matsushita Electric Ind Co Ltd Manufacturing method for semiconductor device
JP2009200483A (en) * 2008-01-24 2009-09-03 Tokyo Electron Ltd Method for forming silicon oxide film
JP2009272596A (en) * 2008-04-09 2009-11-19 Sony Corp Solid-state imaging device, method of manufacturing the same, and electronic instrument
US7858467B2 (en) 2008-03-28 2010-12-28 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JP2011222860A (en) * 2010-04-13 2011-11-04 Fujifilm Corp Plasma oxidation method and plasma oxidation apparatus
US8728852B2 (en) 2008-04-09 2014-05-20 Sony Corporation Solid-state imaging device, production method thereof, and electronic device

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004023549A1 (en) * 2002-08-30 2004-03-18 Fujitsu Amd Semiconductor Limited Semiconductor device and its manufacturing method
JP2006140521A (en) * 2006-01-10 2006-06-01 Matsushita Electric Ind Co Ltd Manufacturing method for semiconductor device
JP2009200483A (en) * 2008-01-24 2009-09-03 Tokyo Electron Ltd Method for forming silicon oxide film
US7858467B2 (en) 2008-03-28 2010-12-28 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US8097503B2 (en) 2008-03-28 2012-01-17 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US8404537B2 (en) 2008-03-28 2013-03-26 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
JP2009272596A (en) * 2008-04-09 2009-11-19 Sony Corp Solid-state imaging device, method of manufacturing the same, and electronic instrument
US8728852B2 (en) 2008-04-09 2014-05-20 Sony Corporation Solid-state imaging device, production method thereof, and electronic device
JP2011222860A (en) * 2010-04-13 2011-11-04 Fujifilm Corp Plasma oxidation method and plasma oxidation apparatus

Similar Documents

Publication Publication Date Title
JP3984014B2 (en) Method for manufacturing substrate for semiconductor device and substrate for semiconductor device
US7132349B2 (en) Methods of forming integrated circuits structures including epitaxial silicon layers in active regions
JP3027951B2 (en) Method for manufacturing semiconductor device
US20060205128A1 (en) Integrated circuits and methods of forming a field effect transistor
JPH07115124A (en) Trench separation structure at inside of integrated circuit and formation method
JP2004186557A (en) Method for manufacturing semiconductor device
US6121097A (en) Semiconductor device manufacturing method
TWI253114B (en) Semiconductor device with trench isolation structure and method for fabricating the same
US7723189B2 (en) Method for manufacturing semiconductor device having recess gate
US6228741B1 (en) Method for trench isolation of semiconductor devices
JP2002033381A (en) Formation method of element isolation insulating film and manufacturing method of semiconductor device
US6939779B2 (en) Method of manufacturing semiconductor device
US7144769B2 (en) Method to achieve increased trench depth, independent of CD as defined by lithography
JP2002043435A (en) Method for manufacturing system on chip and method for manufacturing semiconductor device
US6060371A (en) Process for forming a trench device isolation region on a semiconductor substrate
KR100510997B1 (en) Method For Forming The Junction Electrode Of Semiconductor Device
US7494918B2 (en) Semiconductor structures including multiple crystallographic orientations and methods for fabrication thereof
KR100842508B1 (en) Method for manufacturing device isolation layer of semiconductor device
JP3816494B2 (en) Dry etching method and semiconductor device manufacturing method
US7501326B2 (en) Method for forming isolation layer of semiconductor device
JP2000031489A (en) Manufacturing semiconductor device
KR20010019185A (en) Method for fabrication a trench isolation
JPH0982794A (en) Trench isolation formation method
JP3321527B2 (en) Method for manufacturing semiconductor device
JP2002100670A (en) Semiconductor device and its manufacturing method