JP2001176788A - Pattern-forming method and semiconductor device - Google Patents

Pattern-forming method and semiconductor device

Info

Publication number
JP2001176788A
JP2001176788A JP36222099A JP36222099A JP2001176788A JP 2001176788 A JP2001176788 A JP 2001176788A JP 36222099 A JP36222099 A JP 36222099A JP 36222099 A JP36222099 A JP 36222099A JP 2001176788 A JP2001176788 A JP 2001176788A
Authority
JP
Japan
Prior art keywords
film
pattern
resist
bond
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP36222099A
Other languages
Japanese (ja)
Inventor
Hiroshi Morisawa
拓 森澤
Hiroshi Fukuda
宏 福田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP36222099A priority Critical patent/JP2001176788A/en
Publication of JP2001176788A publication Critical patent/JP2001176788A/en
Pending legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide an antireflection film having satisfactory antireflection effects and a high etching selective ratio with respect to resist. SOLUTION: A resist film is formed on a treating substrate with a coating- type inorganic antireflection film containing Si-N bond, Si-O bond or Si-H bond in-between. Then, exposure with a light bean of 200 nm or shorter is carried out to form a resist pattern. Then, the base substrate is etched with a mask of the resist pattern.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、光学的投影露光装
置を用いたパターン形成方法および上記技術を用いた半
導体装置の製造方法に関するもので、特にMOS半導体
装置製造方法に適している。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of forming a pattern using an optical projection exposure apparatus and a method of manufacturing a semiconductor device using the above technique, and is particularly suitable for a method of manufacturing a MOS semiconductor device.

【0002】[0002]

【従来の技術】半導体装置製造では回路の高集積化とス
ループットを両立するパターンの形成技術として、波長
248nmのKrFエキシマレーザ等の遠紫外光を用いた
光リソグラフィー技術が用いられている。この方法はマ
スクパターンを通過した光を投影光学系を介して被加工
基体上に形成したレジスト膜に投影露光し、これに所定
の現像処理を行って、パターンを形成するものである。
転写パターンの解像度を向上するために、上記光源の波
長は短波長化されてきており、波長193nmのArFエ
キシマレーザの導入が検討されている。
2. Description of the Related Art In the manufacture of semiconductor devices, a photolithography technique using far ultraviolet light, such as a KrF excimer laser having a wavelength of 248 nm, is used as a technique for forming a pattern that achieves both high integration of circuits and throughput. According to this method, light that has passed through a mask pattern is projected and exposed on a resist film formed on a substrate to be processed via a projection optical system, and a predetermined developing process is performed on the light to form a pattern.
In order to improve the resolution of the transfer pattern, the wavelength of the light source has been shortened, and introduction of an ArF excimer laser having a wavelength of 193 nm is being studied.

【0003】このような光リソグラフィー技術では、被
加工基体上とレジスト膜の界面における光反射によりレ
ジスト膜内での光の多重干渉が生じる。このためレジス
ト膜厚の変動により現像後のパターンの寸法変動が生じ
ることが問題となっている。
In such an optical lithography technique, multiple interference of light in the resist film occurs due to light reflection at an interface between the substrate to be processed and the resist film. For this reason, there has been a problem that a change in the resist film thickness causes a dimensional change in the pattern after development.

【0004】上記の問題を低減するために、上記基体と
レジスト膜の間に各種反射防止膜を挿むことが検討され
ている。上記反射防止膜として、ケミカルベーパーデポ
ジション(CVD)法等で形成したSiON膜等の無機
膜を用いる無機CVD反射防止膜(BARL:Bottom
Anti Reflective Layer)法が知られている。さらに
上記反射防止膜用膜として塗布有機膜を用いる方法(B
ARC法:Bottom Anti Reflective Coating)も用
いられている。また、上記被加工基体上に回転塗布によ
りポリフェニルシルセスキオキサン膜を反射防止膜とし
て形成する方法も検討されている。
[0004] In order to reduce the above-mentioned problems, it has been studied to insert various antireflection films between the substrate and the resist film. As the anti-reflection film, an inorganic CVD anti-reflection film (BARL: Bottom) using an inorganic film such as a SiON film formed by a chemical vapor deposition (CVD) method or the like.
Anti Reflective Layer) method is known. Further, a method using a coating organic film as the antireflection film (B)
The ARC method (Bottom Anti Reflective Coating) is also used. In addition, a method of forming a polyphenylsilsesquioxane film as an antireflection film by spin coating on the substrate to be processed has been studied.

【0005】上記の方法を含む様々な従来レジストパタ
ーン形成方法については、例えば「レジスト材料・プロ
セス技術」技術情報協会刊等に論じられている。
[0005] Various conventional resist pattern forming methods including the above method are discussed in, for example, "Resist Materials and Process Techniques" published by Technical Information Association.

【0006】[0006]

【発明が解決しようとする課題】上記CVD−SiON
膜を用いた反射防止膜形成方法は、ウェハー上に均一な
膜厚で膜形成することがウェハーの大口径化にしたがっ
て難しくなる。また高価な膜形成装置を必要とするとい
う問題もあった。
The above-mentioned CVD-SiON
In the method of forming an antireflection film using a film, it becomes difficult to form a film with a uniform film thickness on a wafer as the diameter of the wafer increases. There is also a problem that an expensive film forming apparatus is required.

【0007】一方、有機膜を用いるBARC法では、露
光現像して作成したレジストパターンをマスクとしてB
ARC膜をドライエッチングする際にレジストパターン
が劣化するため、レジストの膜厚を厚くする必要があ
り、この場合十分な解像度が得られない、あるいはパタ
ーンが倒れてしまう等の問題があった。
On the other hand, in the BARC method using an organic film, a resist pattern formed by exposure and development
Since the resist pattern deteriorates when the ARC film is dry-etched, it is necessary to increase the thickness of the resist. In this case, there is a problem that a sufficient resolution cannot be obtained or the pattern falls.

【0008】さらに、上記ポリフェニルシルセスキオキ
サンを用いる材料プロセスは、有機Si系の材料である
ので、剥離の際微少粒子等の異物が発生し易いといった
問題があった。
Further, the material process using the above-mentioned polyphenylsilsesquioxane has a problem that foreign matters such as fine particles are easily generated at the time of peeling, since it is an organic Si-based material.

【0009】本発明の目的は、以上の問題を解決し、均
一性、プロセス裕度に優れ、かつ異物発生の少ない反射
防止膜を用いたパターン形成方法を提供することにあ
る。
An object of the present invention is to solve the above-mentioned problems and to provide a pattern forming method using an antireflection film which is excellent in uniformity and process latitude and generates little foreign matter.

【0010】[0010]

【課題を解決するための手段】上記目的を達成するため
の手段を図1を用いて説明する。下地基体103上にS
i−H結合、もしくはSi−N結合の少なくとも一つを
含む無機ポリマーまたは無機オリゴマーを用いて、回転
塗布により無機薄膜101を形成した後、上記無機薄膜
上にレジスト膜102を形成し(図1a)、波長200
nm以下の光104を用いて上記レジスト膜を選択的に所
定領域105に露光し(図1b)、その後現像して露光
部105または未露光部の膜を選択的に除去してパター
ン106を形成する(図1c)。
Means for achieving the above object will be described with reference to FIG. S on base substrate 103
After forming an inorganic thin film 101 by spin coating using an inorganic polymer or an inorganic oligomer containing at least one of an iH bond or a Si-N bond, a resist film 102 is formed on the inorganic thin film (FIG. 1a). ), Wavelength 200
The resist film is selectively exposed to a predetermined region 105 using light 104 of nm or less (FIG. 1B), and then developed to selectively remove the exposed portion 105 or the unexposed portion of the film to form a pattern 106. (FIG. 1c).

【0011】つぎに上記パターンをエッチングマスクと
して上記無機薄膜へパターン転写して無機薄膜パターン
107を形成し(図1d)、上記無機薄膜と上記レジス
ト膜パターンを用いて上記下地基体をエッチング加工し
て基体パターン108を形成し(図1e)、しかる後、
上記レジストパターンを除去して所望のパターン109
を得る(図1f)。
Next, the pattern is transferred to the inorganic thin film using the pattern as an etching mask to form an inorganic thin film pattern 107 (FIG. 1d), and the base substrate is etched using the inorganic thin film and the resist film pattern. A base pattern 108 is formed (FIG. 1e), and thereafter,
The desired pattern 109 is removed by removing the resist pattern.
(FIG. 1f).

【0012】上記Si−H結合、Si−N結合の少なく
とも1つを有する無機回転塗布材料として、ヒドロキシ
シロキサザンや、ヒドロキシシラザン、ヒドロシルセス
キオキサン等のポリマー、オリゴマー、共重合体、また
はこれらの混合物を用いることができる。特に、化学式
1の一般式で表される化学構造を含む化合物、または上
記化合物を含む無機混合物を用いると、さらに優れた結
果が得られる。
Examples of the inorganic spin coating material having at least one of the above Si—H bond and Si—N bond include polymers, oligomers, copolymers such as hydroxysiloxazan, hydroxysilazane and hydrosilsesquioxane, or these. Can be used. In particular, when a compound having the chemical structure represented by the general formula of Chemical Formula 1 or an inorganic mixture containing the above compound is used, more excellent results can be obtained.

【0013】[0013]

【化2】 Embedded image

【0014】ここで、上記化学式1中のRは水素基また
は水酸基または無機物塩基の何れかを表し、各官能基が
同じである必要は無い。
Here, R in the above chemical formula 1 represents either a hydrogen group, a hydroxyl group or an inorganic base, and the functional groups do not need to be the same.

【0015】上記有機レジストから無機膜と、無機膜か
ら基体へのパターン転写は、同一エッチング装置中で連
続して行うことも可能である。
The pattern transfer from the organic resist to the inorganic film and from the inorganic film to the substrate can be performed continuously in the same etching apparatus.

【0016】本方法で形成した無機反射防止膜の除去に
おいては、機械的研磨、化学的機械研磨(CMP)、希
フッ酸や強アルカリ等によるウェット工程、またはフッ
素ガス系等を用いたドライエッチング等を用いることが
できる。
In the removal of the inorganic antireflection film formed by this method, mechanical polishing, chemical mechanical polishing (CMP), a wet process using diluted hydrofluoric acid or a strong alkali, or dry etching using a fluorine gas system or the like is used. Etc. can be used.

【0017】また、エッチングにより形成した下地基体
の溝部に配線材料等を埋め込み、しかる後にその表面を
研磨加工し、表面を平坦化すると同時に上記無機膜を除
去するか、または配線材料平坦化の後上記無機薄膜を除
去する等してもよい。
Further, a wiring material or the like is buried in the groove of the base substrate formed by etching, and then the surface thereof is polished to flatten the surface and simultaneously remove the inorganic film, or after flattening the wiring material, The inorganic thin film may be removed.

【0018】本方法は、塗布型無機オリゴマーまたはポ
リマーを用いて反射防止膜を形成することを特徴として
いる。Si−H結合、Si−N結合の少なくとも一つを
含む無機ポリマーまたは無機オリゴマーを用いて、無機
反射防止膜を形成した場合、CF系エッチングガス等を
用いることによりレジストパターンと上記反射防止膜の
間のエッチング選択比を得ることが容易である。よっ
て、パターン形成するレジスト膜厚を前記BARCを用
いる場合と比べて薄膜化できる。レジスト膜厚を薄膜化
は、解像度の向上や、プロセス裕度の向上に寄与する。
The present method is characterized in that an antireflection film is formed using a coating type inorganic oligomer or polymer. When an inorganic anti-reflection film is formed using an inorganic polymer or an inorganic oligomer containing at least one of a Si-H bond and a Si-N bond, a resist pattern and the anti-reflection film are formed by using a CF-based etching gas or the like. It is easy to obtain an etching selectivity between the two. Therefore, the thickness of the resist for pattern formation can be reduced as compared with the case where BARC is used. Reducing the thickness of the resist film contributes to improvement in resolution and process latitude.

【0019】なお、上記無機膜の厚さは、回転塗布によ
り均一に形成するため30nm以上500nm以下が望まし
い。
The thickness of the inorganic film is preferably 30 nm or more and 500 nm or less in order to form the film uniformly by spin coating.

【0020】本方法は、回転塗布により薄膜を形成する
ため、前記BARL法に比べて、(1)膜形成中に異物
が発生しにくい、(2)高価な装置を用いない、(3)
平坦な大口径ウェハー上に、均質な膜を均一な膜厚で形
成することが容易である等の利点がある。なぜなら、本
方法を用いて形成した無機Si反射防止膜は、有機分を
含まないため、CMP法、ドライプロセス等の方法で剥
離した際、微粒子の発生を低減することが可能であるた
めである。このため、前記フェニルシルセスキオキサン
等により形成した有機Si系反射防止膜を用いる方法に
比べて、欠陥の少ないパターン形成が可能となる。
In this method, since a thin film is formed by spin coating, compared to the BARL method, (1) foreign substances are less likely to be generated during film formation, (2) no expensive apparatus is used, and (3)
There are advantages such as that it is easy to form a uniform film with a uniform film thickness on a flat large-diameter wafer. This is because the inorganic Si antireflection film formed using this method does not contain an organic component, and thus can reduce the generation of fine particles when peeled by a method such as a CMP method or a dry process. . For this reason, a pattern with less defects can be formed as compared with the method using an organic Si-based antireflection film formed of the phenylsilsesquioxane or the like.

【0021】下地基板とレジスト界面の反射率Rは、基
板とレジストの複素屈折率の差をU1とし、基板とレジ
ストの複素屈折率の和をU2とすると、Rは、(|U1
|/|U2|)の二乗で表せる。多くの真空紫外露光用
レジストは、露光波長に対して、膜厚1μm当たり50
%程度の透過率と1.5前後の屈折率を有している。こ
れらのレジストとほぼ同じ程度の屈折率かつ、吸収の大
きい材料を、反射防止膜に選択することによって、反射
率Rを小さくすることができる。
Assuming that the difference between the complex refractive indices of the substrate and the resist is U1 and the sum of the complex refractive indices of the substrate and the resist is U2, the reflectance R of the interface between the underlying substrate and the resist is (│U1
| / | U2 |) squared. Many resists for vacuum ultraviolet exposure have an exposure wavelength of 50 μm / μm film thickness.
% And a refractive index of about 1.5. By selecting a material having a refractive index substantially equal to that of these resists and a large absorption for the antireflection film, the reflectance R can be reduced.

【0022】また、反射防止膜内の干渉を用いることに
よっても、形成した反射防止膜のRを小さくすることが
できる。上記反射防止膜内での干渉により、反射防止膜
のRは、反射防止膜の膜厚の関数でもある。そのため、
最適な膜厚の反射防止膜を形成することにより、優れた
反射防止効果が得られる。
Also, by using the interference in the anti-reflection film, the R of the formed anti-reflection film can be reduced. Due to the interference in the antireflection film, R of the antireflection film is also a function of the thickness of the antireflection film. for that reason,
By forming an antireflection film having an optimum thickness, an excellent antireflection effect can be obtained.

【0023】一般的に露光する波長に対して反射防止膜
は2/μm以上の吸収を有することが望ましい。よっ
て、本材料系は、200nm以下の露光波長において光学
的に反射防止材料としての性能に優れている。また、組
成を変更することによりレジストの光学パラメータに対
応して最適化することも可能である。
In general, it is desirable that the antireflection film has an absorption of 2 / μm or more for the wavelength to be exposed. Therefore, this material system is excellent in optical performance as an antireflection material at an exposure wavelength of 200 nm or less. Further, it is also possible to optimize according to the optical parameters of the resist by changing the composition.

【0024】本方法に用いるSi−H結合、またはSi
−N結合は、波長200nm以下の光に対して強い光吸収
を示す。図5にポリヒドロシラザンの光吸収の測定結果
を示す。図5からも明らかなように、波長220nmから
吸収が急に大きくなり、波長200nmでは、15/μm
以上の非常に強い吸収を示す。よって、これらの材料を
用いることにより、本方法を露光波長200nm以下の光
源を有する投影露光装置と組み合わせるのに適してい
る。適用する光源としては、ArFエキシマレーザおよ
びF2エキシマレーザを用いることが望ましい。
The Si—H bond or Si
The -N bond shows strong light absorption for light having a wavelength of 200 nm or less. FIG. 5 shows the measurement results of light absorption of polyhydrosilazane. As is clear from FIG. 5, the absorption sharply increases from a wavelength of 220 nm, and at a wavelength of 200 nm, the absorption becomes 15 / μm.
The above shows a very strong absorption. Therefore, by using these materials, the present method is suitable for combination with a projection exposure apparatus having a light source having an exposure wavelength of 200 nm or less. As an applied light source, it is desirable to use an ArF excimer laser and an F2 excimer laser.

【0025】本発明の反応機構をポリヒドロシロキサザ
ンの場合について説明する。ポリヒドロシロキサザンを
回転塗布して熱を加えると、反応活性の高いSi−H基
が空気中の水分子や酸素分子と反応して、シラノール結
合を生成する。シラノール結合は、比較的不安定なため
熱等により脱水しSi−O結合を生成する。特に酸の存
在下では上記反応は極めて速く行われる。そのため上記
プロセスにおいては、空気中の酸素分子と水分子の存
在、膜中の酸のコントロール等が反応の制御において重
要である。
The reaction mechanism of the present invention will be described for the case of polyhydrosiloxazan. When polyhydrosiloxane is spin-coated and heat is applied, Si-H groups having high reaction activity react with water molecules or oxygen molecules in the air to generate silanol bonds. Since the silanol bond is relatively unstable, it is dehydrated by heat or the like to form a Si—O bond. Particularly in the presence of an acid, the above reaction proceeds extremely quickly. Therefore, in the above-mentioned process, the presence of oxygen molecules and water molecules in the air and the control of the acid in the film are important in controlling the reaction.

【0026】また、プロセス温度を200度以上にする
とSi−H結合が壊れ、空気中の酸素分子や、水分と反
応してSi−O結合を生成する。このためSi−H結合
とSi−O結合の比率をプロセスによって容易にコント
ロールできる利点がある。
When the process temperature is set to 200 ° C. or higher, the Si—H bond is broken, and reacts with oxygen molecules or moisture in the air to form a Si—O bond. Therefore, there is an advantage that the ratio between the Si—H bond and the Si—O bond can be easily controlled by a process.

【0027】上記ポリヒドロシロキサザンは波長220
nm以上の光に対しては透明であるが、波長200nm以下
の光に対しては吸収が強くなり、ArFエキシマレーザ
の波長(193nm)では殆ど光を透過しない(吸収率係
数=15/μm)。一方、Si−O結合はArFエキシ
マレーザに対して透明であるで、熱処理の温度を変える
ことによって、吸収率を変化させることが可能である。
The above polyhydrosiloxazan has a wavelength of 220
Although it is transparent to light with a wavelength of 200 nm or more, it absorbs light with a wavelength of 200 nm or less, and hardly transmits light at an ArF excimer laser wavelength (193 nm) (absorption coefficient = 15 / μm). . On the other hand, since the Si—O bond is transparent to the ArF excimer laser, it is possible to change the absorptance by changing the temperature of the heat treatment.

【0028】さらに、上記ポリヒドロシロキサザンはS
i−O結合とSi−N結合の比率を変えることによって
屈折率の制御ができる。上記Si−H結合からSi−O
結合を生成する化学変化は屈折率の変化も伴うので、屈
折率をプロセスによっても制御することができる。
Further, the above polyhydrosiloxazan is S
The refractive index can be controlled by changing the ratio between the i-O bond and the Si-N bond. From the above Si-H bond,
Since the chemical change that produces the bond is accompanied by a change in the refractive index, the refractive index can also be controlled by the process.

【0029】以上をまとめると、上記無機薄膜を使用す
ることにより、下地基体からの反射とレジスト膜の膜内
多重干渉による寸法変動の影響を抑えられる。無機薄膜
の膜厚、上部レジスト膜厚に応じて、膜の吸収率、屈折
率を最適化することができる。
In summary, the use of the above-mentioned inorganic thin film can suppress the influence of dimensional fluctuation due to reflection from the underlying substrate and multiple interference in the resist film. The absorptivity and the refractive index of the film can be optimized according to the thickness of the inorganic thin film and the thickness of the upper resist.

【0030】以上の説明では、ポリヒドロシロキサザン
の場合について述べたが、本発明の趣旨を変えない範囲
のSi−N結合、Si−H結合、もしくはSi−O結合
等を含有する塗布用無機材料をすべて用いることができ
る。また、本発明の趣旨を変えない範囲での上記以外の
結合を含んでよいことは言うまでもない。
In the above description, the case of polyhydrosiloxazane has been described. However, a coating inorganic material containing a Si—N bond, a Si—H bond, a Si—O bond or the like within a range not changing the gist of the present invention. All materials can be used. It goes without saying that other bonds than those described above may be included in a range that does not change the gist of the present invention.

【0031】また、上記感光材と上記基体との密着性を
強化するため、下地基体に表面処理を行うことや上記感
光材に密着性を向上させる材料を添加することが好まし
い。さらに、上記レジストに遠紫外光照射によってラジ
カルを発生させる化合物を導入、混合することは熱プロ
セスの高速化、安定化に有効である。
In order to enhance the adhesion between the photosensitive material and the substrate, it is preferable to perform a surface treatment on the underlying substrate or to add a material for improving the adhesion to the photosensitive material. Furthermore, introducing and mixing a compound that generates radicals by irradiating far ultraviolet light into the resist is effective for speeding up and stabilizing the thermal process.

【0032】特に、上層レジストが化学増幅系レジスト
である場合には、酸発生剤を添加、または酸性材料を添
加する等によって、上記無機膜の熱プロセスの高速化と
同時に上記レジストパターンの裾引き等の問題を解決す
ることができる。
In particular, when the upper resist is a chemically amplified resist, by adding an acid generator or an acidic material, the thermal process of the inorganic film is accelerated, and at the same time, the bottom of the resist pattern is formed. Etc. can be solved.

【0033】上記現像後、基体を200度以上に加熱す
る、または酸素アッシングや酸素リアクティブイオンエ
ッチング等により酸素プラズマにさらす、または波長3
00nm以下の光を照射する等によって、上記無機膜のS
iO2化を促進してドライエッチング耐性、吸湿性等の
膜の性質を改善することができる。
After the development, the substrate is heated to 200 ° C. or more, exposed to oxygen plasma by oxygen ashing, oxygen reactive ion etching, or the like,
By irradiating light of not more than 00 nm, S
By promoting the formation of iO 2 , it is possible to improve the film properties such as dry etching resistance and hygroscopicity.

【0034】一方、窒素雰囲気中や還元雰囲気中で加熱
することにより、反射防止膜パターンをシリコンナイト
ライド化することも可能であり、これによりドライエッ
チング耐性、吸湿性等の膜の性質を改善することができ
る。
On the other hand, by heating in a nitrogen atmosphere or a reducing atmosphere, the antireflection film pattern can be made into silicon nitride, thereby improving the film properties such as dry etching resistance and moisture absorption. be able to.

【0035】形成された上記SiONパターンは、上記
レジストパターンに対して、高い選択比が得られる場合
がある。また、上記SiOxyパターンをマスクとして
下地ポリシリコン等をドライエッチング加工すると、有
機物によって構成された従来レジストをマスクとする場
合より高い選択比が得られる場合がある。
The formed SiON pattern may provide a high selectivity with respect to the resist pattern. When the underlying polysilicon or the like is dry-etched using the SiO x N y pattern as a mask, a higher selectivity may be obtained than when a conventional resist made of an organic material is used as a mask.

【0036】有機レジストを用いるパターン形成工程に
は、上記本発明のパターン形成方法が適用可能である。
これにより寸法制御に優れたパターン形成が可能であ
る。
The pattern forming method of the present invention can be applied to the pattern forming step using an organic resist.
As a result, a pattern with excellent dimensional control can be formed.

【0037】本発明のパターン形成方法は、メモリーま
たはマイクロプロセッサー等様々な半導体集積回路(L
SI)の製造に適用することができる。MOS半導体の
場合、アモルファスシリコンまたはメタル等のゲート材
料のパターン形成、ダマシン用Si溝形成、スルーホー
ルの形成等様々なパターン形成工程で本発明の方法を利
用することができる。
The pattern forming method of the present invention can be applied to various semiconductor integrated circuits (L
SI). In the case of a MOS semiconductor, the method of the present invention can be used in various pattern forming steps such as pattern formation of a gate material such as amorphous silicon or metal, formation of a damascene Si groove, and formation of a through hole.

【0038】本発明の方法において形成される上記無機
層のパターンは、下地加工後には除去してもよいが、除
去せずに半導体装置中に残せば製造工程が一層簡便にな
る。この場合、その誘電率がCVDシリコン酸化膜等に
比べて小さい、上記パターン中に有機分を含まない等の
利点がある。また、デバイスの構造上等の理由により、
通常のCVD膜や有機ポリイミド膜と組み合わせて使用
することも可能である。本発明は工程が簡単なためスル
ープットと歩留まりがよい利点がある。
The pattern of the inorganic layer formed in the method of the present invention may be removed after the underlayer processing. However, if the pattern is left in the semiconductor device without being removed, the manufacturing process is further simplified. In this case, there are advantages that the dielectric constant is smaller than that of a CVD silicon oxide film or the like, and that the pattern does not include an organic component. Also, for reasons such as the structure of the device,
It can be used in combination with a normal CVD film or an organic polyimide film. The present invention has the advantage that throughput and yield are good because the process is simple.

【0039】[0039]

【発明の実施の形態】(実施例1)ポリヒドロシルセス
キオキサンとポリヒドロシラザンを2:3の割合で混合
物し、上記混合物のエチルセルソルブ10重量パーセン
ト溶液を、2000rpm、60秒の条件で、表面に7
0nm程度の段差を有するSi基板上に回転塗布した。そ
の後、120℃で3分熱処理して、膜厚50nmの反射防
止膜を形成した。なお、上記反射防止膜のArFエキシ
マレーザ光に対する透過率は約0.1μmの膜厚で5パ
ーセントであった。
(Example 1) Polyhydrosilsesquioxane and polyhydrosilazane were mixed at a ratio of 2: 3, and a 10% by weight solution of the above mixture in ethylcellosolve was prepared at 2000 rpm for 60 seconds. With 7 on the surface
Spin coating was performed on a Si substrate having a step of about 0 nm. Thereafter, heat treatment was performed at 120 ° C. for 3 minutes to form an antireflection film having a thickness of 50 nm. The transmittance of the antireflection film to ArF excimer laser light was 5% at a thickness of about 0.1 μm.

【0040】上記反射防止膜上に、ArF露光用ポジ型
レジスト膜を回転塗布により形成し、ArFエキシマレ
ーザ露光装置(開口率NA=0.60)を用いて寸法
0.13μmから1μmの各種パターンを露光した。
On the antireflection film, a positive resist film for ArF exposure is formed by spin coating, and various patterns having a size of 0.13 μm to 1 μm are measured using an ArF excimer laser exposure apparatus (aperture ratio NA = 0.60). Was exposed.

【0041】パターン露光部を、走査型電子顕微鏡で観
察した結果、レーザ照射量22mJ/cm2に対して、
寸法0.13μmのパターンが形成されたことを確認し
た。また、寸法測長SEMにより上記形成したパターン
の寸法測定したところ、段差部でも所望の寸法に対して
10%以内の寸法精度でパターンが形成できた。これに
より、本方法による無機薄膜の基板界面からの反射防止
の効果も確認できた。
As a result of observing the pattern exposure portion with a scanning electron microscope, it was found that the laser exposure amount was 22 mJ / cm 2 .
It was confirmed that a pattern having a dimension of 0.13 μm was formed. Also, when the dimensions of the pattern formed above were measured by dimension measurement SEM, the pattern could be formed with a dimensional accuracy within 10% of the desired dimension even at the stepped portion. As a result, the effect of preventing reflection of the inorganic thin film from the substrate interface by the present method was also confirmed.

【0042】(実施例2)つぎに本発明をMOS集積回
路のゲート加工工程に適用した例について図2を用いて
説明する。熱酸化を行ったSi基板201上に膜厚20
0nmのポリSi層202/WSi層203をCVD法に
より形成した。上記膜上にポリヒドロシロキサザンのキ
シレン溶液(濃度15重量パーセント)を2000rp
m、60秒の条件で回転塗布し、その後200℃で1分
熱処理して、膜厚70nm反射防止膜204を形成した。
(Embodiment 2) Next, an example in which the present invention is applied to a gate processing step of a MOS integrated circuit will be described with reference to FIG. A film thickness of 20 on the thermally oxidized Si substrate 201;
A 0 nm poly-Si layer 202 / WSi layer 203 was formed by a CVD method. A xylene solution of polyhydrosiloxazane (concentration 15% by weight) was applied onto the membrane at 2000 rpm.
The film was spin-coated under the conditions of m and 60 seconds, and then heat-treated at 200 ° C. for 1 minute to form an antireflection film 204 having a thickness of 70 nm.

【0043】このとき、波長193nmの光に対する上記
膜の反射率は3%程度であった。また、ポリヒドロシロ
キサザンは回転塗布により膜厚30〜1000nmの均一
な薄膜が形成可能であった。
At this time, the reflectivity of the film for light having a wavelength of 193 nm was about 3%. In addition, a uniform thin film having a thickness of 30 to 1000 nm could be formed by spin coating of polyhydrosiloxazan.

【0044】つぎに、膜厚300nmのArF露光用ポジ
型レジスト膜205を回転塗布により形成した。上記基
板に、ArFエキシマレーザ露光装置(NA=0.6
0)を用いて寸法0.11μmから1μmの各種パターン
を露光した後、所定の熱処理およびウェット現像により
パターン露光部を取り除きパターン206を形成した。
レーザ照射量20mJ/cm2に対して、最小寸法0.
13μmのパターンを形成されたことを走査型電子顕微
鏡で確認した。また、周期型位相シフトマスクを用いた
場合には、周期200nmで寸法70nmのパターンを形成
できた。
Next, a 300-nm thick positive resist film 205 for ArF exposure was formed by spin coating. An ArF excimer laser exposure apparatus (NA = 0.6
After exposing various patterns having a size of 0.11 μm to 1 μm using the method (0), the pattern exposed portion was removed by a predetermined heat treatment and wet development to form a pattern 206.
For a laser irradiation dose of 20 mJ / cm 2 , the minimum dimension is 0.1 mm.
The formation of the 13 μm pattern was confirmed by a scanning electron microscope. When a periodic phase shift mask was used, a pattern having a size of 70 nm with a period of 200 nm could be formed.

【0045】つぎに、上記レジストパターンをC26
2+Heのエッチングガスを用いて上記ポリヒドロシ
ロキサザン膜へドライエッチングにより転写した。この
際の上記ArF露光用レジストに対する、ポリヒドロシ
ロキサザン膜のエッチング速度は3倍程度であった。
Next, the above resist pattern was converted to C 2 F 6 +
Using an etching gas of O 2 + He, the film was transferred to the polyhydrosiloxazan film by dry etching. At this time, the etching rate of the polyhydrosiloxazan film with respect to the ArF exposure resist was about three times.

【0046】さらに下地ポリSiの膜を、上記パターン
をマスクとしてCl2+O2のエッチングガスによりドラ
イエッチングしてパターン207を形成した。この際、
上記反射防止膜パターンはハードマスクとしての役割も
果たしており、寸法変動を少なく下地ポリSi膜に転写
することを可能にしている。上記双方のパターン転写の
場合に、高選択性や加工形状の矩形性を向上するために
添加するO2エッチングガスの比率を多くすると、エッ
チング後の加工されたパターンのエッジラフネスが大き
くなる傾向にあった。
Further, the underlying poly-Si film was dry-etched using the above pattern as a mask with an etching gas of Cl 2 + O 2 to form a pattern 207. On this occasion,
The anti-reflection film pattern also plays a role as a hard mask, enabling a small dimensional change to be transferred to the underlying poly-Si film. In the case of both of the above-described pattern transfer, when the ratio of the O 2 etching gas added for improving the high selectivity and the rectangularity of the processed shape is increased, the edge roughness of the processed pattern after etching tends to increase. there were.

【0047】上記ArF露光用レジストを酸素アッシン
グで取り除くと、上記反射防止膜も酸化され、密度の小
さい比較的ポーラスなSiO2膜に変化した。そのた
め、化学的機械研磨(CMP)法で容易に剥離すること
ができた。剥離後、異物検査機により異物数を測定した
ところ、0.8個/8インチウェハであった。
When the ArF exposure resist was removed by oxygen ashing, the antireflection film was also oxidized and changed to a relatively porous SiO 2 film having a low density. Therefore, it could be easily peeled off by a chemical mechanical polishing (CMP) method. After the peeling, when the number of foreign substances was measured by a foreign substance inspection device, it was 0.8 wafers / 8 inch wafer.

【0048】本実施例では、ポリヒドロシロキサザンを
反射防止膜に用いたが、Si−H結合、Si−N結合、
Si−O結合のいずれかを有する無機材料で回転塗布で
き、同様の効果が得られる材料なら本実施例に示したも
のに限らない。また、シラザン系材料を用いる場合には
シラザン結合から生成するアンモニアが、上層レジスト
膜への解像性を損なう場合があるので、熱処理の時間を
材料に応じて最適化する必要がある。
In this embodiment, polyhydrosiloxane was used for the antireflection film, but the Si—H bond, the Si—N bond,
The material is not limited to the one shown in this embodiment as long as it can be spin-coated with an inorganic material having any of Si—O bonds and can obtain the same effect. When a silazane-based material is used, ammonia generated from a silazane bond may impair the resolution of the upper resist film, and therefore, it is necessary to optimize the heat treatment time according to the material.

【0049】エッチングガスとして塩素ガスを用いた
が、ポリシリコンのエッチングガスとして用いられるガ
スであれば、本実施例にとらわれず使用できる。例えば
臭酸(+酸素)等の臭素系ガス、またはフッ素系ガスを
用いてもよい。また、本実施例と同様にして、ポリメタ
ルシリコンゲート、メタルゲート、またはこれらのゲー
トのキャップ材となるSi酸化膜、Si窒化膜等の加工
を行うこともできる。
Although chlorine gas is used as an etching gas, any gas used as an etching gas for polysilicon can be used without being restricted to this embodiment. For example, a bromine-based gas such as bromic acid (+ oxygen) or a fluorine-based gas may be used. Further, in the same manner as in the present embodiment, it is possible to process a polymetal silicon gate, a metal gate, or a Si oxide film, a Si nitride film, or the like which serves as a cap material for these gates.

【0050】本実施例により、塗布型無機反射防止膜を
用いて微細ゲートパターンを形成することができた。上
記無機反射防止膜は、上層のレジスト膜とのエッチング
選択比にすぐれ、かつ剥離による微細粒子の発生が低減
できた。
According to the present example, a fine gate pattern could be formed using the coating type inorganic antireflection film. The inorganic antireflection film was excellent in the etching selectivity with respect to the upper resist film, and the generation of fine particles due to peeling was reduced.

【0051】(実施例3)つぎに本発明を半導体装置製
造の配線工程に用いられるデュアルダマシン工程に適用
した実施例を、図3を用いて説明する。
(Embodiment 3) Next, an embodiment in which the present invention is applied to a dual damascene process used in a wiring process for manufacturing a semiconductor device will be described with reference to FIG.

【0052】配線間を絶縁物で充填した配線パターン3
01を表面に有する半導体装置基体上に、膜厚50nmシ
リコンナイトライド膜302を形成し、さらにその上に
CVD法を用いて厚さ700nmのSiO2膜303を形
成した。上記SiO2膜上に、膜厚70nmのポリヒドロ
シロキサザン膜304を回転塗布法により形成した(図
3a)。
Wiring pattern 3 filled with insulating material between wirings
A silicon nitride film 302 having a thickness of 50 nm was formed on a semiconductor device substrate having 01 on the surface, and a SiO 2 film 303 having a thickness of 700 nm was formed thereon by CVD. A 70 nm-thick polyhydrosiloxazan film 304 was formed on the SiO 2 film by a spin coating method (FIG. 3A).

【0053】つぎに、厚さ400nmのArF露光用ポジ
型レジスト膜305を回転塗布により形成した。ArF
露光装置(NA=0.6)を用いて公知のリソグラフィ
工程により上記Cu配線パターン上に位置合わせされた
径0.15μmのレジストホールパターン306を形成
した(図3b)。
Next, a positive resist film 305 for ArF exposure having a thickness of 400 nm was formed by spin coating. ArF
A resist hole pattern 306 having a diameter of 0.15 μm and aligned on the Cu wiring pattern was formed by a known lithography process using an exposure apparatus (NA = 0.6) (FIG. 3B).

【0054】つぎに上記レジストホールパターン306
をマスクに、CF系エッチングガスを用いてポリヒドロ
シロキサザン膜304と下地SiO2膜303をエッチ
ングした。さらに、残ったレジストパターンを酸素アッ
シングにより除去した(図3c)。
Next, the resist hole pattern 306 is formed.
, The polyhydrosiloxane film 304 and the underlying SiO 2 film 303 were etched using a CF-based etching gas. Further, the remaining resist pattern was removed by oxygen ashing (FIG. 3C).

【0055】つぎに、ポリヒドロシロキサザン膜は残し
たままで、その上に膜厚350nmのArF露光用ポジ型
レジスト膜307を再び塗布した(図3d)。ArF露
光装置を用いて、幅0.15μmの配線パターンを露光
し、上記の工程で加工したホールパターン上に配線部の
形状を有するレジストパターン308を形成した(図3
e)。
Next, a 350 nm-thick positive resist film 307 for ArF exposure was applied again on the polyhydrosiloxazan film while leaving the film (FIG. 3d). Using an ArF exposure apparatus, a wiring pattern having a width of 0.15 μm was exposed, and a resist pattern 308 having a wiring portion shape was formed on the hole pattern processed in the above process (FIG. 3).
e).

【0056】上記配線パターンを用いて上記下地SiO
2膜303を深さ300nmだけエッチングした。その
後、ArF露光用レジスト膜を酸素アッシングにより除
去した(図3f)。上記ホール底部のシリコンナイトラ
イド膜とポリヒドロシロキサザン反射防止膜を同時にド
ライエッチングにより除去した。このため本方法の工程
は非常に簡便であった。
Using the wiring pattern, the base SiO
The second film 303 was etched by a depth of 300 nm. Thereafter, the resist film for ArF exposure was removed by oxygen ashing (FIG. 3F). The silicon nitride film and the polyhydrosiloxazan antireflection film at the bottom of the hole were simultaneously removed by dry etching. For this reason, the steps of the present method were very simple.

【0057】上記のホールと配線溝の一体となったSi
2の加工物309の溝部分に、Cuをメッキ法により
埋め込み、しかる後CMP法により、ホールと配線溝部
以外の基板表面に存在する余分なCuを除去した。以上
によりCu配線310を形成した(図3g)。
The above-mentioned hole and the wiring groove are integrated with Si.
Cu was buried in the groove portion of the O 2 workpiece 309 by plating, and thereafter, excess Cu existing on the substrate surface other than the hole and the wiring groove was removed by CMP. Thus, a Cu wiring 310 was formed (FIG. 3G).

【0058】上記実施例中では、ポリヒドロシロキサザ
ン膜304の剥離を、底部のシリコンナイトライド膜3
02の除去と同時に行っているが、本発明の趣旨を変え
ない範囲で、剥離の手順、方法を変更することが可能で
ある。例えば、ポリヒドロシロキサザン膜304は、C
u膜310をCMPする際に、同時に剥離することも可
能である。また、ポリヒドロシロキサザン膜304に強
い酸素アッシングを適用すると、膜質がポーラスにな
り、CMP法を適用した場合、下地SiO2膜との選択
比向上を図ることができる。
In the above embodiment, the separation of the polyhydrosiloxane film 304 was performed by using the silicon nitride film 3 at the bottom.
Although the removal is performed at the same time as the removal of 02, the peeling procedure and method can be changed without departing from the spirit of the present invention. For example, the polyhydrosiloxazane film 304 is made of C
When the u film 310 is subjected to CMP, it can be peeled off at the same time. Further, when strong oxygen ashing is applied to the polyhydrosiloxane film 304, the film quality becomes porous, and when the CMP method is applied, the selectivity with respect to the underlying SiO 2 film can be improved.

【0059】ただし、本実施例では、ポリヒドロシロキ
サザン膜とシリコンナイトライド膜を同時に除去するよ
うにドライエッチング条件を設定したので工程が簡便で
あった。
However, in this embodiment, the process was simple because the dry etching conditions were set so that the polyhydrosiloxane film and the silicon nitride film were simultaneously removed.

【0060】上記実施例中では、同じポリヒドロシロキ
サザン膜を2回のレジスト露光工程時の反射防止膜とし
て共通に用いているため、工程が簡略であった、レジス
トパターン形成を行うたびに別々に反射防止膜を形成し
てもよい。また、上記パターン形成する場合、形成する
パターンに、密着性、解像性、プロセス裕度等が、最適
化されたArF露光用レジストを用いることが望まし
い。
In the above embodiment, the same polyhydrosiloxane film is commonly used as an anti-reflection film in the two resist exposure steps, so that the steps are simplified. May be formed with an anti-reflection film. In the case of forming the pattern, it is desirable to use an ArF exposure resist in which the adhesion, the resolution, the process latitude and the like are optimized for the pattern to be formed.

【0061】Si−N結合を含む材料を用いる際には、
レジスト膜を形成する前に、酸素アッシング工程等を適
用することにより密着性を向上させることができる。
When a material containing a Si—N bond is used,
By applying an oxygen ashing step or the like before forming the resist film, the adhesion can be improved.

【0062】本実施例により本発明を用いてデュアルダ
マシン法による配線パターンを形成することができた。
According to the present embodiment, a wiring pattern can be formed by the dual damascene method using the present invention.

【0063】(実施例4)つぎに図4を用いて本発明を
用いたMOS半導体の装置の製造方法について説明す
る。なお、以下の説明は、MOS半導体装置製造プロセ
スの主要な工程と本発明の関連を示すためのものであ
り、したがって製造工程の全てについて述べたものでは
ない。
(Embodiment 4) Next, a method of manufacturing a MOS semiconductor device using the present invention will be described with reference to FIG. The following description is for the purpose of showing the relationship between the main steps of the MOS semiconductor device manufacturing process and the present invention, and therefore does not describe all the manufacturing steps.

【0064】(1)素子分離の形成 まず、シリコン基体404上に窒化シリコン膜403を
形成した後、実施例1とほぼ同様の方法を用いて、製造
するMOS集積回路の活性層部分にレジストパターン4
01を形成した(図4a)。つぎにこれをマスクとし
て、反射防止層402、窒化シリコン膜403、さらに
シリコン基体404をエッチングし、形成された溝部分
に酸化シリコンを埋め込んだ。つぎに、上記溝部のうち
広い領域の部分に通常の方法で窒化シリコン膜を形成
し、しかる後に基板表面をCMP法を用いて平坦化し、
さらに窒化シリコン膜を除去していわゆる浅溝分離(S
GI)405による素子分離を形成した(図4b)。
(1) Formation of Element Isolation First, after a silicon nitride film 403 is formed on a silicon substrate 404, a resist pattern is formed on an active layer portion of a manufactured MOS integrated circuit by using substantially the same method as in the first embodiment. 4
01 was formed (FIG. 4a). Next, using this as a mask, the antireflection layer 402, the silicon nitride film 403, and the silicon substrate 404 were etched, and silicon oxide was embedded in the formed grooves. Next, a silicon nitride film is formed in a wide area portion of the groove by a normal method, and then the substrate surface is flattened by a CMP method.
Further, by removing the silicon nitride film, a so-called shallow trench isolation (S
GI) 405 to form an element isolation (FIG. 4b).

【0065】(2)ゲート形成 つぎに、所定のウエル形成、ゲート絶縁膜形成、チャン
ネル形成等を行った後、ゲート絶縁膜上にポリシリコン
膜406、TiN膜407、W膜408からなるゲート
多層膜を形成し、さらに窒化シリコン膜409、酸化シ
リコン膜410を積層した。
(2) Gate formation Next, after a predetermined well formation, gate insulation film formation, channel formation and the like are performed, a gate multilayer comprising a polysilicon film 406, a TiN film 407, and a W film 408 is formed on the gate insulation film. A film was formed, and a silicon nitride film 409 and a silicon oxide film 410 were further laminated.

【0066】この積層膜上に実施例2とほぼ同様の方法
を用いてレジストパターン411を形成し(図4c)、
これをマスクとして窒化シリコン膜409、酸化シリコ
ン膜410をエッチングし、さらにその下の積層ゲート
膜をエッチングし、ゲートパターン412を形成した
(図4d)。
A resist pattern 411 is formed on the laminated film using a method substantially similar to that of the second embodiment (FIG. 4C).
Using this as a mask, the silicon nitride film 409 and the silicon oxide film 410 were etched, and the underlying gate film was further etched to form a gate pattern 412 (FIG. 4D).

【0067】(3)コンタクトホール形成 つぎに、所定のイオン打ち込み、LDDサイドウオール
413形成、ソース、ドレイン部のサリサイド形成、酸
化シリコンによる層間絶縁膜形成、絶縁膜表面平坦化の
後、実施例1とほぼ同様の方法を用いてコンタクトホー
ル部分を開口とするレジストパターン414を形成(図
4e)し、これをマスクに層間絶縁膜をエッチングし
た。レジストパターン414を除去した後、Wプラグ4
15を埋め込み、さらに表面を平坦化して所望のコンタ
クトホールを形成した(図4f)。
(3) Formation of Contact Hole Next, after predetermined ion implantation, formation of LDD sidewall 413, formation of salicide of source and drain portions, formation of an interlayer insulating film using silicon oxide, and planarization of the insulating film surface, A resist pattern 414 having an opening in a contact hole portion was formed by using a method substantially similar to that described above (FIG. 4E), and the interlayer insulating film was etched using this as a mask. After removing the resist pattern 414, the W plug 4
15 was buried and the surface was flattened to form a desired contact hole (FIG. 4f).

【0068】(4)配線形成 つぎに、この上に、実施例3に示した方法を用いて、い
わゆるデュアルダマシン法を用いたCu配線(図示略)
を形成した。
(4) Formation of Wiring Next, a Cu wiring (not shown) is formed thereon by the so-called dual damascene method using the method described in the third embodiment.
Was formed.

【0069】以上の工程を用いてMOS集積回路を製作
し、その動作を確認した。本実施例により製造したMO
S集積回路は、回路寸法の均一性に優れるため、従来に
比べて性能に優れ、かつ、従来製造方法と比べて製造工
程の工程数が削減されるため、製造コストも低減でき
た。
A MOS integrated circuit was manufactured using the above steps, and its operation was confirmed. MO manufactured according to this embodiment
The S integrated circuit has excellent uniformity in circuit dimensions and therefore has better performance than in the past, and the number of manufacturing steps is reduced as compared with the conventional manufacturing method, so that the manufacturing cost was also reduced.

【0070】なお、ここには示さないが、本発明による
パターン形成方法はMOS半導体装置の他の構成要素、
例えばDRAMや強誘電体メモリーにおけるキャパシタ
ーの加工等にも用いることができる。
Although not shown here, the pattern forming method according to the present invention uses the other components of the MOS semiconductor device,
For example, it can be used for processing capacitors in DRAMs and ferroelectric memories.

【0071】以上、MOSLSIの基本パターンに本発
明を適用した例について述べたが、本発明は上記実施例
にとらわれず、LSIの他の工程や、他の種類の材質の
半導体装置、例えばバイポーラLSIやガリウム砒素系
半導体、半導体レーザ等のオプトエレクトロニック素子
などの微細構造作製等に適用することができる。その場
合、被加工材、感光材の種類、露光方法、現像方法、エ
ッチング方法やガス等は変更されるが、これにともな
い、本発明による反射防止材料の組成および処理条件等
は、本発明の趣旨を逸脱しない限りにおいて最適化する
ことが望ましい。
Although the example in which the present invention is applied to the basic pattern of the MOS LSI has been described above, the present invention is not limited to the above-described embodiment, and may be applied to other steps of the LSI or semiconductor devices of other types of materials, for example, a bipolar LSI. Or a fine structure such as an optoelectronic device such as a gallium arsenide semiconductor or a semiconductor laser. In that case, the material to be processed, the type of photosensitive material, the exposure method, the development method, the etching method, the gas, etc. are changed, and accordingly, the composition and processing conditions of the antireflection material according to the present invention are changed according to the present invention. It is desirable to optimize as long as the purpose is not deviated.

【0072】[0072]

【発明の効果】以上本発明によれば、被加工材を主表面
に有する基体上に回転塗布により形成したSi−H結
合、Si−N結合、Si−O結合のいずれかを含む反射
防止膜を用いてリソグラフィ工程を行うことにより、優
れた反射防止効果とレジストに対する高いエッチング選
択比を有する反射防止膜を提供する。高い解像性能と、
優れた寸法制御が可能となりLSIの性能が向上すると
共に製造歩留まりが向上し、コストを低減できる。
As described above, according to the present invention, an antireflection film containing any one of a Si--H bond, a Si--N bond and a Si--O bond formed by spin coating on a substrate having a workpiece on its main surface. By performing a lithography step using the method, an antireflection film having an excellent antireflection effect and a high etching selectivity to a resist is provided. High resolution performance and
Excellent dimensional control is possible, and the performance of the LSI is improved, the manufacturing yield is improved, and the cost can be reduced.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施例の工程を示す断面図。FIG. 1 is a cross-sectional view showing a process in one embodiment of the present invention.

【図2】本発明の一実施例の工程を示す断面図。FIG. 2 is a cross-sectional view showing a process in one embodiment of the present invention.

【図3】本発明の一実施例の工程を示す断面図。FIG. 3 is a cross-sectional view showing a step in one embodiment of the present invention.

【図4】本発明の一実施例の工程を示す断面図。FIG. 4 is a cross-sectional view showing a step in one embodiment of the present invention.

【図5】本発明の反射膜の光学特性を示す測定図。FIG. 5 is a measurement diagram showing the optical characteristics of the reflection film of the present invention.

【符号の説明】[Explanation of symbols]

101…無機反射防止膜、102…レジスト膜、103
…下地基体、104…光源、105…露光部、106…
レジストパターン、107…エッチング後パターン、1
08…基体エッチング後パターン、109…レジスト除
去後パターン、201…シリコン基板、202…ポリS
i膜、203…WSi膜、204…無機反射防止膜、2
05…レジスト膜、206…レジストパターン、207
…ゲートパターン、301…配線パターン、302…シ
リコンナイトライド膜、303…SiO2膜、304…
ポリヒドロシロキサザン塗布型無機反射防止膜、305
…ArF露光用レジスト膜、306…レジストホールパ
ターン、307…ArF露光用レジスト膜、308…レ
ジスト配線パターン、309…SiO2絶縁膜パター
ン、310…Cu配線パターン、401…レジストパタ
ーン、402…無機反射防止層、403…窒化シリコン
膜、404…シリコン基体、405…浅溝分離部、40
6…ポリシリコン膜、407…チタンナイトライド膜、
408…タングステン膜、409…窒化シリコン膜、4
10…酸化シリコン膜、411…レジストパターン、4
12…ゲートパターン、413…LDDサイドウォー
ル、414…レジストパターン、415…タングステン
プラグ。
101: inorganic antireflection film, 102: resist film, 103
... Base substrate 104, light source 105, exposure unit 106
Resist pattern, 107: pattern after etching, 1
08: pattern after substrate etching, 109: pattern after resist removal, 201: silicon substrate, 202: poly S
i film, 203: WSi film, 204: inorganic antireflection film, 2
05: resist film, 206: resist pattern, 207
… Gate pattern, 301… wiring pattern, 302… silicon nitride film, 303… SiO2 film, 304…
Polyhydrosiloxane-coated inorganic antireflection film, 305
.., ArF exposure resist film, 306, resist hole pattern, 307, ArF exposure resist film, 308, resist wiring pattern, 309, SiO2 insulating film pattern, 310, Cu wiring pattern, 401, resist pattern, 402, inorganic reflection prevention Layer, 403: silicon nitride film, 404: silicon substrate, 405: shallow groove separation part, 40
6 ... polysilicon film, 407 ... titanium nitride film,
408: tungsten film, 409: silicon nitride film, 4
10: silicon oxide film, 411: resist pattern, 4
12 gate pattern, 413 LDD sidewall, 414 resist pattern, 415 tungsten plug.

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/336 ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) H01L 21/336

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】無機薄膜を、回転塗布法により被加工基体
上に形成する第1の工程、上記無機薄膜上にレジスト膜
を形成する第2の工程、放射光を用いて選択的に上記レ
ジスト膜に露光し、現像して上記露光部、または上記露
光部以外の膜を選択的に除去し、パターンを形成する第
3の工程、上記パターンをマスクとして上記無機薄膜お
よび上記被加工基体をエッチングする第4の工程を含む
ことを特徴とするパターン形成方法。
A first step of forming an inorganic thin film on a substrate to be processed by a spin coating method; a second step of forming a resist film on the inorganic thin film; A third step of exposing and developing the film to selectively remove the exposed portion or the film other than the exposed portion and forming a pattern, etching the inorganic thin film and the substrate to be processed using the pattern as a mask A pattern forming method, comprising:
【請求項2】Si−N結合、またはSi−H結合を少な
くとも1つ以上を含む無機薄膜を、回転塗布法により被
加工基体上に形成する第1の工程、上記無機薄膜上にレ
ジスト膜を形成する第2の工程、波長200nm以下の光
を用いて選択的に上記レジスト膜に露光し、現像して上
記露光部、または上記露光部以外の膜を選択的に除去
し、パターンを形成する第3の工程、上記パターンをマ
スクとして上記無機薄膜および上記被加工基体をエッチ
ングする第4の工程を含むことを特徴とするパターン形
成方法。
2. A first step of forming an inorganic thin film containing at least one Si—N bond or Si—H bond on a substrate to be processed by a spin coating method, wherein a resist film is formed on the inorganic thin film. A second step of forming, selectively exposing the resist film using light having a wavelength of 200 nm or less, and developing and selectively removing the exposed portion or a film other than the exposed portion to form a pattern; 3. A pattern forming method, comprising: a third step, a fourth step of etching the inorganic thin film and the substrate to be processed using the pattern as a mask.
【請求項3】上記第3の工程において、波長200nm以
下の光がArFエキシマレーザ光またはF2レーザ光で
あることを特徴とする請求項2記載のパターン形成方
法。
3. The pattern forming method according to claim 2, wherein in the third step, the light having a wavelength of 200 nm or less is an ArF excimer laser light or an F2 laser light.
【請求項4】上記無機薄膜が、第3の工程で露光する波
長に対して2/μm以上の吸収を有することを特徴とす
る請求項2記載のパターン形成方法。
4. The pattern forming method according to claim 2, wherein said inorganic thin film has an absorption of 2 / μm or more with respect to a wavelength to be exposed in the third step.
【請求項5】前記レジスト膜の主成分たるポリマーまた
はオリゴマーが、化学式1で表される化学構造を含む化
合物または上記化合物を含む混合物であることを特徴と
する請求項2記載のパターン形成方法。 【化1】 ここで、上記化学式中のRは水素基または水酸基または
無機物塩基の何れかを表し、各官能基が同じである必要
は無い。
5. The pattern forming method according to claim 2, wherein the polymer or oligomer as a main component of the resist film is a compound having a chemical structure represented by Chemical Formula 1 or a mixture containing the compound. Embedded image Here, R in the above chemical formula represents any one of a hydrogen group, a hydroxyl group, and an inorganic base, and the functional groups need not be the same.
【請求項6】上記請求項1〜5のパターン形成方法のい
ずれかを用いて製造したことを特徴とする半導体装置。
6. A semiconductor device manufactured by using any one of the pattern forming methods according to claim 1.
JP36222099A 1999-12-21 1999-12-21 Pattern-forming method and semiconductor device Pending JP2001176788A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP36222099A JP2001176788A (en) 1999-12-21 1999-12-21 Pattern-forming method and semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP36222099A JP2001176788A (en) 1999-12-21 1999-12-21 Pattern-forming method and semiconductor device

Publications (1)

Publication Number Publication Date
JP2001176788A true JP2001176788A (en) 2001-06-29

Family

ID=18476302

Family Applications (1)

Application Number Title Priority Date Filing Date
JP36222099A Pending JP2001176788A (en) 1999-12-21 1999-12-21 Pattern-forming method and semiconductor device

Country Status (1)

Country Link
JP (1) JP2001176788A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007335908A (en) * 2007-09-18 2007-12-27 Hoya Corp Reflective mask blanks and reflective mask
JP2009094481A (en) * 2007-08-20 2009-04-30 Asml Netherlands Bv Lithography method and method for testing lithography device
JP2010040636A (en) * 2008-08-01 2010-02-18 Sony Corp Method of manufacturing solid-state imaging device
WO2012176291A1 (en) * 2011-06-22 2012-12-27 AzエレクトロニックマテリアルズIp株式会社 Method for forming silicon oxynitride film, and substrate having silicon oxynitride film produced using this formation method
US9029071B2 (en) 2010-06-17 2015-05-12 Merck Patent Gmbh Silicon oxynitride film formation method and substrate equipped with silicon oxynitride film formed thereby

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009094481A (en) * 2007-08-20 2009-04-30 Asml Netherlands Bv Lithography method and method for testing lithography device
US8119333B2 (en) 2007-08-20 2012-02-21 Asml Netherlands B.V. Lithographic method
JP2007335908A (en) * 2007-09-18 2007-12-27 Hoya Corp Reflective mask blanks and reflective mask
JP2010040636A (en) * 2008-08-01 2010-02-18 Sony Corp Method of manufacturing solid-state imaging device
US9029071B2 (en) 2010-06-17 2015-05-12 Merck Patent Gmbh Silicon oxynitride film formation method and substrate equipped with silicon oxynitride film formed thereby
WO2012176291A1 (en) * 2011-06-22 2012-12-27 AzエレクトロニックマテリアルズIp株式会社 Method for forming silicon oxynitride film, and substrate having silicon oxynitride film produced using this formation method

Similar Documents

Publication Publication Date Title
US7855154B2 (en) Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials
US7482280B2 (en) Method for forming a lithography pattern
US7544623B2 (en) Method for fabricating a contact hole
US6720256B1 (en) Method of dual damascene patterning
US6740566B2 (en) Ultra-thin resist shallow trench process using high selectivity nitride etch
US7078351B2 (en) Photoresist intensive patterning and processing
US6787452B2 (en) Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US8153350B2 (en) Method and material for forming high etch resistant double exposure patterns
US20050164478A1 (en) Novel method of trimming technology
US20080063976A1 (en) Photoresist Composition and Method Of Forming A Resist Pattern
US6306560B1 (en) Ultra-thin resist and SiON/oxide hard mask for metal etch
JP4397126B2 (en) Antireflection coating material layer forming method
US7393779B2 (en) Shrinking contact apertures through LPD oxide
US7253113B2 (en) Methods for using a silylation technique to reduce cell pitch in semiconductor devices
JP2001176788A (en) Pattern-forming method and semiconductor device
JP4002704B2 (en) Manufacturing method of semiconductor device
JP2012004170A (en) Method of manufacturing semiconductor integrated circuit device
US6613665B1 (en) Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
JP2001326173A (en) Pattern-forming method
JP3961041B2 (en) Semiconductor integrated circuit device and manufacturing method thereof
JP3451825B2 (en) Method for manufacturing semiconductor device
JP3354901B2 (en) Method of forming fine pattern, semiconductor device and method of manufacturing semiconductor device
KR20060054681A (en) Method of forming photoresist pattern and layer pattern
JPH09260246A (en) Formation of pattern and film-forming device
JP2000294560A (en) Semiconductor device and manufacture thereof