JP2001100419A - Micropattern forming method - Google Patents

Micropattern forming method

Info

Publication number
JP2001100419A
JP2001100419A JP28034699A JP28034699A JP2001100419A JP 2001100419 A JP2001100419 A JP 2001100419A JP 28034699 A JP28034699 A JP 28034699A JP 28034699 A JP28034699 A JP 28034699A JP 2001100419 A JP2001100419 A JP 2001100419A
Authority
JP
Japan
Prior art keywords
polymer
phase
forming
thin film
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP28034699A
Other languages
Japanese (ja)
Other versions
JP3515445B2 (en
Inventor
Kouji Asakawa
鋼児 浅川
Toshiro Hiraoka
俊郎 平岡
Yasuyuki Hotta
康之 堀田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP28034699A priority Critical patent/JP3515445B2/en
Publication of JP2001100419A publication Critical patent/JP2001100419A/en
Application granted granted Critical
Publication of JP3515445B2 publication Critical patent/JP3515445B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Materials For Photolithography (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Abstract

PROBLEM TO BE SOLVED: To easily form a pattern of nanometer to micron order having appreciable regularity by utilizing the phase separation of a blended polymer. SOLUTION: The micropattern is formed through a step for forming a thin film of a micropattern forming material comprising a polymer blend containing two or more polymers on a base, a step for forming a phase separated structure fixed in the formation and growth of nuclei in the thin film, a step for breaking the principal chain of at least one of the polymers by irradiating the thin film with high energy beams, a step for selectively removing the phase of the polymer with the broken principal chain and a step for patterning the base through the phase of the remaining polymer as a mask.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は下地上にナノメータ
ーからミクロンオーダーのパターンを自己組織的に形成
させ、これをマスクにして下地に規則性の高いミクロパ
ターンを形成できる材料に関する。また、本発明はバル
クでナノメーターからミクロンオーダーの構造を自己組
織的に形成させ、これをそのまま規則性の高いミクロ構
造体として用いるか、またはこれを鋳型として規則性の
高い他のミクロ構造体を形成できる材料に関する。本発
明の材料は、ハードディスク、太陽電池、光電変換素
子、発光素子、ディスプレイ、光変調素子、有機FET
素子、キャパシタなどの製造に適用される。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a material capable of forming a pattern on the order of nanometers to microns on a base material in a self-organizing manner and using the mask as a mask to form a highly regular micropattern on the base material. In addition, the present invention forms a structure of nanometer to micron order in a bulk in a self-organizing manner, and uses it as a highly ordered microstructure as it is, or uses this as a template to form another highly structured microstructure. A material that can form The materials of the present invention include hard disks, solar cells, photoelectric conversion elements, light emitting elements, displays, light modulation elements, and organic FETs.
Applied to the manufacture of elements, capacitors, etc.

【0002】[0002]

【従来の技術】電子部品の性能の高度化により、微細な
パターンや構造の必要性はますます高まっている。例え
ば、LSIや液晶ディスプレーなどでは、微細加工技術
が要求されている。電池やキャパシターなど、小さい体
積で大きな表面積が必要なデバイスも多い。将来的に
は、高密度立体実装の技術も必要とされる。これらの加
工には様々な技術が使われているが、微細な加工を必要
とすればするほど製造コストは高くなってくる。
2. Description of the Related Art The need for fine patterns and structures is increasing with the advance of the performance of electronic components. For example, an LSI or a liquid crystal display requires a fine processing technology. Many devices, such as batteries and capacitors, require a large surface area with a small volume. In the future, high-density three-dimensional mounting technology will be required. Various techniques are used for such processing, but the more fine processing is required, the higher the manufacturing cost.

【0003】例えばLSI、ハードディスクドライブ、
ディスプレーをはじめとする電子部品の製造プロセスで
用いられているフォトリソグラフィーを利用した微細加
工は以下のようにして行われている。まず、基板などの
上にレジスト液を塗布してレジスト膜を形成し、得られ
たレジスト膜に対してパターン露光を行なった後、アル
カリ現像などの処理を施してレジストパターンを形成す
る。次に、このレジストパターンを耐エッチングマスク
として、露出した基板などの表面をドライエッチングす
ることで、微細な幅の線や開孔部を形成し、最後にレジ
ストをアッシング除去する。
For example, LSIs, hard disk drives,
Microfabrication using photolithography used in a process of manufacturing electronic components such as a display is performed as follows. First, a resist solution is applied on a substrate or the like to form a resist film, and the obtained resist film is subjected to pattern exposure, and then subjected to processing such as alkali development to form a resist pattern. Next, using this resist pattern as an etching-resistant mask, the exposed surface of the substrate or the like is dry-etched to form fine-width lines or openings, and finally, the resist is removed by ashing.

【0004】このような微細加工方法は、1μm以上の
微細パターンを形成するのには有効であり、広く採用さ
れている。最近では、露光光源に電子線やエキシマレー
ザーを用いたり、超解像技術を用いることで、0.1μ
m程度のパターンを解像することも可能になってきてい
る。しかし、リソグラフィーにより光学解像限界に近い
ナノスケールのパターンを形成するには、非常に高価な
露光装置を用いる必要がある。また、レジストは雰囲気
に対する安定性が低いため、クリーンルーム内の雰囲気
の管理のために、化学フィルターを装着するなどの対策
を施す必要がある。したがって、リソグラフィーによる
微細パターンの形成方法は、膨大な投資が要求される。
[0004] Such a fine processing method is effective for forming a fine pattern of 1 µm or more, and is widely adopted. Recently, using an electron beam or excimer laser as an exposure light source, or using super-resolution technology,
It has become possible to resolve a pattern of about m. However, in order to form a nano-scale pattern near the optical resolution limit by lithography, it is necessary to use a very expensive exposure apparatus. Further, since the resist has low stability to an atmosphere, it is necessary to take measures such as mounting a chemical filter in order to control the atmosphere in the clean room. Therefore, a huge investment is required for the method of forming a fine pattern by lithography.

【0005】一方で、ナノメーターからミクロンオーダ
ーでのパターニングが要求されるが、リソグラフィーの
ような精密さを必要としない分野もある。しかし、これ
までは簡便な方法が知られていなかったため、このよう
な分野でも電子線や深紫外線を用いたリソグラフィなど
の方法により微細なパターンを形成せざるを得なかっ
た。上述したように、このような技術では加工寸法が小
さくなればなるほど操作が煩雑になり、膨大な投資が必
要になるという問題を避けられない。
[0005] On the other hand, patterning on the order of nanometers to microns is required, but there are fields where precision is not required, such as lithography. However, since a simple method has not been known, a fine pattern has to be formed even in such a field by a method such as lithography using an electron beam or deep ultraviolet rays. As described above, in such a technique, as the processing size becomes smaller, the operation becomes more complicated, and the problem of enormous investment is inevitable.

【0006】[0006]

【発明が解決しようとする課題】本発明の目的は、ブレ
ンドポリマーの相分離を利用して、かなりの規則性をも
ったナノメーターからミクロンオーダーのパターンを簡
便に形成できる材料および方法を提供することにある。
SUMMARY OF THE INVENTION An object of the present invention is to provide a material and a method which can easily form a nanometer to micron order pattern having considerable regularity by utilizing the phase separation of a blended polymer. It is in.

【0007】[0007]

【課題を解決するための手段】本発明のミクロパターン
形成材料は、2種以上のポリマーのポリマーブレンドか
らなるミクロパターン形成材料であって、1種のポリマ
ーの体積分率を横軸、温度を縦軸とする相図において、
1相状態と多相状態との境界線であるバイノーダル曲線
と、スピノーダル分解を経て相分離が起こる境界線であ
るスピノーダル曲線との間に挟まれ、核生成成長過程を
経て相分離が起こる領域に相当する組成を有する。そし
て、このようなポリマーブレンドから形成された相分離
構造のうち、少なくとも1種のポリマー成分を除去する
ことが可能な2種以上のポリマーの組み合わせを用いて
いる点が特徴である。
The micropattern-forming material of the present invention is a micropattern-forming material comprising a polymer blend of two or more polymers, wherein the horizontal axis represents the volume fraction of one polymer and the temperature represents the temperature. In the phase diagram with the vertical axis,
It is sandwiched between the binodal curve that is the boundary between the one-phase state and the multi-phase state and the spinodal curve that is the boundary where phase separation occurs through spinodal decomposition, and in the region where phase separation occurs through the nucleation and growth process. It has a corresponding composition. A feature of the present invention is that a combination of two or more polymers capable of removing at least one polymer component is used among the phase separation structures formed from such a polymer blend.

【0008】本発明のミクロパターン形成材料の具体例
としては、以下のようなものが挙げられる。
The following are specific examples of the micropattern forming material of the present invention.

【0009】(A)モノマーユニットのα位炭素に水素
を有する少なくとも1種のポリマーと、モノマーユニッ
トのα位炭素にアルキル基またはハロゲンを有する少な
くとも1種のポリマーとを含有するポリマーブレンドか
らなるミクロパターン形成材料。
(A) A micro-polymer blend comprising at least one polymer having hydrogen at the α-position carbon of the monomer unit and at least one polymer having an alkyl group or halogen at the α-position carbon of the monomer unit. Pattern forming material.

【0010】(B)ポリマーを構成するモノマーユニッ
トのN/(Nc−No)の比が1.4以上(ただし、N
はモノマーユニットの総原子数、Ncはモノマーユニッ
トの炭素原子数、Noはモノマーユニットの酸素原子
数)である2種以上のポリマーを含有するポリマーブレ
ンドからなるミクロパターン形成材料。
(B) The ratio of N / (Nc-No) of the monomer units constituting the polymer is 1.4 or more (however, N
Is a total number of atoms in the monomer unit, Nc is the number of carbon atoms in the monomer unit, and No is the number of oxygen atoms in the monomer unit). A micropattern forming material comprising a polymer blend containing two or more polymers.

【0011】(C)120℃以上の温度で互いに熱分解
性が異なる2種以上のポリマーを含有するポリマーブレ
ンドからなるミクロパターン形成材料。
(C) A micropattern-forming material comprising a polymer blend containing two or more polymers having mutually different thermal decomposability at a temperature of 120 ° C. or higher.

【0012】(D)アルカリ可溶性の少なくとも1種の
ポリマーと、アルカリ不溶性の少なくとも1種のポリマ
ーとを含有するポリマーブレンドからなるミクロパター
ン形成材料。なお、アルカリ可溶性のポリマーとは、何
らかの処理によりアルカリ不溶性からアルカリ可溶性へ
と変化し得るポリマーも含む。
(D) A micropattern-forming material comprising a polymer blend containing at least one alkali-soluble polymer and at least one alkali-insoluble polymer. The alkali-soluble polymer also includes a polymer that can be changed from alkali-insoluble to alkali-soluble by some treatment.

【0013】本発明において、上記のようなミクロパタ
ーン形成材料を用いたミクロパターンの形成方法として
は以下のような方法が挙げられる。
In the present invention, as a method of forming a micropattern using the above-described micropattern forming material, the following method can be mentioned.

【0014】本発明の第1のミクロパターンの形成方法
は、下地上にモノマーユニットのα位炭素に水素を有す
る少なくとも1種のポリマーとモノマーユニットのα位
炭素にアルキル基またはハロゲンを有する少なくとも1
種のポリマーとを含有するポリマーブレンドからなるミ
クロパターン形成材料の薄膜を形成する工程と、前記薄
膜中に相分離構造を形成する工程と、前記薄膜に高エネ
ルギー線を照射して少なくとも1種のポリマーの主鎖を
切断する工程と、前記主鎖が切断されたポリマーの相を
選択的に除去する工程と、残存したポリマーの相をマス
クとして下地を加工する工程とを具備したことを特徴と
する。
The first method for forming a micropattern according to the present invention comprises the steps of: providing at least one polymer having hydrogen on the α-position carbon of the monomer unit and at least one polymer having an alkyl group or halogen at the α-position carbon of the monomer unit on the base;
Forming a thin film of a micropattern-forming material comprising a polymer blend containing at least one kind of polymer; forming a phase-separated structure in the thin film; A step of cutting the main chain of the polymer, a step of selectively removing the polymer phase in which the main chain has been cut, and a step of processing the base using the remaining polymer phase as a mask. I do.

【0015】本発明の第2のミクロパターンの形成方法
は、下地上にポリマーを構成するモノマーユニットのN
/(Nc−No)の比が1.4以上(ただし、Nはモノ
マーユニットの総原子数、Ncはモノマーユニットの炭
素原子数、Noはモノマーユニットの酸素原子数)であ
る2種以上のポリマーを含有するポリマーブレンドから
なるミクロパターン形成材料の薄膜を形成する工程と、
前記薄膜中に相分離構造を形成する工程と、前記薄膜を
エッチングして少なくとも1種のポリマーの相を選択的
に除去する工程と、残存したポリマーの相をマスクとし
て下地を加工する工程とを具備したことを特徴とする。
[0015] The second method of forming a micropattern according to the present invention is a method of forming a micropattern on a base, wherein the monomer unit constituting the polymer is N-type.
/ (Nc-No) ratio of 1.4 or more (where N is the total number of monomer units, Nc is the number of carbon atoms of the monomer unit, and No is the number of oxygen atoms of the monomer unit) Forming a thin film of a micropattern forming material comprising a polymer blend containing
Forming a phase-separated structure in the thin film, etching the thin film to selectively remove at least one polymer phase, and processing a base using the remaining polymer phase as a mask. It is characterized by having.

【0016】本発明の第3のミクロパターンの形成方法
は、下地上に120℃以上の温度において互いに熱分解
性が異なる2種以上のポリマーを含有するポリマーブレ
ンドからなるミクロパターン形成材料の薄膜を形成する
工程と、前記薄膜中に相分離構造を形成する工程と、前
記薄膜を120℃以上に加熱して少なくとも1種のポリ
マーの相を選択的に熱分解して除去する工程と、残存し
たポリマーの相をマスクとして下地を加工する工程とを
具備したことを特徴とする。
The third method of forming a micropattern according to the present invention is to form a thin film of a micropattern forming material comprising a polymer blend containing two or more polymers having different thermal decomposabilities at a temperature of 120 ° C. or more on a base. Forming, forming a phase-separated structure in the thin film, heating the thin film to 120 ° C. or higher to selectively pyrolyze and remove at least one polymer phase, and Processing the base using the polymer phase as a mask.

【0017】本発明の第4のミクロパターンの形成方法
は、下地上にアルカリ可溶性の少なくとも1種のポリマ
ーとアルカリ不溶性の少なくとも1種のポリマーとを含
有するポリマーブレンドからなるミクロパターン形成材
料の薄膜を形成する工程と、前記薄膜中に相分離構造を
形成する工程と、前記薄膜をアルカリ現像液で現像して
アルカリ可溶性のポリマーの相を選択的に除去する工程
と、残存したポリマーの相をマスクとして下地を加工す
る工程とを具備したことを特徴とする。
A fourth method of forming a micropattern according to the present invention is a thin film of a micropattern forming material comprising a polymer blend containing at least one alkali-soluble polymer and at least one alkali-insoluble polymer on a substrate. Forming a phase-separated structure in the thin film, developing the thin film with an alkali developer to selectively remove the alkali-soluble polymer phase, and removing the remaining polymer phase. Processing a base as a mask.

【0018】本発明におけるパターントランスファーに
よるミクロパターンの形成方法は、下地上にパターント
ランスファー膜を形成する工程と、前記パターントラン
スファー膜上に、上述したいずれかのミクロパターン形
成材料の薄膜を形成する工程と、前記薄膜中に相分離構
造を形成する工程と、前記薄膜から少なくとも1種のポ
リマーの相を選択的に除去する工程と、残存したポリマ
ーの相をエッチングマスクとして前記パターントランス
ファー膜をエッチングして前記パターントランスファー
膜に相分離構造のパターンを転写する工程と、相分離構
造のパターンが転写された前記パターントランスファー
膜をエッチングマスクとして前記下地をエッチングして
前記下地に相分離構造のパターンを転写する工程とを具
備したことを特徴とする。
In the method of forming a micro pattern by pattern transfer according to the present invention, a step of forming a pattern transfer film on a base and a step of forming a thin film of any of the above-mentioned micro pattern forming materials on the pattern transfer film Forming a phase-separated structure in the thin film, selectively removing at least one polymer phase from the thin film, and etching the pattern transfer film using the remaining polymer phase as an etching mask. Transferring the pattern of the phase separation structure to the pattern transfer film, and transferring the pattern of the phase separation structure to the base by etching the base using the pattern transfer film to which the pattern of the phase separation structure has been transferred as an etching mask. And a step of performing To.

【0019】[0019]

【発明の実施の形態】以下、本発明をさらに詳細に説明
する。一般にポリマーの相分離と呼ばれる現象には、2
種以上のポリマー分子間の相分離であるマクロ相分離
と、ブロックコポリマーやグラフトコポリマーで観察さ
れる分子内の相分離であるミクロ相分離とがある。本発
明においては、格別に断らない限り、相分離とはポリマ
ー分子間の相分離であるマクロ相分離のことをいう。マ
クロ相分離では揺らぎの発生のスケールが1μm程度で
あるため、原理的にはμmオーダーより大きな相分離構
造を形成する。ただし、マクロ相分離では2種のポリマ
ー鎖が完全に分離できるため、長時間の後には完全に2
相に分かれる。しかし、全てのブレンドポリマーが相分
離を起こすわけではないし、さらに本発明の目的である
規則性の比較的高いパターンをなす相分離構造を形成し
得るブレンドポリマーの条件はかなり限定される。
BEST MODE FOR CARRYING OUT THE INVENTION Hereinafter, the present invention will be described in more detail. In general, a phenomenon called polymer phase separation involves 2
There are macro phase separation, which is phase separation between two or more kinds of polymer molecules, and micro phase separation, which is intramolecular phase separation observed in block copolymers and graft copolymers. In the present invention, unless otherwise specified, phase separation refers to macro phase separation, which is phase separation between polymer molecules. In macro phase separation, the scale of fluctuation generation is about 1 μm, so that a phase separation structure larger than the order of μm is formed in principle. However, since two types of polymer chains can be completely separated by macro phase separation, after a long time, two types of polymer chains are completely separated.
Divide into phases. However, not all blend polymers cause phase separation, and the conditions of the blend polymer that can form a phase-separated structure having a relatively high regularity pattern, which is the object of the present invention, are considerably limited.

【0020】本発明のミクロパターン形成材料に用いら
れるブレンドポリマーは、ブレンドポリマーを構成する
1種のポリマーの体積分率を横軸、温度を縦軸として相
図を作成したときに、1相状態と多相状態との境界線で
あるバイノーダル曲線と、スピノーダル分解を経て相分
離が起こる境界線であるスピノーダル曲線との間に挟ま
れ、核生成成長過程を経て相分離が起こる領域に相当す
る組成を有する。このことを図1を参照して説明する。
The blended polymer used in the micropattern forming material of the present invention has a one-phase state when a phase diagram is prepared with the volume fraction of one kind of polymer constituting the blended polymer as abscissa and the temperature as ordinate. Between the binodal curve, which is the boundary line between the phase and the multiphase state, and the spinodal curve, which is the boundary line where phase separation occurs through spinodal decomposition, and corresponds to the region where phase separation occurs through the nucleation and growth process Having. This will be described with reference to FIG.

【0021】図1はAポリマーとBポリマーとからなる
ブレンドポリマーのUCST(上限臨界共溶温度)型の
相図の例を示すものである。横軸はAポリマーの体積分
率であり、縦軸は温度である。図1において、実線はバ
イノーダル曲線(双節曲線)と呼ばれ、この曲線より上
の領域では2種のポリマーは完全に混合して1相状態に
なり、この曲線より下の領域では2種のポリマーは相分
離を起こして2相状態になる。バイノーダル曲線は、例
えば所定の組成を有するブレンドポリマーについて1相
状態から温度を下げていったときに曇点が生じる温度を
調べることにより作成することができる。破線はスピノ
ーダル曲線(尖点曲線)と呼ばれ、この曲線より下の領
域では2種のポリマーはスピノーダル分解を経て相分離
を起こす。これに対して、バイノーダル曲線とスピノー
ダル曲線との間に挟まれた領域(図1の斜線領域)で
は、2種のポリマーは核生成成長過程を経て相分離を起
こし最終的には完全に2相に分かれるが、その核生成成
長過程でかなりの規則性が観察される。なお、LCST
(下限臨界共溶温度)型の相図では、温度に対して相図
の上下が図1と逆転し、温度に対する以上の関係が入れ
替わる。
FIG. 1 shows an example of a UCST (Upper Critical Solution Temperature) type phase diagram of a blend polymer composed of an A polymer and a B polymer. The horizontal axis is the volume fraction of the A polymer, and the vertical axis is the temperature. In FIG. 1, the solid line is called a binodal curve (binodal curve). In the region above this curve, the two polymers are completely mixed to form a one-phase state, and in the region below this curve, two types of polymers are formed. The polymer undergoes phase separation into a two-phase state. The binodal curve can be created, for example, by examining the temperature at which the cloud point occurs when the temperature is lowered from the one-phase state for a blended polymer having a predetermined composition. The dashed line is called the spinodal curve (cusp curve), and in the region below this curve, the two polymers undergo phase separation through spinodal decomposition. On the other hand, in the region sandwiched between the binodal curve and the spinodal curve (the shaded region in FIG. 1), the two polymers undergo phase separation through the nucleation and growth process, and eventually complete two phases. However, considerable regularity is observed during the nucleation and growth process. Note that LCST
In the (lower critical solution temperature) type phase diagram, the upper and lower sides of the phase diagram are inverted with respect to the temperature in FIG. 1, and the above relationship with the temperature is switched.

【0022】図2に一例としてポリスチレンとポリ2−
クロロスチレンとのブレンドポリマー(PS/P2Cl
S)について、種々の組成での相分離構造を示す(高分
子学会編:ポリマーアロイ、東京化学同人、199
3)。この図に示されるように、AポリマーとBポリマ
ーの組成比に応じて様々な形態を取り得ることがわか
る。体積比[PS]/[P2ClS]が32/68また
は70/30の場合のように少数相の組成が低い組成比
では、少数相が凝集して球状ドメインがかなり規則的に
形成される。この途中でガラス転移温度以下にすると相
分離が停止して構造が固定化される。また、粘性が十分
に高いときにも、やはり構造が固定されることがある。
一方、2相の組成比が7:3から1:1付近では、一般
にスピノーダル分解を起こし、3次元的に入り組んだ構
造を形成するため、規則性は認められない。
FIG. 2 shows an example of polystyrene and poly-2-
Blend polymer with chlorostyrene (PS / P2Cl
(S) shows phase-separated structures with various compositions (Polymer Alloy, edited by The Society of Polymer Science, Tokyo Kagaku Dojin, 199)
3). As shown in this figure, it can be seen that various forms can be taken according to the composition ratio of the A polymer and the B polymer. At a composition ratio where the composition of the minority phase is low, such as when the volume ratio [PS] / [P2ClS] is 32/68 or 70/30, the minority phase aggregates to form spherical domains fairly regularly. If the temperature is lowered below the glass transition temperature during this process, the phase separation stops and the structure is fixed. Also, when the viscosity is sufficiently high, the structure may still be fixed.
On the other hand, when the composition ratio of the two phases is from 7: 3 to 1: 1, spinodal decomposition generally occurs to form a three-dimensionally complicated structure, and thus no regularity is observed.

【0023】本発明では、所定の温度において図1の斜
線領域に相当する組成を有するブレンドポリマーを用
い、その核生成成長過程における規則的なパターン(例
えば図2の両端の2例のようなドットパターン)を利用
し、後に詳細に説明するように、種々の方法でミクロパ
ターンを形成する。
In the present invention, a blended polymer having a composition corresponding to the shaded area in FIG. 1 is used at a predetermined temperature, and a regular pattern in the nucleation and growth process (for example, a dot pattern such as the two examples at both ends in FIG. 2) is used. ), And as will be described in detail later, a micro pattern is formed by various methods.

【0024】次に、ブレンドポリマーの相分離に関する
熱力学的な条件について説明する。ブレンドポリマーの
相分離は、ブレンドポリマーを溶融状態にし、ガラス転
移温度以上相転移温度以下の温度でアニールして相分離
構造を形成させた後、室温で相分離構造を固定化する過
程を経てなされる。また、ブレンドポリマーを溶媒に溶
解させ、スピンコートしたりキャストすることにより相
分離構造を形成することもできる。このとき、温度や時
間などのプロセス条件を厳密に規定しないと、かなりの
規則性をもった所望の相分離構造を得ることが困難であ
る。
Next, the thermodynamic conditions relating to the phase separation of the blend polymer will be described. The phase separation of the blend polymer is performed through a process of melting the blend polymer, annealing at a temperature between the glass transition temperature and the phase transition temperature to form a phase separation structure, and then fixing the phase separation structure at room temperature. You. Alternatively, a phase-separated structure can be formed by dissolving the blend polymer in a solvent, spin coating or casting. At this time, unless the process conditions such as temperature and time are strictly defined, it is difficult to obtain a desired phase separation structure having considerable regularity.

【0025】ブレンドポリマーが相分離を起こす条件に
関しては、上記のアニール時の熱力学を考えればよい。
フローリー−ハギンス(Flory-Haggins)の理論によれ
ば、2種のAポリマーおよびBポリマーが相分離するに
は以下に示す混合の自由エネルギーΔGが正にならなけ
ればならない。
Regarding the conditions under which the blend polymer causes phase separation, the above thermodynamics at the time of annealing may be considered.
According to the Flory-Haggins theory, the free energy of mixing ΔG shown below must be positive for the two A and B polymers to phase separate.

【0026】[0026]

【数1】 (Equation 1)

【0027】(1)式において、Nはポリマーの重合度
(ポリマーの分子量/モノマーあたりの分子量)、φA
はAポリマーの体積分率、χはAポリマーとBポリマー
との相互作用パラメータ、Rは気体定数、Tは絶対温度
である。
In the formula (1), N is the degree of polymerization of the polymer (molecular weight of polymer / molecular weight per monomer), φ A
Is the volume fraction of the A polymer, χ is the interaction parameter between the A and B polymers, R is the gas constant, and T is the absolute temperature.

【0028】(1)式の左辺のΔGが負であると2種の
ポリマー鎖は混ざり合い、正であると相分離を起こす。
右辺の第1項、第2項は、ポリマー鎖のエントロピー項
であり、常に負である。ただし、分子量(重合度)が大
きくなるほど負の値が小さくなり0に近づくため、相分
離には有利になる。第3項はエンタルピー項であり、2
種のポリマー鎖の斥力を表している。
When ΔG on the left side of the equation (1) is negative, the two types of polymer chains are mixed, and when ΔG is positive, phase separation occurs.
The first and second terms on the right side are entropy terms of the polymer chain and are always negative. However, as the molecular weight (degree of polymerization) increases, the negative value decreases and approaches zero, which is advantageous for phase separation. The third term is the enthalpy term, 2
It represents the repulsion of the species polymer chains.

【0029】また、上述したバイノーダル曲線とスピノ
ーダル曲線との間に挟まれた領域に対応する組成とは、
(1)式の自由エネルギーに関して∂G/∂φ≧0かつ
2G/∂φ2≦0という条件が成立する組成であること
を意味する。
The composition corresponding to the region sandwiched between the binodal curve and the spinodal curve is as follows:
It means that the composition satisfies the conditions of ∂G / ∂φ ≧ 0 and ∂ 2 G / ∂φ 2 ≦ 0 with respect to the free energy of the equation (1).

【0030】(1)式の相互作用パラメータχが大きい
と、2種のポリマー鎖どうしの斥力が強まって相互に相
溶しにくくなり、相分離を起こしやすくなる。χは温度
に依存する関数であり、以下の式で表される。
If the interaction parameter χ in the equation (1) is large, the repulsive force between the two types of polymer chains is increased, making it difficult for the two types of polymer chains to be mutually compatible, and to cause phase separation. χ is a temperature-dependent function and is represented by the following equation.

【0031】[0031]

【数2】 (Equation 2)

【0032】χは実験的に求めることも可能であるが、
その実験にはかなりの困難を伴う。代表的なポリマーの
組み合わせのχパラメーターなどは、PolymerHandbook
(JohnWiley & Sons 1999)などに記載がある。この代わ
りとして、相互作用パラメータχは、一般に知られてい
る溶解度パラメータδと呼ばれる値を用いて、近似的に
以下の関係式で表される。
Χ can be obtained experimentally,
The experiment involves considerable difficulty. Refer to the Polymer Handbook for parameters of typical polymer combinations.
(John Wiley & Sons 1999). Instead, the interaction parameter χ is approximately represented by the following relational expression using a generally known value called a solubility parameter δ.

【0033】[0033]

【数3】 (Equation 3)

【0034】(3)式および(1)式に基づいて、2種
のポリマーの溶解度パラメータの差がわかれば、あるブ
レンドポリマーが相分離を起こすか否かを混合前に予測
できる。ブレンドポリマーが相分離を起こす目安は、2
種のポリマーの溶解度パラメータが1cal0.5/cm
1.5以上の差を有することである。一方、溶解度パラメ
ータの差が大きすぎると、2種のポリマーが混合しにく
くなるため、2種のポリマーの溶解度パラメータの差は
5cal0.5/cm1.5以下、さらに3cal0.5/cm
1.5以下であることが好ましい。
Based on the equations (3) and (1), if the difference between the solubility parameters of the two polymers is known, it is possible to predict whether or not a certain blend polymer will undergo phase separation before mixing. The standard for causing the blended polymer to undergo phase separation is 2
The solubility parameter of the seed polymer is 1 cal 0.5 / cm
1.5 or more difference. On the other hand, if the difference between the solubility parameters is too large, it becomes difficult for the two polymers to mix, so that the difference between the solubility parameters of the two polymers is 5 cal 0.5 / cm 1.5 or less, and further 3 cal 0.5 / cm.
It is preferably 1.5 or less.

【0035】また、上述したようにポリマーの重合度が
大きいほど相分離を起こしやすいが、逆に分子量が小さ
くなると相分離を起こさなくなる。ただし、ポリマーの
分子量が大きすぎると、2種のポリマーが混合しにくく
なるため、ポリマーの分子量は10万以下、さらに3万
以下であることが好ましい。これは(1)式におけるN
Aおよび/またはNBが大きくなるためΔGが大きくな
り、ポリマー間の斥力が大きくなるためである。特に核
生成成長過程を経る相分離を進行させるためには、本発
明のポリマーブレンドを構成するポリマーの分子量は、
Aポリマー、Bポリマーとも重量平均分子量が5000
〜1万程度が最適である。
As described above, the higher the degree of polymerization of the polymer, the more the phase separation is likely to occur. Conversely, the lower the molecular weight, the less the phase separation occurs. However, if the molecular weight of the polymer is too large, it is difficult for the two types of polymers to be mixed, so that the molecular weight of the polymer is preferably 100,000 or less, more preferably 30,000 or less. This is equal to N in equation (1).
ΔG for A and / or N B is greater increases, because the repulsive force between the polymer increases. In particular, in order to progress the phase separation through the nucleation and growth process, the molecular weight of the polymer constituting the polymer blend of the present invention must be:
Weight average molecular weight of both A and B polymers is 5000
Approximately 10,000 is optimal.

【0036】(1)式より、χと重合度Nとの間には下
記の関係式が成り立つ。
From the equation (1), the following relational expression holds between χ and the degree of polymerization N.

【0037】[0037]

【数4】 (Equation 4)

【0038】(4)式より、AポリマーとBポリマーと
で構成されるブレンドポリマーの最低の分子量を決定す
ることができる。逆にこの式より、ブレンドポリマーの
分子量が決まっているときに、AポリマーとBポリマー
との組み合わせを選択することができる。また、この式
より、NA=NB、つまり組成比が50:50のときに最
も相分離が起こりやすいことがわかる。これは、偏った
組成で特に組成の偏った島が小さい海島構造を形成する
ことが困難な場合があることを示している。
From the equation (4), the minimum molecular weight of the blend polymer composed of the A polymer and the B polymer can be determined. Conversely, from this formula, when the molecular weight of the blend polymer is determined, a combination of the A polymer and the B polymer can be selected. From this equation, it can be seen that phase separation is most likely to occur when N A = N B , that is, when the composition ratio is 50:50. This indicates that it is sometimes difficult to form a sea-island structure in which the islands with a biased composition are particularly small.

【0039】また、構造の大きさが揃った相分離構造を
得るため、ブロックコポリマーやグラフトコポリマーや
ランダムコポリマーなどのコポリマーをブレンドして組
成比を調整してもよい。このとき、2種のポリマーの溶
解度の差がありすぎると、コポリマーとホモポリマーの
相分離が起こり得る。この相分離を極力避けるために
は、ホモポリマーの分子量を下げることが好ましい。例
えば、AホモポリマーとBホモポリマーにA−Bコポリ
マーを加える場合、ホモポリマーの分子量を小さくする
と、フローリー−ハギンスの式のエントロピー項の負の
値が大きくなり、A−Bコポリマーと両ホモポリマーと
が混合しやすくなるためである。また、Aホモポリマー
の分子量はA−BコポリマーのAブロックの分子量より
小さいことが望ましい。Bホモポリマーについても同様
である。熱力学的安定性を考えると、Aホモポリマーお
よびBホモポリマーの分子量は、A−BコポリマーのA
ブロックまたはBブロックの分子量の2/3より小さい
ことがより好ましい。一方、Aホモポリマーの分子量が
1000を下回ると、A−BコポリマーのBブロックに
も溶解するおそれがあるため好ましくない。Bホモポリ
マーについても同様である。また、ガラス転移点を考慮
すると、分子量が3000以上であることがより好まし
い。
Further, in order to obtain a phase-separated structure having a uniform structure size, a composition ratio may be adjusted by blending a copolymer such as a block copolymer, a graft copolymer or a random copolymer. At this time, if there is too much difference in solubility between the two polymers, phase separation between the copolymer and the homopolymer may occur. In order to minimize this phase separation, it is preferable to reduce the molecular weight of the homopolymer. For example, when the AB copolymer is added to the A homopolymer and the B homopolymer, if the molecular weight of the homopolymer is reduced, the negative value of the entropy term of the Flory-Huggins equation becomes large, and the AB copolymer and both homopolymers are added. This is because they are easily mixed. The molecular weight of the A homopolymer is preferably smaller than the molecular weight of the A block of the AB copolymer. The same applies to the B homopolymer. Given the thermodynamic stability, the molecular weights of the A and B homopolymers are
More preferably, it is smaller than 2/3 of the molecular weight of the block or B block. On the other hand, if the molecular weight of the A homopolymer is less than 1,000, it is not preferable because it may be dissolved in the B block of the AB copolymer. The same applies to the B homopolymer. Further, considering the glass transition point, the molecular weight is more preferably 3000 or more.

【0040】ブレンドポリマーを溶解する溶媒は、ブレ
ンドポリマーを構成する2種のポリマーに対して良溶媒
であることが望ましい。これは、(3)式に示されるよ
うに、ポリマー鎖どうしの斥力は2つのポリマー鎖の溶
解度パラメータの差の2乗に比例するので、溶解度パラ
メータの差を小さくして系の自由エネルギーを極小にす
るためである。また、薄膜を作製する場合には、150
℃以上の高沸点を有する溶媒を用いると、均一な初期状
態を作ることができるので好ましい。これに対しバルク
の構造を作製するときは、沸点の低いTHFやトルエン
塩化メチレンなどを用いることが好ましい。パターン形
成するためにミクロパターン形成材料からなるパターン
形成膜を製膜する際には、ミクロパターン形成材料の均
一な溶液をスピンコーティング法やディップコーティン
グ法などによって塗布することが好ましい。これは、均
一な溶液から製膜することによって製膜時の履歴が残存
することを防ぐことができるためである。溶液中に比較
的大きな粒子径のミセルなどが生成して塗布液が均一で
ない場合には、不適当な相分離構造が混入して規則的な
パターン形成が困難であったり規則的なパターンを形成
するのに時間がかかるため好ましくない。
It is desirable that the solvent for dissolving the blend polymer is a good solvent for the two kinds of polymers constituting the blend polymer. This is because the repulsive force between the polymer chains is proportional to the square of the difference between the solubility parameters of the two polymer chains as shown in the equation (3), so that the difference between the solubility parameters is reduced to minimize the free energy of the system. In order to When a thin film is formed, 150
It is preferable to use a solvent having a high boiling point of not lower than ° C. because a uniform initial state can be formed. On the other hand, when fabricating a bulk structure, it is preferable to use THF or toluene methylene chloride having a low boiling point. When forming a pattern forming film made of a micro pattern forming material to form a pattern, it is preferable to apply a uniform solution of the micro pattern forming material by a spin coating method, a dip coating method, or the like. This is because it is possible to prevent the history at the time of film formation from remaining by forming a film from a uniform solution. If the coating liquid is not uniform due to the formation of micelles with relatively large particle size in the solution, an inappropriate phase separation structure is mixed in, making it difficult to form a regular pattern or forming a regular pattern It is not preferable because it takes time to perform.

【0041】2種のポリマー鎖の界面では、表面エネル
ギーの違いにより、一方の相に張力が働く。表面エネル
ギーと表面張力の関係は、溶解度パラメータδを介して
以下のような関係にある。
At the interface between two types of polymer chains, tension acts on one phase due to the difference in surface energy. The relationship between the surface energy and the surface tension has the following relationship via the solubility parameter δ.

【0042】[0042]

【数5】 (Equation 5)

【0043】[0043]

【数6】 (Equation 6)

【0044】上式において、γは表面張力、Vは成分の
モル体積、ΔEVは過剰な表面の自由エネルギーであ
る。特にポリマーの表面エネルギーが高いのは、バルク
中のポリマー鎖が真空中に放出されたときのエネルギー
の増加量ΔEVが大きいことによるものである。すなわ
ち、このエネルギーが高いほど表面積を小さくしようと
して内側に丸まろうとする傾向が高くなり、表面エネル
ギーが高くなる。したがって、2種の異なるポリマー鎖
が接触しているときは、表面エネルギーの高いポリマー
鎖の方がより丸まろうとすると考えられる。
[0044] In the above formula, gamma is the surface tension, V is a free energy of molar volume, Delta] E V Excessive surface components. Especially high surface energy of the polymer is due to the increase Delta] E V energy is large when the polymer chains in the bulk is emitted into the vacuum. In other words, the higher the energy, the higher the tendency to round inward in an attempt to reduce the surface area, and the higher the surface energy. Thus, when two different polymer chains are in contact, it is believed that the polymer chain with the higher surface energy tends to curl more.

【0045】このため、表面エネルギーの大きい、つま
り溶解度パラメータの値が大きいポリマー相側に相図が
片寄る結果になる。これは、2種のポリマー鎖の組み合
わせに応じて、ラメラ、シリンダ、海島構造などのドメ
イン構造を形成する組成がやや片寄ることを示してい
る。具体的には、ブレンドポリマーを構成する2種のポ
リマーの溶解度パラメータが1cal0.5/cm1.5違う
と、最適組成は約5%溶解度パラメータの大きい方に移
動する。
As a result, the phase diagram is shifted toward the polymer phase having a large surface energy, that is, a large value of the solubility parameter. This indicates that the composition forming a domain structure such as a lamella, a cylinder, and a sea-island structure is slightly biased depending on the combination of two types of polymer chains. Specifically, if the solubility parameters of the two polymers that make up the blend polymer are different by 1 cal 0.5 / cm 1.5 , the optimal composition will move to the larger of the 5% solubility parameter.

【0046】また、ポリマーの相間の界面の厚みも重要
な要因である。2種のポリマー鎖の界面は、極めて薄い
面を境界としてA相とB相に明確に分かれるわけではな
く、ある一定の厚みをもつ。この厚みがドメインの大き
さより大きくなれば、構造が成長することはない。この
2種のポリマー間の界面の厚みをLとすると、濃度変化
がガウス分布に従う不均一系のヘツムホルツの自由エネ
ルギーΔFは、下記の式で与えられる。
The thickness of the interface between the polymer phases is also an important factor. The interface between the two types of polymer chains is not clearly divided into the A phase and the B phase with an extremely thin surface as a boundary, but has a certain thickness. If this thickness is greater than the size of the domain, the structure will not grow. Assuming that the thickness of the interface between these two types of polymers is L, the heterogeneous Hetzholtz free energy ΔF in which the concentration change follows a Gaussian distribution is given by the following equation.

【0047】[0047]

【数7】 (Equation 7)

【0048】ここで、Δfm(φ)は局所濃度φのみ依
存する項、k(∇φ)2の項は濃度勾配∇φの存在によ
る過剰の自由エネルギーでk(>0)は2次の展開項ま
で取ったときの係数、vは格子体積である。界面のな
いときの自由エネルギーをΔfoとし、Δf=Δfm−
Δfoとおくと、表面張力γは下記(8)式で与えられ
る。
Here, Δfm (φ) is a term dependent only on the local concentration φ, k (∇φ) 2 is an excess free energy due to the existence of the concentration gradient ∇φ, and k (> 0) is a quadratic expansion. The coefficient when taking the terms up, v 0 is the lattice volume. The free energy when there is no interface is Δfo, and Δf = Δfm−
If Δfo is given, the surface tension γ is given by the following equation (8).

【0049】[0049]

【数8】 (Equation 8)

【0050】ここで、zを界面に垂直にとると、界面の
厚さLは、下記(9)式で与えられる。
Here, assuming that z is perpendicular to the interface, the thickness L of the interface is given by the following equation (9).

【0051】[0051]

【数9】 (Equation 9)

【0052】このとき、基板との接触時の表面エネルギ
ー差が小さいポリマーが基板側に偏析する。たとえば、
ポリスチレン−ポリメチルメタクリレート(PS−PM
MA)系のブレンドポリマーでは、PMMAがより基板
近くに析出する。逆に、ポリスチレン−ポリブタジエン
(PS−PB)系ブレンドポリマーでは、PSが基板側
に析出する。
At this time, the polymer having a small surface energy difference upon contact with the substrate segregates on the substrate side. For example,
Polystyrene-polymethyl methacrylate (PS-PM
In the MA) -based blend polymer, PMMA precipitates closer to the substrate. Conversely, in a polystyrene-polybutadiene (PS-PB) -based blend polymer, PS precipitates on the substrate side.

【0053】この場合、基板との相互作用のため、ブレ
ンドポリマーの最適組成比は一概には決定できないが、
ある程度予測はできる。すなわち、基板との表面エネル
ギーが小さい相が少数相である場合、基板表面に存在す
るポリマーが多くなるため、少数相の組成がより大きい
ことが必要である。たとえば、PS−PMMA系では、
PMMAが基板表面に析出しやすいため、同一条件下で
は、PMMAが島を形成する組成はPSが島を形成する
組成より高い必要がある。ただし、このとき表面張力の
差により、球のできる最適組成は同じ傾向になることが
少ない。2種のポリマー間の表面張力の差により構造の
大きさの分布が異なり、基板との相互作用では2種の各
ポリマーリッチの時の最適組成比が対称的にならない。
例えば、Aポリマーが基板に対して親和性があり、Aポ
リマーが基板上にドットを形成する最適組成がA:B=
20:80であるとする。しかし、Bポリマーがドット
を形成するときには、A:B=85:15になる。これ
は基板面にドット状にポリマーが吸着すると、その分の
体積が余分に必要なためである。
In this case, the optimum composition ratio of the blended polymer cannot be determined unconditionally due to the interaction with the substrate.
I can predict to some extent. That is, when the phase having a small surface energy with the substrate is the minority phase, the amount of the polymer existing on the substrate surface increases, so that the composition of the minority phase needs to be larger. For example, in the PS-PMMA system,
Since PMMA tends to precipitate on the substrate surface, the composition under which PMMA forms islands must be higher than the composition under which PS forms islands under the same conditions. However, at this time, the optimum composition of the sphere rarely has the same tendency due to the difference in the surface tension. The distribution of the size of the structure is different due to the difference in surface tension between the two polymers, and the interaction with the substrate does not make the optimal composition ratio symmetric when each of the two polymers is rich.
For example, when the A polymer has an affinity for the substrate and the A polymer forms a dot on the substrate, the optimal composition is A: B =
20:80. However, when the B polymer forms dots, A: B = 85: 15. This is because when the polymer is adsorbed on the substrate surface in the form of dots, an extra volume is required.

【0054】ブレンドポリマーで相分離構造を形成する
には、2相(A相とB相と称す)の体積分率が重要であ
る。例えば海島構造を形成させるためには、一方の相の
体積分率は5〜40%、好ましくは10〜35%、さら
に好ましくは15〜30%の範囲に設定する。この下限
値は要求される島の密度により規定され、上限値は海島
構造を維持する体積分率により規定される。また、数1
0nm程度の薄膜の場合は界面の影響が大きく出るた
め、上記の最適値は2〜5%ほど小さくなる。なお、こ
の値を超えると、海島でなく別の構造になる。体積分率
を調整するには、ポリマーの混合比を制御してもよい
し、ポリマー鎖の分子容を制御してもよい。ポリマー鎖
の分子容を制御するのは様々な手法が考えられる。たと
えば、ポリビニルピリジン鎖をアルキル基で四級塩化す
る際にアルキル基やカウンターアニオンの分子容を変化
させてもよい。また、特定の相に親和性のよい物質を混
合して相の体積分率を調節してもよい。
In order to form a phase-separated structure with the blend polymer, the volume fraction of two phases (referred to as A phase and B phase) is important. For example, in order to form a sea-island structure, the volume fraction of one phase is set in the range of 5 to 40%, preferably 10 to 35%, and more preferably 15 to 30%. The lower limit is defined by the required island density and the upper limit is defined by the volume fraction that maintains the sea-island structure. Also, Equation 1
In the case of a thin film having a thickness of about 0 nm, the above-described optimum value is reduced by about 2 to 5% because the influence of the interface is large. Note that if this value is exceeded, the structure will be different from the sea island. To adjust the volume fraction, the mixing ratio of the polymer may be controlled, or the molecular volume of the polymer chain may be controlled. Various techniques can be considered for controlling the molecular volume of the polymer chain. For example, the molecular volume of an alkyl group or a counter anion may be changed when a polyvinyl pyridine chain is quaternized with an alkyl group. Further, a substance having good affinity for a specific phase may be mixed to adjust the volume fraction of the phase.

【0055】良好な相分離構造を形成するためには、A
ポリマー鎖とBポリマー鎖が互いに非相溶であることが
必要である。こうした相互に非相溶なポリマー鎖を用い
て良好な相分離構造を形成するためには、各ブロックの
分子量が3000以上であることが好ましい。
In order to form a good phase separation structure, A
It is necessary that the polymer chains and the B polymer chains are incompatible with each other. In order to form a good phase separation structure using such mutually incompatible polymer chains, the molecular weight of each block is preferably 3000 or more.

【0056】次に、本発明のミクロパターン形成材料お
よびそれを用いたミクロパターンの形成方法についてよ
り詳細に説明する。
Next, the micropattern forming material of the present invention and a method of forming a micropattern using the same will be described in more detail.

【0057】本発明のミクロパターン形成材料は、互い
に性質が異なり何らかの方法により少なくとも1種のポ
リマーを選択的に除去できるような組み合わせの2種以
上のポリマーを、核生成成長過程を経て相分離し得る組
成となるように混合したポリマーブレンドからなってい
る。そして、ポリマーブレンドを相分離させ、必要に応
じて少なくとも1種のポリマーの状態または性質を変化
させる処理を施した後、少なくとも1種のポリマーを選
択的に除去することにより、所望のミクロパターンを形
成することができる。本発明のミクロパターン形成材料
を構成する2種以上のポリマーの具体的な組み合わせと
そのポリマーブレンドに適したパターン形成方法を以下
の(A)〜(D)に分類して説明する。
The micropattern-forming material of the present invention is obtained by phase-separating two or more kinds of polymers having different properties from each other so that at least one kind of polymer can be selectively removed by some method through a nucleation and growth process. It consists of a polymer blend mixed to give the composition obtained. Then, after subjecting the polymer blend to phase separation and performing a treatment for changing the state or property of at least one polymer as necessary, at least one polymer is selectively removed to form a desired micro pattern. Can be formed. A specific combination of two or more polymers constituting the micropattern forming material of the present invention and a pattern forming method suitable for the polymer blend will be described by classifying them into the following (A) to (D).

【0058】(A)モノマーユニットのα位炭素に水素
を有する少なくとも1種のポリマーと、モノマーユニッ
トのα位炭素にアルキル基またはハロゲンを有する少な
くとも1種のポリマーとを含有するポリマーブレンドを
用いる場合について説明する。これらのポリマーは互い
にエネルギー線に対する耐性が異なる。すなわち、α位
に水素が結合したポリマーはエネルギー線を照射しても
主鎖が切断することはないが、α位にアルキル基または
ハロゲンが結合したポリマーはエネルギー線を照射する
と主鎖が切断する。主鎖が切断されたポリマーはウェッ
トエッチング、加熱処理による揮発またはドライエッチ
ングにより選択的に除去することができる。
(A) When a polymer blend containing at least one polymer having hydrogen at the α-position carbon of the monomer unit and at least one polymer having an alkyl group or halogen at the α-position carbon of the monomer unit is used. Will be described. These polymers have different resistances to energy rays. In other words, the main chain of the polymer with hydrogen bonded to the α-position is not broken even when irradiated with energy rays, but the main chain is broken with the energy beam when the polymer is bonded with an alkyl group or halogen at the α-position. . The polymer whose main chain has been cut can be selectively removed by wet etching, volatilization by heat treatment, or dry etching.

【0059】したがって、下地上に上記のポリマーブレ
ンドの薄膜を形成し、薄膜中に核生成成長過程で固定化
した相分離構造を形成し、薄膜に高エネルギー線を照射
して少なくとも1種のポリマーの主鎖を切断した後、主
鎖が切断されたポリマーの相を選択的に除去し、残存し
たポリマーの相をマスクとして下地を加工することによ
りミクロパターンを転写することができる。
Accordingly, a thin film of the above polymer blend is formed on a base, a phase-separated structure immobilized during the nucleation and growth process is formed in the thin film, and the thin film is irradiated with high-energy rays to form at least one polymer. After the main chain is cut, the polymer phase in which the main chain has been cut is selectively removed, and the micropattern can be transferred by processing the base using the remaining polymer phase as a mask.

【0060】エネルギー線としては、β線(電子線)、
X線、γ線、重粒子線などが挙げられる。これらのうち
β線、X線、γ線はポリマー薄膜内部への透過性に優
れ、また低コストプロセスが可能なことから優れてい
る。特に、β線、X線が好ましく、さらに照射による分
解効率が高いβ線が最も好ましい。β線(電子線)源と
しては例えば、コックロフトワルトン型、バンデグラフ
ト型、共振変圧器型、絶縁コア変圧器型、または直線
型、ダイナミトロン型、高周波型などの各種電子線加速
器を用いることができる。
As energy rays, β rays (electron rays),
X-rays, γ-rays, heavy particle beams, and the like. Among these, β-rays, X-rays and γ-rays are excellent because they have excellent transparency into the polymer thin film and can be processed at low cost. Particularly, β-rays and X-rays are preferable, and β-rays having high decomposition efficiency by irradiation are most preferable. As a β-ray (electron beam) source, for example, various electron beam accelerators such as Cockloft-Walton type, Van degraft type, resonance transformer type, insulating core transformer type, or linear type, dynamitron type, high frequency type Can be.

【0061】エネルギー線によって主鎖が分解するポリ
マーとしては、ポリプロピレン、ポリイソブチレン、ポ
リα−メチルスチレン、ポリメタクリル酸、ポリメチル
メタクリレート、ポリメタクリルアミド、ポリメチルイ
ソプロペニルケトン等、モノマーユニットのα位にアル
キル基を有するポリマーが挙げられる。同様に、モノマ
ーユニットのα位がハロゲンで置換されたポリマーはさ
らに主鎖分解性が高い。また、ポリトリフルオロメチル
メタクリレート、ポリトリフルオロメチル−α−アクリ
レート、ポリトリフルオロエチルメタクリレート、ポリ
トリフルオロエチル−α−アクリレート、ポリトリクロ
ロエチル−α−アクリレートなど、フッ化(ハロゲン
化)アルキル基で置換された(メタ)アクリレートは、
エネルギー線に対する感度が高いのでより望ましい。エ
ネルギー線がX線である場合、ポリマー鎖中に金属元素
が含まれていると分解効率が向上するため望ましい。
Examples of the polymer whose main chain is decomposed by energy rays include the α-position of a monomer unit such as polypropylene, polyisobutylene, poly α-methylstyrene, polymethacrylic acid, polymethyl methacrylate, polymethacrylamide, and polymethyl isopropenyl ketone. And a polymer having an alkyl group. Similarly, a polymer in which the α-position of a monomer unit is substituted with a halogen has higher main chain decomposability. In addition, fluorinated (halogenated) alkyl groups such as polytrifluoromethyl methacrylate, polytrifluoromethyl-α-acrylate, polytrifluoroethyl methacrylate, polytrifluoroethyl-α-acrylate, and polytrichloroethyl-α-acrylate The substituted (meth) acrylate is
This is more desirable because of its high sensitivity to energy rays. When the energy ray is an X-ray, it is desirable that the metal element is contained in the polymer chain because the decomposition efficiency is improved.

【0062】一方、エネルギー線に対する耐性が高く、
エネルギー線を照射しても主鎖が分解しないポリマーと
しては、ポリエチレン、ポリプロピレン、ポリスチレ
ン、ポリアクリル酸、ポリメチルアクリレート、ポリア
クリルアミド、ポリメチルビニルケトンなどモノマーユ
ニットのα位に水素を有するものが挙げられる。なお、
これらのポリマーが、エネルギー線の照射により架橋す
るものであればさらに好ましい。
On the other hand, the resistance to energy rays is high,
Polymers whose main chain does not decompose even when irradiated with energy rays include those having hydrogen at the α-position of monomer units such as polyethylene, polypropylene, polystyrene, polyacrylic acid, polymethyl acrylate, polyacrylamide, and polymethyl vinyl ketone. Can be In addition,
It is more preferable that these polymers crosslink by irradiation with energy rays.

【0063】エネルギー線の照射によって主鎖が切断さ
れたポリマーは、溶媒洗浄などによるウェットエッチン
グまたは加熱処理による揮発によって除去することがで
きるため、ドライエッチング工程を経ずに相分離構造を
保持した微細パターンや構造を形成できる。電子材料に
よっては、ドライエッチングプロセスが使用できないも
のや、使用できたとしてもコスト面からウェットエッチ
ングの方が好ましいことがあるため、このことは大きな
利点になる。
The polymer whose main chain has been cut by the irradiation of energy rays can be removed by wet etching by washing with a solvent or volatilization by heat treatment. Patterns and structures can be formed. This is a great advantage because some electronic materials cannot be used for a dry etching process, or even if they can be used, wet etching is preferable in terms of cost.

【0064】例えばPSとPMMAのブレンドポリマー
の薄膜にβ線(電子線)で照射するとPMMAの主鎖が
切断され、PMMA相のみが現像液に溶解するようにな
る。現像液としては、メチルイソブチルケトン(MIB
K)などが用いられる。溶解性を調整するために、イソ
プロピルアルコール(IPA)や界面活性剤を添加して
もよい。また、分解後のポリマーは低分子量化している
ため、加熱処理などすると容易に揮発除去することもで
きる。
For example, when a thin film of a blend polymer of PS and PMMA is irradiated with β-rays (electron beams), the main chain of PMMA is cut, and only the PMMA phase is dissolved in the developer. As a developing solution, methyl isobutyl ketone (MIB
K) is used. To adjust the solubility, isopropyl alcohol (IPA) or a surfactant may be added. Further, since the decomposed polymer has a low molecular weight, it can be easily volatilized and removed by heat treatment or the like.

【0065】上記のエネルギー線のうちでも特にβ線
は、薄膜だけでなくバルク状の成形体に対しても有効に
用いることができる。つまり、β線は有機物に対する透
過性が良好であるため、例えば2相の内の1相がメタク
リル系ポリマーなどβ線によって主鎖が分解する場合、
バルク内部のポリマーも分解する。したがって、ブレン
ドポリマーで3次元の成形体を作製した後、β線を照射
して現像すると、3次元構造を保持したままナノメータ
ーオーダーの規則正しい空孔が形成された多孔質構造体
を容易に得ることができる。このような多孔質構造体
は、規則正しい空孔を有し、比表面積が非常に大きいの
で、ポリマーバッテリーやキャパシターなどの電解質保
持体や、中空糸などに利用できる。
Among the above-mentioned energy rays, in particular, β rays can be effectively used not only for a thin film but also for a bulk molded product. That is, since β-rays have good permeability to organic substances, for example, when one of two phases is decomposed by a β-ray such as a methacrylic polymer, the main chain is decomposed.
The polymer inside the bulk also decomposes. Therefore, when a three-dimensional molded body is produced from the blended polymer and then developed by irradiation with β-rays, a porous structure in which regular pores on the order of nanometers are formed while maintaining the three-dimensional structure can be easily obtained. be able to. Such a porous structure has regular pores and a very large specific surface area, so that it can be used for an electrolyte support such as a polymer battery or a capacitor, or a hollow fiber.

【0066】なお、例えば特開平11−80414には
紫外線を照射することによってポリマー鎖を分解して多
孔質体を製造する方法が記載されている。しかし、紫外
線は有機物に対する透過性がよくないため、ある程度厚
みのある成形体を多孔質化するには適していない。ま
た、紫外線を用いた場合、ポリマー主鎖の分解反応の選
択性が低いため、2相のポリマーのうち1相のポリマー
のみの主鎖を切断することが困難なことが多い。
For example, Japanese Patent Application Laid-Open No. H11-80414 describes a method for producing a porous body by decomposing a polymer chain by irradiating ultraviolet rays. However, ultraviolet light has poor transparency to organic substances, and is not suitable for making a molded article having a certain thickness porous. Further, when ultraviolet rays are used, the selectivity of the decomposition reaction of the polymer main chain is low, so that it is often difficult to cut the main chain of only one phase polymer out of the two-phase polymer.

【0067】これに対して、β線、X線、γ線などは被
照射物のより奥深くまで透過し、ポリマー主鎖の分解反
応の選択性が高く、分解効率が高く、低コストであるた
め非常に優れている。特に簡便にかつ低コストで照射可
能なβ線(電子線)が最も好ましい。β線の照射量は特
に制限されないが、100Gy〜10MGy、さらに好
ましくは1KGy〜1MGy、最も好ましくは10KG
y〜100KGyに設定される。照射量が少なすぎると
分解性ポリマーを十分に分解することができず、反対に
照射量が多すぎると分解性ポリマーの分解物が三次元架
橋などして硬化したり、難分解性のポリマーまで分解す
るおそれがある。
On the other hand, β-rays, X-rays, γ-rays and the like penetrate deeper into the irradiation object, and have high selectivity for the decomposition reaction of the polymer main chain, high decomposition efficiency, and low cost. Very good. Particularly, β-rays (electron beams) that can be easily and inexpensively irradiated are most preferable. The irradiation amount of β-ray is not particularly limited, but is 100 Gy to 10 MGy, more preferably 1 KGy to 1 MGy, and most preferably 10 KGy.
y to 100 KGy. When the irradiation amount is too small, the decomposable polymer cannot be sufficiently decomposed.On the other hand, when the irradiation amount is too large, the decomposed product of the decomposable polymer is hardened by three-dimensional cross-linking or hardly decomposable polymer. There is a risk of decomposition.

【0068】加速電圧は成形体の厚さ、つまりβ線の成
形体内への侵入長によって異なるが、10nm〜数十μ
m程度の薄膜であれば20kV〜2MV程度、100μ
m以上のフィルムやバルクの成形体などでは500kV
〜10MV程度に設定することが好ましい。成形体中に
金属成形体などが含まれている場合は、さらに加速電圧
を高くしてもよい。
The acceleration voltage varies depending on the thickness of the compact, that is, the length of penetration of β-rays into the compact.
20 kV to 2 MV for a thin film of about m, 100 μm
500 kV for films or bulk compacts
It is preferable to set it to about 10 MV. When the molded body contains a metal molded body or the like, the acceleration voltage may be further increased.

【0069】(B)ポリマーを構成するモノマーユニッ
トのN/(Nc−No)の比が1.4以上(ただし、N
はモノマーユニットの総原子数、Ncはモノマーユニッ
トの炭素原子数、Noはモノマーユニットの酸素原子
数)である2種以上のポリマーを含有するポリマーブレ
ンドを用いる場合について説明する。これらのポリマー
は互いにドライエッチング耐性が異なるため、1つの相
のポリマーをドライエッチングにより選択的に除去する
ことができる。
(B) The ratio of N / (Nc-No) of the monomer units constituting the polymer is 1.4 or more (however,
Is the total number of atoms in the monomer unit, Nc is the number of carbon atoms in the monomer unit, and No is the number of oxygen atoms in the monomer unit. Since these polymers have different dry etching resistances, the polymer of one phase can be selectively removed by dry etching.

【0070】したがって、下地上に上記のポリマーブレ
ンドの薄膜を形成し、薄膜中に核生成成長過程で固定化
した相分離構造を形成した後、薄膜をドライエッチング
して少なくとも1種のポリマーの相を選択的に除去し、
残存したポリマーの相をマスクとして下地を加工するこ
とによりミクロパターンを転写することができる。
Therefore, after forming a thin film of the above-mentioned polymer blend on a base, forming a phase-separated structure fixed in the nucleation and growth process in the thin film, the thin film is dry-etched to form at least one polymer phase. Selectively
The micropattern can be transferred by processing the base using the remaining polymer phase as a mask.

【0071】以下、上記のN/(Nc−No)というパ
ラメータについて、より詳細に説明する。Nはポリマー
のモノマーユニット(モノマーユニットに相当)当たり
の原子の総数、Ncは炭素原子数、Noは酸素原子数で
ある。このパラメータは、ポリマーのドライエッチング
耐性を示す指標であり、この値が大きいほどドライエッ
チングによるエッチング速度が大きくなる(ドライエッ
チング耐性が低下する)。つまり、エッチング速度V
etchと上記パラメータとの間には、Vetch∝N/(Nc
−No)という関係がある。この傾向は、Ar、O2
CF4、H2などの各種エッチングガスの種類にほとんど
依存しない(J. Electrochem. Soc., 130, 143(1983))。
エッチングガスとしては、上記の文献に記載されている
Ar、O2、CF4、H2のほかにも、C26、CHF3
CH22、CF3Br、N2、NF3、Cl2、CCl4
HBr、SF6などを用いることができる。なお、上記
のパラメーターと、シリコン、ガラス、金属などの無機
物のエッチングとは無関係である。
Hereinafter, the parameter N / (Nc-No) will be described in more detail. N is the total number of atoms per monomer unit (corresponding to a monomer unit) of the polymer, Nc is the number of carbon atoms, and No is the number of oxygen atoms. This parameter is an index indicating the dry etching resistance of the polymer. The larger the value, the higher the etching rate by dry etching (the lower the dry etching resistance). That is, the etching rate V
Between the etch and the parameter, V etch αN / (Nc
-No). This trend is due to Ar, O 2 ,
It hardly depends on the type of various etching gases such as CF 4 and H 2 (J. Electrochem. Soc., 130, 143 (1983)).
As an etching gas, in addition to Ar, O 2 , CF 4 , and H 2 described in the above literature, C 2 F 6 , CHF 3 ,
CH 2 F 2 , CF 3 Br, N 2 , NF 3 , Cl 2 , CCl 4 ,
HBr, SF 6 or the like can be used. Note that the above parameters have no relation to the etching of inorganic substances such as silicon, glass, and metal.

【0072】具体的なパラメータ値を計算すると、下記
化学式に示すようにポリスチレン(PS)のモノマーユ
ニットはC88であるから16/(8−0)=2であ
り、ポリイソプレン(PI)のモノマーユニットはC5
8であるから13/(5−0)=2.6であり、ポリ
メタクリル酸メチル(PMMA)のモノマーユニットは
528であるから15/(5−2)=5である。し
たがって、PS−PMMAのブレンドポリマーでは、P
Sのエッチング耐性が高く、PMMAのみがエッチング
されやすいことが予想できる。例えば、CF4で進行波
150W、反射波30W、流量30sccm、圧力0.
01torrの条件でリアクティブイオンエッチング
(RIE)を行うと、PMMAはPSに対して4±0.
3倍程度のエッチング速度を示すことが確認されてい
る。
When the specific parameter values are calculated,
As shown in the chemical formula, a polystyrene (PS) monomer
Knit is C8H8Therefore, 16 / (8-0) = 2
The monomer unit of polyisoprene (PI) is CFive
H8Therefore, 13 / (5-0) = 2.6.
The monomer unit of methyl methacrylate (PMMA)
C FiveOTwoH8Therefore, 15 / (5-2) = 5. I
Therefore, in the PS-PMMA blend polymer, P
High etching resistance of S, only PMMA is etched
It can be expected that it is easy to be done. For example, CFFourTraveling wave
150W, reflected wave 30W, flow rate 30sccm, pressure 0.
Reactive ion etching under 01 torr condition
(RIE), PMMA gives 4 ± 0.
It has been confirmed that it shows about three times the etching rate
You.

【0073】[0073]

【化1】 Embedded image

【0074】図3に、各種ポリマーのN/(Nc−N
o)値とドライエッチング速度との関係を示す。図3で
使用している略号はそれぞれ以下のポリマーを示す。S
EL−N=(Somer工業社、商品名)、PMMA=ポリ
メタクリル酸メチル、COP=グリシジルメタクリレー
ト−エチルアクリレートコポリマー、CP−3=メタク
リレート−t−ブチルメタクリレートコポリマー、PB
=ポリベンジルメタクリレート、FBM=ポリヘキサフ
ルオロブチルメタクリレート、FPM=ポリフルオロプ
ロピルメタクリレート、PMIPK=ポリメチルイソプ
ロペニルケトン、PS=ポリスチレン、CMS=クロロ
メチル化ポリスチレン、PαMS=ポリα−メチルスチ
レン、PVN=ポリビニルナフタレン、PVB=ポリビ
ニルビフェニル、CPB=環化ポリブタジエンである。
この図に示されるように、Vetch∝N/(Nc−No)
という関係が成り立つことがわかる。
FIG. 3 shows N / (Nc-N) of various polymers.
o) shows the relationship between the value and the dry etching rate. The abbreviations used in FIG. 3 indicate the following polymers, respectively. S
EL-N = (Somer Industries, trade name), PMMA = polymethyl methacrylate, COP = glycidyl methacrylate-ethyl acrylate copolymer, CP-3 = methacrylate-t-butyl methacrylate copolymer, PB
= Polybenzyl methacrylate, FBM = polyhexafluorobutyl methacrylate, FPM = polyfluoropropyl methacrylate, PMIPK = polymethylisopropenyl ketone, PS = polystyrene, CMS = chloromethylated polystyrene, PαMS = polyα-methylstyrene, PVN = polyvinyl Naphthalene, PVB = polyvinyl biphenyl, CPB = cyclized polybutadiene.
As shown in this figure, V etch @ N / (Nc-No)
It can be seen that the relationship holds.

【0075】一般に芳香環が含まれていると2重結合を
含むため相対的に炭素の比率が高くなるため、上記のパ
ラメーターの値が小さくなる。上記のパラメータからわ
かるように、ポリマー中の炭素が多い(上記パラメータ
値が小さい)ほどドライエッチング耐性は向上し、酸素
が多い(上記パラメータ値が大きい)ほどドライエッチ
ング耐性は低下する。このことは、定性的には以下のよ
うに説明できる。炭素はラジカルに対する反応性が小さ
く、化学的に安定である。このため、ポリマー中の炭素
が多いと各種ラジカルが攻撃してきても反応しにくいた
め、エッチング耐性が向上する。これに対し、酸素はラ
ジカルに対する反応性が高いため、ポリマー中の酸素が
多いとエッチング速度が速くエッチング耐性が低い。さ
らにポリマー中に酸素が含まれると酸素ラジカルが発生
しやすいため、例えばCF4などのフッ素系のエッチン
グガスを用いると、酸素ラジカルの作用によりFラジカ
ルが増殖してエッチングに関与するラジカルが増加する
ためエッチング速度が増加する。アクリル系ポリマーは
酸素含有率が高く、また2重結合が少ないため、上記パ
ラメーターの値が大きくなり、エッチングされやすくな
る。
In general, when an aromatic ring is contained, the ratio of carbon becomes relatively high because of containing a double bond, so that the value of the above parameter becomes small. As can be seen from the above parameters, the dry etching resistance increases as the amount of carbon in the polymer increases (the parameter value decreases), and the dry etching resistance decreases as the amount of oxygen increases (the parameter value increases). This can be qualitatively explained as follows. Carbon has low reactivity to radicals and is chemically stable. For this reason, if the polymer contains a large amount of carbon, it is difficult to react even if various radicals attack, so that the etching resistance is improved. On the other hand, since oxygen has high reactivity to radicals, if the amount of oxygen in the polymer is large, the etching rate is high and the etching resistance is low. Furthermore, if oxygen is contained in the polymer, oxygen radicals are likely to be generated. For example, when a fluorine-based etching gas such as CF 4 is used, F radicals are multiplied by the action of oxygen radicals, and radicals involved in etching increase. Therefore, the etching rate increases. Since the acrylic polymer has a high oxygen content and a small number of double bonds, the value of the above parameter increases, and the acrylic polymer is easily etched.

【0076】上述したように、本発明のミクロパターン
形成材料を構成するAポリマーおよびBポリマーのN/
(Nc−No)パラメータの比が1.4以上であれば、
エッチングにより明確なパターンが形成される。この比
が1.5以上、さらに2以上であれば、2種のポリマー
でエッチング速度に大きな差が生じるため、加工時の安
定性が向上する。また、実際にドライエッチングしたと
きの2種のポリマーのエッチング選択比は1.3以上、
さらに2以上、さらに3以上であることが好ましい。
As described above, the N / N ratio of the A polymer and the B polymer constituting the micropattern forming material of the present invention is described.
If the (Nc-No) parameter ratio is 1.4 or more,
A clear pattern is formed by etching. If the ratio is 1.5 or more, and more than 2, a large difference occurs in the etching rate between the two polymers, so that the stability during processing is improved. Also, the etching selectivity of the two types of polymers when actually dry-etched is 1.3 or more,
Further, it is preferably 2 or more, and more preferably 3 or more.

【0077】エッチング選択比を向上させるためには、
例えばO2などをエッチングガスとして用いる場合、エ
ッチング耐性の高いポリマーとして含けい素ポリマーを
用い、エッチング耐性の低いポリマーとしてハロゲン含
有ポリマーを用いることが特に好ましい。含けい素ポリ
マーとしてはポリ(p−トリメチルシリルスチレン)な
ど、ハロゲン含有ポリマーとしてはポリ(クロロエチル
メタクリレート)などのハロゲン含有アクリル系ポリマ
ーが好適である。
To improve the etching selectivity,
For example, when O 2 or the like is used as an etching gas, it is particularly preferable to use a silicon-containing polymer as a polymer having high etching resistance and a halogen-containing polymer as a polymer having low etching resistance. The silicon-containing polymer is preferably poly (p-trimethylsilylstyrene) and the like, and the halogen-containing polymer is preferably a halogen-containing acrylic polymer such as poly (chloroethyl methacrylate).

【0078】(C)120℃以上の温度で互いに熱分解
性が異なる2種以上のポリマーを含有するポリマーブレ
ンドを用いる場合について説明する。これらのポリマー
を含有するポリマーブレンドを120℃以上に加熱する
と、少なくとも1種のポリマーは熱分解しないが、少な
くとも1種のポリマーは熱分解して選択的に除去され
る。
(C) A case of using a polymer blend containing two or more polymers having different thermal decomposability at a temperature of 120 ° C. or higher will be described. When a polymer blend containing these polymers is heated above 120 ° C., at least one polymer does not thermally decompose, but at least one polymer is thermally decomposed and selectively removed.

【0079】したがって、下地上に上記のポリマーブレ
ンドの薄膜を形成し、薄膜中に核生成成長過程で固定化
した相分離構造を形成した後、薄膜を120℃以上に加
熱して少なくとも1種のポリマーの相を選択的に熱分解
して除去し、残存したポリマーの相をマスクとして下地
を加工することによりミクロパターンを転写することが
できる。
Therefore, after forming a thin film of the above-mentioned polymer blend on an underlayer, forming a phase separation structure fixed in the nucleation and growth process in the thin film, the thin film is heated to 120 ° C. or more to form at least one kind of The micropattern can be transferred by selectively removing the polymer phase by thermal decomposition and processing the base using the remaining polymer phase as a mask.

【0080】耐熱性ポリマーと熱分解性ポリマーとの熱
分解温度(1気圧の不活性ガス気流下で30分間加熱し
たとき重量が半減する温度)の差は、20℃以上、さら
に好ましくは50℃以上、最も好ましくは100℃以上
であることが望ましい。また、耐熱性ポリマーは、熱分
解性ポリマーの熱分解開始温度以上で三次元架橋するも
のであることがより好ましい。
The difference between the heat decomposition temperature of the heat-resistant polymer and the heat-decomposable polymer (the temperature at which the weight is reduced by half when heated under an inert gas stream of 1 atm for 30 minutes) is 20 ° C. or more, more preferably 50 ° C. As described above, it is most preferable that the temperature be 100 ° C. or higher. Further, it is more preferable that the heat-resistant polymer is one that three-dimensionally crosslinks at a temperature equal to or higher than the thermal decomposition temperature of the thermally decomposable polymer.

【0081】熱分解性ポリマー鎖としては例えば、ポリ
エチレンオキシド、ポリプロピレンオキシドなどのポリ
エーテル、α−メチルスチレン、ポリアクリル酸エステ
ルやポリメタクリル酸エステルなどのアクリル樹脂、ポ
リフタルアルデヒドなどが挙げられる。
Examples of the thermally decomposable polymer chain include polyethers such as polyethylene oxide and polypropylene oxide, α-methylstyrene, acrylic resins such as polyacrylate and polymethacrylate, and polyphthalaldehyde.

【0082】耐熱性ポリマーとしては、炭素系ポリマー
では例えばポリアクリロニトリル、ポリメタクリロニト
リル、ポリイミド、ポリアニリン誘導体、ポリパラフェ
ニレン誘導体、またはPPPモノマーを重合したポリシ
クロヘキサジエン誘導体などが挙げられる。
Examples of the heat-resistant polymer include carbon-based polymers such as polyacrylonitrile, polymethacrylonitrile, polyimide, polyaniline derivative, polyparaphenylene derivative, and polycyclohexadiene derivative obtained by polymerizing a PPP monomer.

【0083】耐熱性ポリマーとして、側鎖または主鎖に
熱によって架橋して耐熱性の分子構造を形成する部位を
有するポリマーを用いることもできる。例えば側鎖また
は主鎖にPOSS(Polyhedral Oligomeric Silsesquio
xane:ポリシロキサンT8立方体)などのシロキサンク
ラスターを有するポリマーを用いてもよい。例えば、下
記化学式に示されるようなメタクリレートT8立方体な
どを重合したものが好ましい。
As the heat-resistant polymer, a polymer having a site where a side chain or a main chain is crosslinked by heat to form a heat-resistant molecular structure can also be used. For example, POSS (Polyhedral Oligomeric Silsesquio)
xane: a polymer having a siloxane cluster such as a polysiloxane T 8 cube may be used. For example, a polymer obtained by polymerizing a methacrylate T 8 cube represented by the following chemical formula is preferable.

【0084】[0084]

【化2】 Embedded image

【0085】(RはHまたは置換または非置換のアルキ
ル基、アリール基、アラルキル基を示し、たとえばメチ
ル基、エチル基、ブチル基、イソプロピル基、フェニル
基などである)。
(R represents H or a substituted or unsubstituted alkyl group, aryl group, or aralkyl group, such as methyl, ethyl, butyl, isopropyl, and phenyl).

【0086】耐熱性ポリマーとして、ポリシランを用い
てもよい。ポリシランは、少なくとも一部に下記化学式
に示される繰り返し単位を有するポリシラン構造を含有
していれば特に限定されない。
As the heat resistant polymer, polysilane may be used. The polysilane is not particularly limited as long as it contains at least a polysilane structure having a repeating unit represented by the following chemical formula.

【0087】[0087]

【化3】 Embedded image

【0088】(R1,R2,R3,R4はそれぞれ独立に炭
素数1〜20の置換または無置換のアルキル基、アリー
ル基、アラルキル基を示す)。
(R 1 , R 2 , R 3 and R 4 each independently represent a substituted or unsubstituted alkyl group, aryl group or aralkyl group having 1 to 20 carbon atoms).

【0089】ポリシランはホモポリマーでもコポリマー
でもよく、2種以上のポリシランが酸素原子、窒素原
子、脂肪族基、芳香族基を介して互いに結合した構造を
有するものでもよい。こうしたポリシランの具体例とし
ては、例えばポリ(メチルフェニルシラン)、ポリ(ジ
フェニルシラン)、ポリ(メチルクロロメチルフェニル
シラン)、ポリ(ジヘキシルシラン)、ポリ(プロピル
メチルシラン)、ポリ(ジブチルシラン)、ポリ(メチ
ルシラン)、ポリ(フェニルシラン)など、およびこれ
らのランダムまたはブロックコポリマーなどが挙げられ
る。
The polysilane may be a homopolymer or a copolymer, and may have a structure in which two or more polysilanes are bonded to each other via an oxygen atom, a nitrogen atom, an aliphatic group, or an aromatic group. Specific examples of such polysilanes include, for example, poly (methylphenylsilane), poly (diphenylsilane), poly (methylchloromethylphenylsilane), poly (dihexylsilane), poly (propylmethylsilane), poly (dibutylsilane), Examples include poly (methylsilane), poly (phenylsilane), and the like, and random or block copolymers thereof.

【0090】(D)アルカリ可溶性(何らかの処理によ
りアルカリ不溶性からアルカリ可溶性へと変化し得るポ
リマーも含む)の少なくとも1種のポリマーと、アルカ
リ不溶性の少なくとも1種のポリマーとを含有するポリ
マーブレンドからなるもの。これらのポリマーを含有す
るポリマーブレンドをアルカリ現像液で処理すると、ア
ルカリ可溶性のポリマーを選択的に除去することができ
る。
(D) A polymer blend containing at least one kind of alkali-soluble polymer (including a polymer which can be changed from alkali-insoluble to alkali-soluble by some treatment) and at least one kind of alkali-insoluble polymer. thing. When a polymer blend containing these polymers is treated with an alkali developer, alkali-soluble polymers can be selectively removed.

【0091】したがって、下地上に上記のポリマーブレ
ンドの薄膜を形成し、薄膜中に核生成成長過程で固定化
した相分離構造を形成した後、薄膜をアルカリ現像液で
現像してアルカリ可溶性のポリマーの相を選択的に除去
し、残存したポリマーの相をマスクとして下地を加工す
ることによりミクロパターンを転写することができる。
Therefore, after forming a thin film of the above polymer blend on a base, forming a phase-separated structure immobilized during the nucleation and growth process in the thin film, the thin film is developed with an alkali developer to obtain an alkali-soluble polymer. Is selectively removed, and the micropattern can be transferred by processing the base using the remaining polymer phase as a mask.

【0092】アルカリ可溶性ポリマーとしては、フェノ
ールノボラック、ナフトールノボラックなどのノボラッ
ク樹脂、ポリイソボルネン、ポリノルボルネン、ポリシ
クロヘキセン、ポリシクロペンテン、ポリシクロオクテ
ンなどの主鎖脂環ポリマーにヒドロキシル基、カルボキ
シル基、カルボニル基などの置換基を導入したもの、ポ
リヒドロキシスチレン、ポリヒドロキシビニルナフタレ
ンなどのフェノール樹脂、ポリメタクリル酸やポリアク
リル酸およびこれらのα位をハロゲンで置換したもの、
ポリビニルアルコール、ポリ酢酸ビニル、無水マレイン
酸などが挙げられる。
Examples of the alkali-soluble polymers include novolak resins such as phenol novolak and naphthol novolak, main chain alicyclic polymers such as polyisobornene, polynorbornene, polycyclohexene, polycyclopentene and polycyclooctene, and hydroxyl groups, carboxyl groups and carbonyl groups. Those having a substituent introduced therein, polyhydroxystyrene, phenolic resin such as polyhydroxyvinylnaphthalene, polymethacrylic acid or polyacrylic acid and those having the α-position thereof substituted with halogen,
Examples include polyvinyl alcohol, polyvinyl acetate, and maleic anhydride.

【0093】アルカリ不溶性ポリマーの代表例として
は、ポリスチレンなどの芳香族ポリマー、ポリブタジエ
ンやポリイソプレンなどのゴム系ポリマー、ポリメチル
メタクリレートなどのアクリルポリマーなどが挙げられ
るが、ほとんどのポリマーはアルカリに対する溶解性が
ないためアルカリ不溶性ポリマーとして使用できるもの
は多い。
Representative examples of the alkali-insoluble polymer include aromatic polymers such as polystyrene, rubber polymers such as polybutadiene and polyisoprene, and acrylic polymers such as polymethyl methacrylate. Most polymers are soluble in alkali. Many of them can be used as alkali-insoluble polymers because of their absence.

【0094】アルカリ可溶性ポリマーにアルカリ溶解抑
止基を導入してアルカリ不溶性樹脂にすることもでき
る。アルカリ溶解抑止基を導入するために用いられる化
合物としては、t−ブチルエステル、イソプロピルエス
テル、エチルエステル、メチルエステル、ベンジルエス
テルなどのエステル基;テトラヒドロピラニルエーテル
などのエーテル類;t−ブトキシカーボネート、メトキ
シカーボネート、エトキシカーボネートなどのアルコキ
シカーボネート;トリメチルシリルエーテル、トリエチ
ルシリルエーテル、トリフェニルシリル江エーテルなど
のシリルエーテル類;イソプロピルエステル、テトラヒ
ドロピラニルエステル、テトラヒドロフラニルエステ
ル、メトキシエトキシメチルエステル、2−トリメチル
シリルエトキシメチルエステル、3−オキソシクロヘキ
シルエステル、イソボルニルエステル、トリメチルシリ
ルエステル、トリエチルシリルエステル、イソプロピル
ジメチルシリルエステル、ジ−t−ブチルメチルシリル
エステル、オキサゾール、2−アルキル−1,3−オキ
サゾリン、4−アルキル−5−オキソ−1,3−オキサ
ゾリン、5−アルキル−4−オキソ−1,3−ジオキソ
ランなどのエステル類;t−ブトキシカルボニルエーテ
ル、t−ブトキシメチルテール、4−ペンテニロキシメ
チルエーテル、テトラヒドロピラニルエーテル、テトラ
ヒドロチオピラニルエーテル、3−ブロモテトラヒドロ
ピラニルエーテル、1−メトキシシクロヘキシルエーテ
ル、4−メトキシテトラヒドロピラニルエーテル、4−
メトキシテトラヒドロチオピラニルエーテル、1,4−
ジオキサン−2−イルエーテル、テトラヒドロフラニル
エーテル、テトラヒドロチオフラニルエーテル、2,
3,3a,4,5,6,7,7a−オクタヒドロ−7,
8,8−トリメチル−4,7−メタノベンゾフラン−2
−イルエーテル、t−ブチルエーテル、トリメチルシリ
ルエーテル、トリイソプロピルシリルエーテル、ジメチ
ルイソプロピルシリルエーテル、ジエチルイソプロピル
シリルエーテル、ジメチルセキシルシリルエーテル、t
−ブチルジメチルシリルエーテルなどのエーテル類;メ
チレンアセタール、エチリデンアセタール、2,2,2
−トリクロロエチリデンアセタールなどのアセタール
類;1−t−ブチルエチリデンケタール、イソプロピリ
デンケタール(アセトナイド)、シクロペンチリデンケ
タール、シクロヘキシリデンケタール、シクロヘプチル
デンケタールなどのケタール類;メトキシメチレンアセ
タール、エトキシエチレンアセタール、ジメトキシメチ
レンオルソエステル、1−メトキシエチリデンオルソエ
ステル、1−エトキシエチリデンオルソエステル、1,
2−ジメトキシエチリデンオルソエステル、1−N,N
−ジメチルアミノエチリデンオルソエステル、2−オキ
サシクロペンチリデンオルソエステルなどのサイクリッ
クオルソエステル類;トリメチルシリルケテンアセター
ル、トリエチルシリルケテンアセタール、t−ブチルジ
メチルシリルケテンアセタールなどのシリルケテンアセ
タール類;ジ−t−ブチルシリルエーテル、1,3−
1’,1’,3’,3’−テトライソプロピルジシクロ
キサニリデンエーテル、テトラ−t−ブトキシジシロキ
サン−1,3−ジイリデンエーテルなどのシリルエーテ
ル類;ジメチルアセタール、ジメチルケタール、ビス−
2,2,2−トリクロロエチルアセタール、ビス−2,
2,2−トリクロロエチルケタール、ダイアセチルアセ
タール、ダイアセチルケタールなどの非環状アセタール
類およびケタール類;1,3−ジオキサン、5−メチレ
ン−1,3−ジオキサン、5,5−ジブロモ−1,3−
ジオキサン、1,3−ジオキソラン、4−ブロモメチル
−1,3−ジオキソラン、4,3’−ブテニル−1,3
−ダイオキソラン、4,5−ジメトキシメチル−1,3
−ダイオキソランなどのサイクリックアセタール類およ
びケタール類;O−トリメチルシリルシアノヒドリン、
O−1−エトキシエチルシアノヒドリン、O−テトラヒ
ドロピラニルシアノヒドリンなどのシアノヒドリン類が
挙げられる。
An alkali-insoluble resin can be obtained by introducing an alkali dissolution inhibiting group into an alkali-soluble polymer. Compounds used to introduce an alkali dissolution inhibiting group include ester groups such as t-butyl ester, isopropyl ester, ethyl ester, methyl ester, and benzyl ester; ethers such as tetrahydropyranyl ether; t-butoxy carbonate, methoxy Alkoxycarbonates such as carbonate and ethoxycarbonate; silyl ethers such as trimethylsilyl ether, triethylsilyl ether and triphenylsilyl ether; isopropyl ester, tetrahydropyranyl ester, tetrahydrofuranyl ester, methoxyethoxymethyl ester, 2-trimethylsilylethoxymethyl ester; 3-oxocyclohexyl ester, isobornyl ester, trimethylsilyl ester, triethyl Ryl ester, isopropyldimethylsilyl ester, di-t-butylmethylsilyl ester, oxazole, 2-alkyl-1,3-oxazoline, 4-alkyl-5-oxo-1,3-oxazoline, 5-alkyl-4-oxo- Esters such as 1,3-dioxolane; t-butoxycarbonyl ether, t-butoxymethyl tail, 4-pentenyloxymethyl ether, tetrahydropyranyl ether, tetrahydrothiopyranyl ether, 3-bromotetrahydropyranyl ether, 1-methoxycyclohexyl Ether, 4-methoxytetrahydropyranyl ether, 4-
Methoxytetrahydrothiopyranyl ether, 1,4-
Dioxan-2-yl ether, tetrahydrofuranyl ether, tetrahydrothiofuranyl ether, 2,
3,3a, 4,5,6,7,7a-octahydro-7,
8,8-trimethyl-4,7-methanobenzofuran-2
-Yl ether, t-butyl ether, trimethylsilyl ether, triisopropylsilyl ether, dimethylisopropylsilyl ether, diethylisopropylsilyl ether, dimethylsexylsilyl ether, t
Ethers such as -butyldimethylsilyl ether; methylene acetal, ethylidene acetal, 2,2,2
-Acetals such as trichloroethylidene acetal; ketals such as 1-t-butylethylidene ketal, isopropylidene ketal (acetonide), cyclopentylidene ketal, cyclohexylidene ketal, cycloheptyldenketal; methoxymethylene acetal, ethoxyethylene acetal , Dimethoxymethylene orthoester, 1-methoxyethylidene orthoester, 1-ethoxyethylidene orthoester, 1,
2-dimethoxyethylidene orthoester, 1-N, N
Cyclic orthoesters such as -dimethylaminoethylidene orthoester and 2-oxacyclopentylidene orthoester; silylketene acetals such as trimethylsilylketene acetal, triethylsilylketene acetal and t-butyldimethylsilylketene acetal; di-t- Butylsilyl ether, 1,3-
Silyl ethers such as 1 ', 1', 3 ', 3'-tetraisopropyldicycloxanylidene ether and tetra-t-butoxydisiloxane-1,3-diylidene ether; dimethyl acetal, dimethyl ketal, bis-
2,2,2-trichloroethyl acetal, bis-2,
Acyclic acetals and ketals such as 2,2-trichloroethyl ketal, diacetyl acetal and diacetyl ketal; 1,3-dioxane, 5-methylene-1,3-dioxane, 5,5-dibromo-1,3 −
Dioxane, 1,3-dioxolan, 4-bromomethyl-1,3-dioxolan, 4,3′-butenyl-1,3
-Dioxolane, 4,5-dimethoxymethyl-1,3
-Cyclic acetal and ketal such as dioxolane; O-trimethylsilyl cyanohydrin,
And cyanohydrins such as O-1-ethoxyethyl cyanohydrin and O-tetrahydropyranylcyanohydrin.

【0095】これらのアルカリ溶解抑止基で導入したポ
リマーを用いる場合、相分離構造を形成させた後、構造
を保持したままアルカリ溶解性を変える処理を施す。ア
ルカリ溶解抑止基で保護されたアルカリ可溶性ポリマー
は、酸やアルカリなどの触媒の存在下で脱保護反応を起
こすため、容易にアルカリ可溶になる。アルカリ溶解抑
止基による保護率を0〜100%の間で変化させること
により、ポリマーのアルカリに対する溶解性を調整する
ことができる。
When using a polymer introduced with these alkali dissolution inhibiting groups, after forming a phase separation structure, a treatment for changing the alkali solubility while maintaining the structure is performed. An alkali-soluble polymer protected with an alkali dissolution inhibiting group easily becomes alkali-soluble because it undergoes a deprotection reaction in the presence of a catalyst such as an acid or an alkali. The solubility of the polymer in alkali can be adjusted by changing the degree of protection by the alkali dissolution inhibiting group between 0 and 100%.

【0096】この場合、アルカリ溶解抑止基で保護され
たアルカリ可溶性ポリマーに対して、紫外線、X線、電
子線などに反応する光酸発生剤を用いると、露光により
特定の部位のアルカリ溶解性を制御することができる。
光酸発生剤としては、トリフェニルスルホニウムトリフ
レート、トリフェニルスルホニウムヘキサフルオロアン
チモネート、トリフェニルスルホニウムナフタレンスル
ホネート、ジフェニルヨードニウムトリフレート、ジフ
ェニルヨードニウムピレンスルホネート、ジフェニルヨ
ードニウムドデシルベンゼンスルホネート、ビス(4−
tert−ブチルフェニル)ヨードニウムトリフレー
ト、ビス(4−tert−ブチルフェニル)ヨードニウ
ムドデシルベンゼンスルホネート、ビス(4−tert
−ブチルフェニル)ヨードニウムナフタレンスルホネー
ト、ビス(4−tert−ブチルフェニル)ヨードニウ
ムヘキサフルオロアンチモネート、(ヒドロキシフェニ
ル)ベンゼンメチルスルホニウムトルエンスルホネー
ト、1−(ナフチルアセトメチル)チオラニウムトリフ
レート、シクロヘキシルメチル(2−オキソシクロヘキ
シル)スルホニウムトリフレート、ジシクロヘキシル
(2−オキソシクロヘキシル)スルホニウムトリフレー
ト、ジメチル(2−オキソシクロヘキシル)スルホニウ
ムトリフレート、S−(トリフルオロメチル)ジベンゾ
チオフェニウムトリフルオロメタンスルホン酸、Se−
(トリフルオロメチル)ジベンゾチオフェニウムトリフ
ルオロメタンスルホン酸、I−ジベンゾチオフェニウム
トリフルオロメタンスルホン酸などのヨードニウム塩、
スルホニウム塩、ホスホニウム塩、ジアゾニウム塩、ピ
リジニウム塩などのオニウム塩、1,2−ナフトキノン
ジアジド−4−スルホニルクロリド、2,3,4,4−
テトラヒドロベンゾフェノンの1,2−ナフトキノンジ
アジド−4−スルホン酸エステル、1,1,1−トリス
(4−ヒドロキシフェニル)エタンの1,2−ナフトキ
ノンジアジド−4−スルホン酸エステルなどの1,3−
ジケト−2−ジアゾ化合物、ジアゾベンゾキノン化合
物、ジアゾナフトキノン化合物などのジアゾケトン化合
物、1,1−ビス(4−クロロフェニル)−2,2,2
−トリクロロエタン、フェニル−ビス(トリクロロメチ
ル)−S−トリアジン、ナフチル−ビス(トリクロロメ
チル)−S−トリアジンなどのハロアルキル基含有炭化
水素化合物、ハロアルキル基含有ヘテロ環状化合物など
のハロゲン含有化合物、4−トリスフェナシルスルホ
ン、メシチルフェナシルスルホン、ビス(フェニルスル
ホニル)メタンなどのβ−ケトスルホン、β−スルホニ
ルスルホンなどのスルホン化合物が挙げられる。
In this case, when a photoacid generator which reacts to ultraviolet rays, X-rays, electron beams, etc. is used for the alkali-soluble polymer protected by the alkali dissolution inhibiting group, the alkali solubility of a specific site is increased by exposure. Can be controlled.
Examples of the photoacid generator include triphenylsulfonium triflate, triphenylsulfonium hexafluoroantimonate, triphenylsulfonium naphthalene sulfonate, diphenyliodonium triflate, diphenyliodonium pyrene sulfonate, diphenyliodonium dodecylbenzenesulfonate, bis (4-
tert-butylphenyl) iodonium triflate, bis (4-tert-butylphenyl) iodonium dodecylbenzenesulfonate, bis (4-tert
-Butylphenyl) iodonium naphthalene sulfonate, bis (4-tert-butylphenyl) iodonium hexafluoroantimonate, (hydroxyphenyl) benzenemethylsulfonium toluenesulfonate, 1- (naphthylacetomethyl) thiolanium triflate, cyclohexylmethyl (2- (Oxocyclohexyl) sulfonium triflate, dicyclohexyl (2-oxocyclohexyl) sulfonium triflate, dimethyl (2-oxocyclohexyl) sulfonium triflate, S- (trifluoromethyl) dibenzothiophenium trifluoromethanesulfonic acid, Se-
Iodonium salts such as (trifluoromethyl) dibenzothiophenium trifluoromethanesulfonic acid, I-dibenzothiophenium trifluoromethanesulfonic acid,
Onium salts such as sulfonium salts, phosphonium salts, diazonium salts, pyridinium salts, 1,2-naphthoquinonediazide-4-sulfonyl chloride, 2,3,4,4-
1,3-naphthoquinonediazido-4-sulfonic acid ester of tetrahydrobenzophenone and 1,3-naphthoquinonediazide-4-sulfonic acid ester of 1,1,1-tris (4-hydroxyphenyl) ethane
Diazoketone compounds such as diketo-2-diazo compounds, diazobenzoquinone compounds and diazonaphthoquinone compounds; 1,1-bis (4-chlorophenyl) -2,2,2
-Haloalkyl group-containing hydrocarbon compounds such as trichloroethane, phenyl-bis (trichloromethyl) -S-triazine, naphthyl-bis (trichloromethyl) -S-triazine, halogen-containing compounds such as haloalkyl group-containing heterocyclic compounds, 4-tris Β-ketosulfones such as phenacylsulfone, mesitylphenacylsulfone, and bis (phenylsulfonyl) methane; and sulfone compounds such as β-sulfonylsulfone.

【0097】現像液として用いられるアルカリ溶液とし
ては、例えば、水酸化ナトリウム、炭酸ナトリウム、ケ
イ酸ナトリウム、メタケイ酸ナトリウム、アンモニア水
などの水溶液のような無機アルカリ水溶液、テトラメチ
ルアンモニムヒドロキシド水溶液、トリメチルヒドロキ
シエチルアンモニウムヒドロキシド水溶液などの有機ア
ルカリ水溶液、エチルアミン、ジ−n−プロピルアミ
ン、トリエチルアミン、メチルジエチルアミン、ジメチ
ルエタノールアミン、トリエタノールアミン、ピロー
ル、ピペリジン、コリンなどの水溶液、これらにアルコ
ール類、界面活性剤を添加したものが挙げられる。現像
処理後の基板およびポリマー膜に対しては、水などを用
いてリンス処理を施し、さらに乾燥させる。
Examples of the alkaline solution used as the developer include an aqueous solution of an inorganic alkali such as an aqueous solution of sodium hydroxide, sodium carbonate, sodium silicate, sodium metasilicate, aqueous ammonia, an aqueous solution of tetramethylammonium hydroxide, An aqueous solution of an organic alkali such as an aqueous solution of trimethylhydroxyethylammonium hydroxide, an aqueous solution of ethylamine, di-n-propylamine, triethylamine, methyldiethylamine, dimethylethanolamine, triethanolamine, pyrrole, piperidine, choline, etc. One to which an activator is added may be mentioned. The substrate and the polymer film after the development process are subjected to a rinsing process using water or the like, and further dried.

【0098】本発明の相分離構造は以下のような方法に
より形成できる。2種類以上の互いに非相溶なポリマー
を適当な溶媒に溶解し、塗布用溶液を調製する。この塗
布用溶液を基板上に塗布して乾燥することにより、多成
分多相系ポリマー薄膜を形成する。塗布法としては、ス
ピンコーティング法、ディップコーティング法またはキ
ャスト法などの方法を用いることができる。
The phase separation structure of the present invention can be formed by the following method. Two or more incompatible polymers are dissolved in a suitable solvent to prepare a coating solution. The coating solution is applied on a substrate and dried to form a multi-component multi-phase polymer thin film. As a coating method, a method such as a spin coating method, a dip coating method, or a casting method can be used.

【0099】ブレンドポリマーを溶融し、これを基板上
に塗布した後に冷却して相分離構造を形成することもで
きる。複数のポリマーを溶融し、ホットプレス法、射出
成形法、トランスファー成形法などの方法によって、所
望の形状に成形してもよい。成膜または成形後、ポリマ
ー材料のガラス転移点以上の温度に保持してアニールす
ることによって、より良好な相分離構造を形成すること
ができる。
It is also possible to melt the blended polymer, apply it on a substrate and then cool it to form a phase-separated structure. A plurality of polymers may be melted and formed into a desired shape by a method such as a hot press method, an injection molding method, or a transfer molding method. After film formation or molding, by annealing at a temperature higher than the glass transition point of the polymer material, a better phase separation structure can be formed.

【0100】塗布成膜過程において、塗布用溶液にドー
パントなどの添加剤を混合してもよい。このような添加
剤としては、互いに相分離するポリマーの一方と特異的
に親和性が高いものを用いることが好ましい。この場
合、相分離構造が形成される際に、親和性のよい相のみ
にドーパントを容易に偏在させることができる。
In the coating film forming process, an additive such as a dopant may be mixed into the coating solution. It is preferable to use, as such an additive, one having a specific affinity for one of the polymers that phase-separate from each other. In this case, when the phase separation structure is formed, the dopant can be easily localized only in the phase having good affinity.

【0101】添加剤としては、例えばCr、V、Nb、
Ti、Al、Mo、Li、Lu、Rh、Pb、Pt、A
uなどの金属元素が挙げられる。これらの金属元素は、
磁気記録媒体の磁性膜の成長核や、電池の電極材料とし
て利用できる。還元により上記のような金属元素を生成
する添加剤を用いてもよい。このような添加剤として
は、リチウム2,4−ペンタンジオネート、リチウムテ
トラメチルヘプタンジオネート、ルテニウム2,4−ペ
ンタンジオネート、マグネシウム2,4−ペンタンジオ
ネート、マグネシウムヘキサフルオロペンタンジオネー
ト、マグネシウムトリフルオロペンタンジオネート、マ
ンガン(II)2,4−ペンタンジオネート、モリブデ
ン(V)エトキシド、モリブデン(VI)オキシドビス
(2,4−ぺンタンジオネート)、ネオジウム6,6,
7,7,8,8,8−ヘプタンフルオロ−2,2−ジメ
チル−3,5−オクタンジオネート、ネオジウムヘキサ
フルオロペンタンジオネート、ネオジウム(III)
2,4−ペンタンジオネート、ニッケル(II)2,4
−ペンタンジオネート、ニオブ(V)n−ブトキシド、
ニオブ(V)n−エトキシド、パラジウムヘキサフルオ
ロペンタンジオネート、パラジウム2,4−ペンタンジ
オネート、白金ヘキサフルオロペンタンジオネート、白
金2,4−ペンタンジオネート、ロジウムトリフルオロ
ペンタンジオネート、ルテニウム(III)2,4−ペ
ンタンジオネート、テトラブチルアンモニウム・ヘキサ
クロロプラチネート(IV)、テトラブロモ金(II
I)セチルピリジニウウム塩などが挙げられる。
As the additives, for example, Cr, V, Nb,
Ti, Al, Mo, Li, Lu, Rh, Pb, Pt, A
and a metal element such as u. These metal elements are
It can be used as a growth nucleus for a magnetic film of a magnetic recording medium or as an electrode material for a battery. You may use the additive which produces | generates the above-mentioned metal elements by reduction. Such additives include lithium 2,4-pentanedionate, lithium tetramethylheptanedionate, ruthenium 2,4-pentanedionate, magnesium 2,4-pentanedionate, magnesium hexafluoropentanedionate, magnesium Trifluoropentanedionate, manganese (II) 2,4-pentanedionate, molybdenum (V) ethoxide, molybdenum (VI) oxide bis (2,4-pentanedionate), neodymium 6,6
7,7,8,8,8-heptanefluoro-2,2-dimethyl-3,5-octandionate, neodymium hexafluoropentanedionate, neodymium (III)
2,4-pentanedionate, nickel (II) 2,4
-Pentanedionate, niobium (V) n-butoxide,
Niobium (V) n-ethoxide, palladium hexafluoropentanedionate, palladium 2,4-pentanedionate, platinum hexafluoropentanedionate, platinum 2,4-pentanedionate, rhodium trifluoropentanedionate, ruthenium (III ) 2,4-pentanedionate, tetrabutylammonium hexachloroplatinate (IV), tetrabromogold (II
I) Cetylpyridinium salt and the like.

【0102】添加物として加える金属は、イオンであっ
てもよいし、表面処理によって一方の相に対する親和性
を特異的に高めた数nm〜数十nm程度の超微粒子であ
ってもよい。例えば、表面がAポリマーで被覆された金
属微粒子はAポリマー相に偏析し、表面がBポリマーで
被覆された金属微粒子はBポリマー相に偏析する。この
場合、表面処理された金属微粒子は、ブレンドポリマー
の相分離構造を構成する各相のうち高い親和性を示す相
のほぼ中央部に析出する。表面がA−Bブロックコポリ
マーで被覆された金属微粒子は、Aポリマー相とBポリ
マー相との界面に析出する。このような方法を用いる
と、同じ金属元素でも異なる相に意図的に析出させるこ
とができる。塗布溶液にこのような超微粒子を添加した
場合にも、上記と同様な塗布操作によって、超微粒子を
親和性のよい相のみに偏在させることができる。
The metal to be added as an additive may be ions or ultrafine particles of about several nm to several tens nm whose affinity for one phase is specifically enhanced by surface treatment. For example, metal fine particles whose surface is coated with the A polymer segregate in the A polymer phase, and metal fine particles whose surface is coated with the B polymer segregate in the B polymer phase. In this case, the surface-treated fine metal particles are deposited at a substantially central portion of a phase having a high affinity among the phases constituting the phase separation structure of the blend polymer. The metal fine particles whose surface is coated with the AB block copolymer precipitate at the interface between the A polymer phase and the B polymer phase. By using such a method, the same metal element can be intentionally precipitated in different phases. Even when such ultrafine particles are added to the coating solution, the ultrafine particles can be unevenly distributed only in a phase having a good affinity by the same coating operation as described above.

【0103】添加剤を単に混合するのではなく、ポリマ
ーの側鎖または主鎖に化学的に結合させてもよい。この
場合、特定の相を形成するポリマーのみを機能性分子構
造で修飾することによって、添加剤を特定の相に容易に
偏在させることができる。また、ポリマーの主鎖または
側鎖に特定の添加剤と結合しやすい構造を導入し、相分
離構造を形成する前または後に添加剤の蒸気または溶液
に接触させることによって、ポリマーに添加剤を導入し
てもよい。たとえば、ポリマー中にキレート構造を導入
すれば金属イオンなどを添加することができる。キレー
ト構造は主鎖に導入してもよいし、ポリアクリル酸エス
テルのエステル部位などに置換基として導入してもよ
い。ポリマー中にピリジニウム塩構造などイオン交換能
を有する構造を導入すれば、対イオン交換によって金属
イオンなどを添加できる。
Instead of merely mixing the additives, they may be chemically bonded to the side chains or main chains of the polymer. In this case, by modifying only the polymer forming the specific phase with the functional molecular structure, the additive can be easily localized in the specific phase. In addition, by introducing a structure that is easily bonded to a specific additive into the main chain or side chain of the polymer, and before or after forming a phase separation structure, the additive is introduced into the polymer by contacting with the vapor or solution of the additive. May be. For example, if a chelate structure is introduced into a polymer, metal ions and the like can be added. The chelate structure may be introduced into the main chain, or may be introduced as a substituent at an ester site or the like of the polyacrylate. If a structure having ion exchange capability such as a pyridinium salt structure is introduced into the polymer, metal ions and the like can be added by counterion exchange.

【0104】本発明のミクロパターン形成材料に可塑剤
を添加すると、短時間のアニールでミクロ相分離構造を
形成できる。可塑剤の添加量は特に限定されないが、ブ
レンドポリマーに対して、1〜70重量%、好ましくは
1〜20重量%、さらに好ましくは2〜10重量%であ
る。添加量が少なすぎると、相分離構造形成の加速効果
が十分に得られないし、多すぎると相分離構造の規則性
が乱されやすくなる。
When a plasticizer is added to the micropattern forming material of the present invention, a microphase-separated structure can be formed by annealing for a short time. The amount of the plasticizer is not particularly limited, but is 1 to 70% by weight, preferably 1 to 20% by weight, and more preferably 2 to 10% by weight, based on the blend polymer. If the amount is too small, the effect of accelerating the formation of the phase separation structure cannot be sufficiently obtained. If the amount is too large, the regularity of the phase separation structure tends to be disturbed.

【0105】可塑剤としては芳香族エステルや脂肪酸エ
ステルなどが用いられる。具体的には、フタル酸エステ
ル系可塑剤、例えばジメチルフタレート、ジブチルフタ
レート、ジ−2−エチルヘキシルフタレート、ジオクチ
ルフタレート、ジイソノニルフタレートなど;トリメリ
ット酸系可塑剤、例えばオクチルトリメリテートなど;
ピロメリット酸系可塑剤、例えばオクチルピロメリテー
トなど;アジピン酸系可塑剤、例えばアジピン酸ジブト
キシエチル、アジピン酸ジメトキシエチル、アジピン酸
ジブチルジグリコール、アジピン酸ジアルキレングリコ
ールなどが用いられる。
As the plasticizer, aromatic esters and fatty acid esters are used. Specifically, phthalate ester plasticizers such as dimethyl phthalate, dibutyl phthalate, di-2-ethylhexyl phthalate, dioctyl phthalate, and diisononyl phthalate; trimellitic acid plasticizers such as octyl trimellitate;
Pyromellitic acid plasticizers such as octyl pyromellitate; adipic acid plasticizers such as dibutoxyethyl adipate, dimethoxyethyl adipate, dibutyldiglycol adipate, and dialkylene glycol adipate are used.

【0106】上述したように、本発明においてブレンド
ポリマーを相分離させる際には、ガラス転移点温度以上
でアニールすることが好ましい。ところが、この加熱処
理を酸素などを含有する雰囲気下で行うと、ポリマーが
酸化反応などによって変性および劣化し、良好なミクロ
相分離構造を形成できなかったり、加熱処理時間が長く
なったり、所望のエッチング選択性が得られなくなる可
能性がある。このようなポリマーの劣化を防止するに
は、加熱処理を無酸素条件下、好ましくは光劣化の起こ
りにくい暗所で行うことが好ましい。しかし、無酸素条
件下でのアニールは厳重な雰囲気制御を必要とするた
め、製造コストの増大を招きやすい。
As described above, when the blend polymer is phase-separated in the present invention, it is preferable to anneal at a temperature equal to or higher than the glass transition point. However, when this heat treatment is performed in an atmosphere containing oxygen or the like, the polymer is denatured and deteriorated by an oxidation reaction or the like, and a good microphase separation structure cannot be formed, or the heat treatment time becomes longer, Etch selectivity may not be obtained. In order to prevent such deterioration of the polymer, the heat treatment is preferably performed under anoxic conditions, preferably in a dark place where photodeterioration hardly occurs. However, annealing under oxygen-free conditions requires strict atmosphere control, which tends to increase manufacturing costs.

【0107】そこで、ブレンドポリマーに酸化防止剤ま
たは光劣化防止剤を添加することが好ましい。酸化防止
剤または光劣化防止剤は特に限定はされないが、酸化反
応または光劣化反応によって生じるラジカル種などをト
ラップするラジカルトラップ剤などが良好に用いられ
る。
Therefore, it is preferable to add an antioxidant or a photodegradation inhibitor to the blend polymer. The antioxidant or photodeterioration agent is not particularly limited, but a radical trapping agent or the like for trapping radical species generated by an oxidation reaction or photodeterioration reaction is preferably used.

【0108】具体的には例えば3,5−ジ−tert−
ブチル−4−ヒドロキシトルエンなどのフェノール系酸
化防止剤、リン系酸化防止剤、スルフィド誘導体などの
硫黄系酸化防止剤、ビス(2,2,6,6−テトラメチ
ルピペリジニル−4)セバケートなどのピペリジン系化
合物に代表されるHALS(Hindered Amine Light Stab
ilizer)系光劣化防止剤、銅やニッケルなどの金属錯体
系光劣化防止剤などが用いられる。
Specifically, for example, 3,5-di-tert-
Phenolic antioxidants such as butyl-4-hydroxytoluene, phosphorus antioxidants, sulfur antioxidants such as sulfide derivatives, bis (2,2,6,6-tetramethylpiperidinyl-4) sebacate, etc. HALS (Hindered Amine Light Stab) represented by piperidine compounds
(ilizer) -based light deterioration inhibitors, metal complex-based light deterioration inhibitors such as copper and nickel, and the like are used.

【0109】酸化防止剤または光劣化防止剤の配合量は
特に限定されないが、0.01〜10重量%、さらに
0.05〜1重量%、さらに0.1〜0.5重量%であ
ることが好ましい。配合量が少なすぎると酸化防止効果
または光劣化防止効果が十分でない。配合量が多すぎる
とポリマーの相分離構造を撹乱するおそれがある。
The amount of the antioxidant or photodeterioration agent is not particularly limited, but should be 0.01 to 10% by weight, 0.05 to 1% by weight, and 0.1 to 0.5% by weight. Is preferred. If the compounding amount is too small, the effect of preventing oxidation or the effect of preventing light deterioration is insufficient. If the amount is too large, the phase separation structure of the polymer may be disturbed.

【0110】ポリマーに架橋剤を添加したり、架橋性基
を導入することによって、相分離構造を形成した後にポ
リマーを相互に三次元的に架橋してもよい。このような
架橋によって、相分離構造の熱的または機械的な強度を
さらに向上させることができ、安定性を高めることがで
きる。耐熱性などの耐久性を考慮すると、本来的には各
相は非相溶であることが好ましいが、非相溶でない相か
ら構成される相分離構造体であっても、相を形成するポ
リマーを相互に架橋することにより耐久性を改善でき
る。
By adding a cross-linking agent or introducing a cross-linkable group to the polymer, the polymers may be three-dimensionally cross-linked to each other after forming a phase-separated structure. Such cross-linking can further improve the thermal or mechanical strength of the phase separation structure, and can increase the stability. Considering durability such as heat resistance, each phase is preferably originally incompatible, but even if it is a phase-separated structure composed of non-incompatible phases, the polymer that forms the phase Can be cross-linked to improve durability.

【0111】本発明ではパターントラスファー技術を用
い、ドライエッチング速度比が1.3以上である2種以
上のポリマーを組み合わせたポリマーブレンドからなる
ミクロパターン形成材料から形成されたパターンを基板
に転写し、アスペクト比の高いパターンを得ることもで
きる。記録媒体やディスプレーなどではパターンの位置
の正確さをそれほど要求されないため、本発明の方法は
非常に有効な技術である。
In the present invention, using a pattern transfer technique, a pattern formed from a micropattern forming material composed of a polymer blend obtained by combining two or more polymers having a dry etching rate ratio of 1.3 or more is transferred to a substrate. Also, a pattern having a high aspect ratio can be obtained. The method of the present invention is a very effective technique because a recording medium or a display does not require much accuracy of the pattern position.

【0112】パターントランスファーは以下のような層
を組み合わせて実現される。 1.パターン形成膜 パターン形成膜としては、上述したように自己発展的に
ナノメーターからミクロンオーダーの相分離構造を形成
する、ドライエッチング速度比が1.3以上である2種
以上のポリマーを混合したポリマーブレンドを用いる。
The pattern transfer is realized by combining the following layers. 1. Pattern forming film As the pattern forming film, as described above, a polymer obtained by mixing two or more polymers having a dry etching rate ratio of 1.3 or more, which forms a phase separation structure from nanometer to micron in a self-developing manner. Use a blend.

【0113】2.パターントランスファー膜 パターントランスファー膜は、パターン形成膜の下に設
けられ、パターン形成膜に形成されたパターンが転写さ
れる層である。パターン形成膜のポリマーのうちエッチ
ング耐性の弱い相がエッチングされた後、それに引き続
きパターントランスファー膜がエッチングされる。パタ
ーントランスファー膜は、パターン形成膜のうちエッチ
ング耐性の高い相がエッチングされる前にエッチングが
完了するように、その厚みおよびエッチング速度を設定
することが望ましい。たとえば、パターントランスファ
ー膜は、パターン形成膜となるブレンドポリマーのうち
ドライエッチング速度が遅いポリマーと比較して、ドラ
イエッチング速度比が0.1以上であることが好まし
く、さらには1以上、より好ましくは2以上であること
が望ましい。パターントランスファー膜としては、A
u、Al、Crなどの金属薄膜、ポリシラン、N/(N
c−No)の値が3より大きくエッチングされやすい有
機物などが望ましい。
[0113] 2. Pattern transfer film The pattern transfer film is a layer provided below the pattern forming film and onto which a pattern formed on the pattern forming film is transferred. After the phase having low etching resistance in the polymer of the pattern forming film is etched, the pattern transfer film is subsequently etched. It is desirable to set the thickness and the etching rate of the pattern transfer film so that the etching is completed before the phase having high etching resistance in the pattern forming film is etched. For example, the pattern transfer film preferably has a dry etching rate ratio of 0.1 or more, more preferably 1 or more, more preferably 1 or more, as compared with a polymer having a low dry etching rate among blended polymers to be a pattern forming film. It is desirable that the number be 2 or more. As the pattern transfer film, A
metal thin film of u, Al, Cr, etc., polysilane, N / (N
An organic material having a value of c-No) larger than 3 and easy to be etched is desirable.

【0114】パターントランスファー膜として用いられ
るポリシランは、少なくとも一部に下式に示される繰り
返し単位を含有していればいかなるものでもよい。
The polysilane used as the pattern transfer film may be any as long as it contains at least a part of a repeating unit represented by the following formula.

【0115】[0115]

【化4】 Embedded image

【0116】ただし、R1、R2はそれぞれ炭素数1−2
0の置換または無置換のアルキル基、アリール基または
アラルキル基を示す。
However, R 1 and R 2 each have 1-2 carbon atoms.
And 0 represents a substituted or unsubstituted alkyl group, aryl group or aralkyl group.

【0117】ポリシランの具体例としては例えば、ポリ
(メチルフェニルシラン)、ポリ(ジフェニルシラ
ン)、ポリ(メチルクロロメチルフェニルシラン)など
が挙げられる。また、ポリシランは、ホモポリマーでも
コポリマーでもよく、2種以上のポリシランが酸素原
子、窒素原子、脂肪族基、芳香族基を介して互いに結合
した構造を有するものでもよい。ポリシランと炭素系ポ
リマーとを共重合させた有機けい素ポリマーを用いても
よい。ポリシランの分子量は特に限定されないが、Mw
=2000〜1000000、さらにMw=3000〜
100000の範囲のものが好ましい。分子量が小さす
ぎると、ポリシラン膜の塗布性やエッチング耐性が悪化
する。また、パターン形成膜を塗布する際に再溶解し
て、パターン形成膜とパターントランスファー膜である
ポリシラン膜とがミキシングを起こすおそれがある。一
方、分子量が高すぎると、ポリシランの塗布溶媒への溶
解性が低下してしまう。
Specific examples of the polysilane include poly (methylphenylsilane), poly (diphenylsilane), and poly (methylchloromethylphenylsilane). The polysilane may be a homopolymer or a copolymer, or may have a structure in which two or more kinds of polysilanes are bonded to each other via an oxygen atom, a nitrogen atom, an aliphatic group, or an aromatic group. An organic silicon polymer obtained by copolymerizing polysilane and a carbon-based polymer may be used. The molecular weight of the polysilane is not particularly limited.
= 2000-1,000,000, and Mw = 3000-
Those having a range of 100,000 are preferred. If the molecular weight is too small, the coatability and etching resistance of the polysilane film will deteriorate. Further, when the pattern forming film is applied, the pattern forming film is redissolved, and there is a possibility that the pattern forming film and the polysilane film as the pattern transfer film are mixed. On the other hand, if the molecular weight is too high, the solubility of the polysilane in the coating solvent will decrease.

【0118】なお、ポリシランは酸化されやすく、エッ
チング特性が変化しやすいため、ミクロパターン形成材
料に対して添加するのと同様な既述した酸化防止剤また
は光劣化防止剤を添加することが好ましい。これらの添
加剤の添加量は特に限定されないが、0.01〜10w
t%、さらに0.05〜2wt%であることが好まし
い。添加量が少なすぎると添加効果が得られず、添加量
が多すぎるとポリシランのエッチング特性が悪化するお
それがある。
Since polysilane is easily oxidized and its etching characteristics are easily changed, it is preferable to add the above-described antioxidant or photodeterioration agent similar to that added to the micropattern forming material. The amount of these additives is not particularly limited, but may be 0.01 to 10 watts.
t%, and more preferably 0.05 to 2 wt%. If the addition amount is too small, the addition effect cannot be obtained, and if the addition amount is too large, the etching characteristics of polysilane may be deteriorated.

【0119】3.下層パターントランスファー膜 下層パターントランスファー膜は必ずしも設ける必要は
ないが、これを用いるとアスペクト比の高いパターンを
形成することができる。上層パターントランスファー膜
をO2によりエッチングされにくい金属薄膜などで形成
した場合、上層パターントランスファー膜をマスクとし
てO2でエッチングをすると、下層パターントランスフ
ァー膜に非常に深い穴を形成することができる。この下
層パターントランスファー膜をマスクとして、下地基板
に高いアスペクト比で穴を形成することができる。下層
パターントランスファー膜の材料はCF4などフロン系
のガスに対してドライエッチング耐性があるものが好ま
しい。具体的には、ポリスチレンやポリヒドロキシスチ
レンなどの誘導体、ポリビニルナフタレンおよびその誘
導体、ノボラック樹脂などのポリマーが用いられる。こ
れらのポリマーは、分子量がそろっている必要がないた
め、ラジカル重合法などで工業的に簡単に製造される比
較的安価な樹脂を選択でき、価格的にも有利である。
3. Lower Pattern Transfer Film The lower pattern transfer film is not necessarily provided, but if used, a pattern having a high aspect ratio can be formed. When the upper layer pattern transfer film is formed of a metal thin film or the like which is not easily etched by O 2 , if the upper layer pattern transfer film is used as a mask and etched by O 2 , a very deep hole can be formed in the lower layer pattern transfer film. Using this lower layer pattern transfer film as a mask, holes can be formed in the underlying substrate with a high aspect ratio. It is preferable that the material of the lower layer pattern transfer film has a dry etching resistance to a chlorofluorocarbon-based gas such as CF 4 . Specifically, derivatives such as polystyrene and polyhydroxystyrene, polyvinyl naphthalene and derivatives thereof, and polymers such as novolak resins are used. Since these polymers do not need to have the same molecular weight, it is possible to select a relatively inexpensive resin that is easily produced industrially by a radical polymerization method or the like, and is advantageous in cost.

【0120】具体的に、基板上に下層および上層のパタ
ーントランスファー膜ならびにパターン形成膜を形成
し、エッチングを3回行ってパターンを形成する方法を
説明する。
More specifically, a method of forming a lower and upper layer pattern transfer film and a pattern forming film on a substrate and performing etching three times to form a pattern will be described.

【0121】まず、基板上に下層パターントランスファ
ー膜として上記のようなポリマーをスピンコート法やデ
ィップ法などで成膜する。下層パターントランスファー
膜の厚みは転写しようとする穴などの深さと同等以上と
することが望ましい。下層パターントランスファー膜上
に、真空蒸着法やメッキ法などにより、上層パターント
ランスファー膜として金属またはSiOなどの無機物の
膜を成膜する。上層パターントランスファー膜の厚さ
は、その上に成膜されるパターン形成膜の膜厚より薄い
ことが望ましい。上層パターントランスファー膜上に、
パターン形成膜として本発明のポリマーブレンドを成膜
する。その厚さは、形成すべき構造の大きさとほぼ同等
であることが望ましい。
First, a polymer as described above is formed as a lower layer pattern transfer film on a substrate by a spin coating method, a dip method, or the like. It is desirable that the thickness of the lower layer pattern transfer film be equal to or greater than the depth of a hole or the like to be transferred. An inorganic film such as a metal or SiO is formed as an upper layer pattern transfer film on the lower layer pattern transfer film by a vacuum evaporation method, a plating method, or the like. The thickness of the upper layer pattern transfer film is desirably smaller than the thickness of the pattern forming film formed thereon. On the upper layer pattern transfer film,
The polymer blend of the present invention is formed as a pattern forming film. It is desirable that the thickness be substantially equal to the size of the structure to be formed.

【0122】上層パターントランスファー膜のドライエ
ッチング速度は、パターン形成膜のそれより大きいこと
が好ましい。具体的には、上層パターントランスファー
膜はパターン形成膜の少なくとも1.3倍程度、さらに
2倍以上の速度でエッチングされることが好ましい。た
だし、上層パターントランスファー膜の下に下層パター
ントランスファー膜としてポリマー膜を設けている場合
には、上述したエッチング選択比を満たしていなくても
よい。すなわち、上層パターントランスファー膜のエッ
チング後に、酸素などにより下層パターントランスファ
ー膜をエッチングできる。この際、上層パターントラン
スファー膜が酸素によるエッチングに耐性を有するもの
であれば、下層パターントランスファー膜は酸素により
容易にエッチングされる。このような場合には、上層パ
ターントランスファー膜のドライエッチング速度がパタ
ーン形成膜の1/10程度でも問題がない。
The dry etching rate of the upper layer pattern transfer film is preferably higher than that of the pattern forming film. Specifically, the upper layer pattern transfer film is preferably etched at a rate of at least about 1.3 times, more preferably at least twice the rate of the pattern forming film. However, when a polymer film is provided as a lower layer pattern transfer film below the upper layer pattern transfer film, the above-described etching selectivity may not be satisfied. That is, after etching the upper layer pattern transfer film, the lower layer pattern transfer film can be etched with oxygen or the like. At this time, if the upper layer pattern transfer film has resistance to etching by oxygen, the lower layer pattern transfer film is easily etched by oxygen. In such a case, there is no problem even if the dry etching rate of the upper layer pattern transfer film is about 1/10 that of the pattern forming film.

【0123】以上のように各々の膜を形成した後、必要
に応じてアニールしてパターン形成膜中にミクロ相分離
構造を形成する。次に、フロン系ガスでRIEを行うこ
とにより、膜中に形成された相分離構造のうち少なくと
も1種の相を選択的に除去して他の相を残す。上層パタ
ーントランスファー膜(たとえば金属)もエッチングし
て、この膜に相分離構造、例えば海島構造を転写する。
次に、残存した上層パターントランスファー膜をマスク
として酸素などでRIEを行い、下層パターントランス
ファー膜(たとえばポリマー)をエッチングする。酸素
RIEを行うと、金属からなる上層パターントランスフ
ァー膜はエッチングされず、有機ポリマーからなる下層
パターントランスファー膜のみがエッチングされるた
め、非常にアスペクト比の高い構造を形成することがで
きる。これと同時に、パターン形成膜もアッシングされ
る。残存した下層パターントランスファー膜をマスクと
して、再びフロン系ガスでRIEを行い、基板をエッチ
ングする。この結果、下地基板にナノメーターからミク
ロンオーダーで、かつ非常にアスペクト比の高い穴を形
成することができる。
After forming each film as described above, annealing is performed as necessary to form a microphase separation structure in the pattern forming film. Next, by performing RIE with a chlorofluorocarbon-based gas, at least one phase of the phase separation structure formed in the film is selectively removed to leave another phase. The upper layer pattern transfer film (for example, metal) is also etched to transfer a phase separation structure, for example, a sea-island structure, to this film.
Next, RIE is performed with oxygen or the like using the remaining upper layer pattern transfer film as a mask to etch the lower layer pattern transfer film (eg, polymer). When oxygen RIE is performed, the upper pattern transfer film made of a metal is not etched, and only the lower pattern transfer film made of an organic polymer is etched. Therefore, a structure having a very high aspect ratio can be formed. At the same time, the pattern forming film is ashed. Using the remaining lower layer pattern transfer film as a mask, RIE is performed again with a chlorofluorocarbon-based gas, and the substrate is etched. As a result, holes having an extremely high aspect ratio in the order of nanometer to micron can be formed in the base substrate.

【0124】上記の方法の代わりに、パターン形成膜に
β線を照射して少なくとも1種の相のポリマー主鎖を切
断した後、ウェットエッチングによりポリマー主鎖が切
断された相を選択的に除去する方法も有効である。この
工程以降は上記と同様な工程を実施することにより、基
板にナノメーターからミクロンオーダーのパターンを形
成することができる。また上述の工程において、RIE
工程の代わりにウェットエッチングを用いることもでき
る。ただし、パターン転写の際にパターン形状が崩れや
すくなる。
Instead of the above method, the pattern forming film is irradiated with β-ray to cut the polymer main chain of at least one phase, and then the phase where the polymer main chain is cut is selectively removed by wet etching. Is also effective. By performing the same steps as above after this step, a pattern on the order of nanometers to microns can be formed on the substrate. In the above process, the RIE
Wet etching can be used instead of the step. However, the pattern shape is likely to collapse during the pattern transfer.

【0125】[0125]

【実施例】以下に本発明を実施例に基づいて具体的に説
明する。ただし、本発明はこれらの実施例のみに限定さ
れない。
DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention will be specifically described below based on embodiments. However, the present invention is not limited to only these examples.

【0126】[実施例1]低分子量ポリスチレン(P
S)(Mw=2500)とポリメチルメタクリレート
(PMMA)(Mw=5000)を重量比80:20で
混合し、全体を10重量%のエチルセロソルブアセテー
ト溶液にした。この溶液を3インチ径のSiO基板上に
2500rpmでスピンコートした。110℃で90秒
間溶媒を気化させた後、オーブンを用い窒素雰囲気中に
おいて210℃で10分間、続いて135℃で10時間
アニールを行った。これらのアニール温度は、PSおよ
びPMMAのガラス転移温度より高く設定されている。
210℃はPMMAの分解が始まる直前の温度であり、
210℃での短時間のアニールにより膜が平坦化されて
スピンコート後の履歴を消し去ることができる。また、
135℃程度の温度でアニールすると相分離が効率よく
進行して相分離構造が形成される。原子間力顕微鏡の位
相モードで観察したところ、PSの海の中に直径1μm
程度のPMMAの島が点在する構造が確認された。
Example 1 Low molecular weight polystyrene (P
S) (Mw = 2500) and polymethyl methacrylate (PMMA) (Mw = 5000) were mixed at a weight ratio of 80:20 to give a 10% by weight ethyl cellosolve acetate solution. This solution was spin-coated on a 3-inch diameter SiO substrate at 2500 rpm. After evaporating the solvent at 110 ° C. for 90 seconds, annealing was performed at 210 ° C. for 10 minutes and then at 135 ° C. for 10 hours in a nitrogen atmosphere using an oven. These annealing temperatures are set higher than the glass transition temperatures of PS and PMMA.
210 ° C. is the temperature immediately before the decomposition of PMMA starts,
The short-time annealing at 210 ° C. flattens the film and can erase the history after spin coating. Also,
When annealing is performed at a temperature of about 135 ° C., phase separation proceeds efficiently, and a phase separation structure is formed. When observed in the phase mode of an atomic force microscope, the diameter of 1 μm
A structure in which some PMMA islands are scattered was confirmed.

【0127】この試料に対して、CF4、0.01to
rr、進行波150W、反射波30Wの条件でリアクテ
ィブイオンエッチング(RIE)を行った。このエッチ
ング条件では、相分離膜を構成するPSとPMMAとの
エッチング速度が1:4以上となり、PMMAの部分だ
けが選択的にエッチングされ、さらに残存したPSのパ
ターンをマスクとして露出した下地がエッチングされ
る。その後、この試料に対して、O2、0.01tor
r、進行波150W、反射波30Wの条件でアッシング
を行い、有機物(PSからなるマスク)を除去した。こ
の結果、3インチのSiO基板の全面に直径1μm程度
の穴がほぼ等間隔に形成されていた。
This sample was subjected to CF 4 , 0.01 to
Reactive ion etching (RIE) was performed under the conditions of rr, a traveling wave of 150 W, and a reflected wave of 30 W. Under these etching conditions, the etching rate of PS and PMMA constituting the phase separation film becomes 1: 4 or more, only the PMMA portion is selectively etched, and the exposed base is etched using the remaining PS pattern as a mask. Is done. Then, O 2 , 0.01 torr was applied to this sample.
Ashing was performed under the conditions of r, a traveling wave of 150 W, and a reflected wave of 30 W to remove an organic substance (a mask made of PS). As a result, holes having a diameter of about 1 μm were formed at substantially equal intervals on the entire surface of the 3-inch SiO substrate.

【0128】また、上記のブレンドポリマーに対して、
可塑剤として10重量%のジオクチルフタレートを添加
し、アニール条件を210℃で10分間、続いて135
℃で1時間とした以外は上記と同様にして相分離膜を形
成し、上記と同一の条件でRIEを行った。その結果、
基板の全面に上記と同様な穴のパターンを形成できた。
このように、ブレンドポリマーに可塑剤を添加すること
により、アニール時間を大幅に短縮することができた。
Further, with respect to the above blend polymer,
10% by weight of dioctyl phthalate was added as a plasticizer, and the annealing conditions were 210 ° C. for 10 minutes, followed by 135 ° C.
A phase separation film was formed in the same manner as above except that the temperature was changed to 1 hour at ℃, and RIE was performed under the same conditions as above. as a result,
A hole pattern similar to the above was formed on the entire surface of the substrate.
As described above, by adding the plasticizer to the blend polymer, the annealing time could be significantly reduced.

【0129】[実施例2]実施例1と同様な方法で、3
インチ径のSiO基板上にブレンドポリマーの相分離膜
を形成した。この相分離膜に50kVの加速電圧、10
0μC/cm2の照射量で電子線を一括全面照射し、P
MMAの主鎖を切断した。この相分離膜を電子線レジス
ト用の現像液(MIBKとIPAの3:7混合溶液)で
60秒間現像した後、IPAでリンスして、電子線によ
り主鎖が切断されたPMMAを除去した。次に、残存し
たPSを主成分とするパターンをマスクとして、基板を
フッ酸で1分間エッチングした。その後、アセトン中で
超音波洗浄を行い、残存したマスクを除去した。この結
果、3インチ径のSiO基板の全面に直径1μm程度の
穴がほぼ等間隔に形成されていた。
[Embodiment 2] In the same manner as in Embodiment 1, 3
A phase separation film of a blend polymer was formed on a SiO substrate having an inch diameter. An acceleration voltage of 50 kV, 10
The entire surface is irradiated with an electron beam at a dose of 0 μC / cm 2 ,
The main chain of MMA was cut. The phase separation film was developed with a developing solution for electron beam resist (a mixed solution of 3: 7 of MIBK and IPA) for 60 seconds, followed by rinsing with IPA to remove PMMA whose main chain was cut by the electron beam. Next, the substrate was etched with hydrofluoric acid for 1 minute using the remaining pattern mainly composed of PS as a mask. Thereafter, ultrasonic cleaning was performed in acetone to remove the remaining mask. As a result, holes having a diameter of about 1 μm were formed at substantially equal intervals on the entire surface of the 3-inch diameter SiO substrate.

【0130】また、電子線を照射する代わりに、照射量
1J/cm2で波長0.154nmのX線を照射したほ
かは上記と同様にしてパターン形成を行った。その結
果、3インチ径のSiO基板の全面に直径1μm程度の
穴がほぼ等間隔に形成されていた。
A pattern was formed in the same manner as described above except that X-rays having a wavelength of 0.154 nm were irradiated at a dose of 1 J / cm 2 instead of irradiating an electron beam. As a result, holes having a diameter of about 1 μm were formed at substantially equal intervals on the entire surface of the 3-inch diameter SiO substrate.

【0131】[実施例3]実施例1で用いたPSとPM
MAを20:80の重量比で混合し、実施例1と同様の
方法により、3インチ径の基板上に形成した磁性膜上に
相分離膜を形成した。この相分離膜にβ線を照射してP
MMAの主鎖を切断した。この相分離膜を電子線レジス
ト用の現像液で現像し、β線により主鎖が切断されたP
MMAを除去した。次に、残存したPSを主成分とする
パターンをマスクとして、磁性膜を塩酸で1分間エッチ
ングした。その後、アセトン中で超音波洗浄を行い、残
存したマスクを除去した。この結果、基板の全面に直径
1μm、高さ100nmの突起状の磁性膜がほぼ等間隔
に形成されていた。この方法を用いると、磁性膜を湿式
法で直接加工して島状に残すことができる。
[Embodiment 3] PS and PM used in Embodiment 1
MA was mixed at a weight ratio of 20:80, and a phase separation film was formed on the magnetic film formed on the substrate having a diameter of 3 inches in the same manner as in Example 1. Irradiating this phase separation membrane with β-rays
The main chain of MMA was cut. This phase separation film is developed with a developing solution for an electron beam resist, and the P-chain whose main chain has been cut by β-rays is developed.
MMA was removed. Next, the magnetic film was etched with hydrochloric acid for 1 minute using the remaining PS-based pattern as a mask. Thereafter, ultrasonic cleaning was performed in acetone to remove the remaining mask. As a result, protrusion-like magnetic films having a diameter of 1 μm and a height of 100 nm were formed at substantially equal intervals on the entire surface of the substrate. Using this method, the magnetic film can be directly processed by a wet method and left in an island shape.

【0132】[実施例4]石英基板上に下層パターント
ランスファー膜として厚さ5μmのポリスチレン膜をス
ピンコートし、その上に上層パターントランスファー膜
として厚さ100nmのアルミニウム膜を蒸着した。こ
のアルミニウム膜上に、実施例1と同様の方法でブレン
ドポリマーの相分離膜を形成した。
Example 4 A polystyrene film having a thickness of 5 μm was spin-coated as a lower layer pattern transfer film on a quartz substrate, and an aluminum film having a thickness of 100 nm was deposited thereon as an upper layer pattern transfer film. On this aluminum film, a phase separation film of the blend polymer was formed in the same manner as in Example 1.

【0133】この試料に対して、CF4、0.01to
rr、進行波150W、反射波30Wの条件でRIEを
行い、相分離膜のPMMAの部分だけを選択的にエッチ
ングし、さらに残存したPSをマスクとしてアルミニウ
ム膜をエッチングした。その後、この試料に対して、O
2、0.01torr、進行波150W、反射波30W
の条件でアッシングを行い、残存したPSからなるマス
ク、およびアルミニウム膜がエッチングされた部分で露
出しているポリスチレン膜を除去した。この試料に対し
て、再びCF4、0.01torr、進行波150W、
反射波30Wの条件でRIEを行い、上層パターントラ
ンスファー膜であるアルミニウム膜、および露出してい
る石英基板をエッチングした。この試料に対して、再び
2、0.01torr、進行波150W、反射波30
Wの条件でアッシングを行い、残存したポリスチレン膜
を除去した。この結果、石英基板の全面に平均直径1μ
m、深さ5μmという非常にアスペクト比の高い穴が形
成された。
This sample was subjected to CF 4 , 0.01 to
RIE was performed under the conditions of rr, a traveling wave of 150 W, and a reflected wave of 30 W, and only the PMMA portion of the phase separation film was selectively etched. Further, the aluminum film was etched using the remaining PS as a mask. Then, O
2 , 0.01 torr, traveling wave 150W, reflected wave 30W
Ashing was performed under the conditions described above to remove the remaining PS mask and the polystyrene film exposed at the portion where the aluminum film was etched. Again with this sample, CF 4 , 0.01 torr, traveling wave 150 W,
RIE was performed under the condition of a reflected wave of 30 W, and the aluminum film as the upper layer pattern transfer film and the exposed quartz substrate were etched. Again with this sample, O 2 , 0.01 torr, traveling wave 150 W, reflected wave 30
Ashing was performed under the condition of W to remove the remaining polystyrene film. As a result, an average diameter of 1 μm
A hole having a very high aspect ratio of 5 m was formed.

【0134】[実施例5]低分子量ポリスチレン(P
S)(Mw=2500)とポリヒドロキシスチレン(P
HS)(Mw=5000)を重量比80:20で混合
し、全体を10重量%のプロピレングリコールモノエチ
ルエーテルアセテート(PGMEA)溶液にした。この
溶液を3インチ径のSiO基板上に2500rpmでス
ピンコートした。110℃で90秒間溶媒を気化させた
後、オーブンを用い窒素雰囲気中において210℃で1
0分間、続いて160℃で10時間アニールを行った。
原子間力顕微鏡の位相モードで観察したところ、PSの
海の中に直径1μm程度のPHSの島が点在する構造が
確認された。
Example 5 Low molecular weight polystyrene (P
S) (Mw = 2500) and polyhydroxystyrene (P
HS) (Mw = 5000) were mixed at a weight ratio of 80:20 to give a 10% by weight propylene glycol monoethyl ether acetate (PGMEA) solution. This solution was spin-coated on a 3-inch diameter SiO substrate at 2500 rpm. After evaporating the solvent at 110 ° C. for 90 seconds, it was heated at 210 ° C. for 1 second in a nitrogen atmosphere using an oven.
Annealing was performed for 0 minute and then at 160 ° C. for 10 hours.
When observed in the phase mode of an atomic force microscope, a structure in which PHS islands having a diameter of about 1 μm were scattered in the sea of PS was confirmed.

【0135】この試料をアルカリ現像液(テトラメチル
アンモニウムヒドロキシド:TMAHの2.38wt%
水溶液)で60秒間現像した。この結果、アルカリ現像
液に可溶なPHSの部分が選択的に除去された。
This sample was treated with an alkaline developer (tetramethylammonium hydroxide: 2.38% by weight of TMAH).
Aqueous solution) for 60 seconds. As a result, the portion of PHS soluble in the alkali developer was selectively removed.

【0136】この試料に対して、CF4、0.01to
rr、進行波150W、反射波30Wの条件でリアクテ
ィブイオンエッチング(RIE)を行い、残存したPS
のパターンをマスクとして露出した下地をエッチングし
た。その後、この試料に対して、O2、0.01tor
r、進行波150W、反射波30Wの条件でアッシング
を行い、有機物(PSからなるマスク)を除去した。こ
の結果、3インチのSiO基板の全面に直径1μm程度
の穴がほぼ等間隔に形成されていた。
[0136] CF 4 , 0.01 to
Reactive ion etching (RIE) was performed under the conditions of rr, traveling wave 150 W, and reflected wave 30 W, and the remaining PS
The exposed base was etched using the pattern of No. 1 as a mask. Then, O 2 , 0.01 torr was applied to this sample.
Ashing was performed under the conditions of r, a traveling wave of 150 W, and a reflected wave of 30 W to remove an organic substance (a mask made of PS). As a result, holes having a diameter of about 1 μm were formed at substantially equal intervals on the entire surface of the 3-inch SiO substrate.

【0137】なお、PSのパターンが形成された試料に
対して、PSのパターンをマスクとして1%フッ酸水溶
液で基板をエッチングした場合にも、基板の全面に直径
1μm程度の穴がほぼ等間隔に形成することができた。
When the substrate on which the PS pattern is formed is etched with a 1% hydrofluoric acid aqueous solution using the PS pattern as a mask, holes having a diameter of about 1 μm are formed at substantially equal intervals on the entire surface of the substrate. Could be formed.

【0138】[実施例6]ポリスチレン(PS)(Mw
=2500)とポリエチレンオキシド(PEO)(Mw
=10000)を重量比80:20で混合し、全体を1
0重量%のシクロヘキサンノン溶液にした。この溶液を
3インチ径のSiO基板上に2500rpmでスピンコ
ートした。110℃で90秒間溶媒を気化させた後、オ
ーブンを用い窒素雰囲気中において100℃で10時間
アニールを行った。再び、オーブンを用いて150℃で
1時間焼いた。この結果、PEOの部分が分解し、ポリ
スチレン部分に穴ができていることが確認された。な
お、ポリスチレンはガラス転移温度以上に加熱されて軟
化したが、穴の形態は保存されていた。
Example 6 Polystyrene (PS) (Mw
= 2500) and polyethylene oxide (PEO) (Mw
= 10000) in a weight ratio of 80:20, and the whole is 1
A 0% by weight cyclohexane non-solution was obtained. This solution was spin-coated on a 3-inch diameter SiO substrate at 2500 rpm. After evaporating the solvent at 110 ° C. for 90 seconds, annealing was performed at 100 ° C. for 10 hours in a nitrogen atmosphere using an oven. Again, it was baked at 150 ° C. for 1 hour using an oven. As a result, it was confirmed that the PEO portion was decomposed and holes were formed in the polystyrene portion. The polystyrene was heated to a temperature higher than the glass transition temperature and softened, but the shape of the hole was preserved.

【0139】この試料に対して、CF4、0.01to
rr、進行波150W、反射波30Wの条件でリアクテ
ィブイオンエッチング(RIE)を行い、残存したPS
のパターンをマスクとして露出した下地をエッチングし
た。その後、この試料に対して、O2、0.01tor
r、進行波150W、反射波30Wの条件でアッシング
を行い、有機物(PSからなるマスク)を除去した。こ
の結果、3インチのSiO基板の全面に直径1μm程度
の穴がほぼ等間隔に形成されていた。
This sample was subjected to CF 4 , 0.01 to
Reactive ion etching (RIE) was performed under the conditions of rr, traveling wave 150 W, and reflected wave 30 W, and the remaining PS
The exposed base was etched using the pattern of No. 1 as a mask. Then, O 2 , 0.01 torr was applied to this sample.
Ashing was performed under the conditions of r, a traveling wave of 150 W, and a reflected wave of 30 W to remove an organic substance (a mask made of PS). As a result, holes having a diameter of about 1 μm were formed at substantially equal intervals on the entire surface of the 3-inch SiO substrate.

【0140】[実施例7]ポリスチレン(PS)(Mw
=6000)とポリターシャリーブチルメタクリレート
(PtBMA)(Mw=4800)を重量比72:28
で混合し、さらにトリフェニルスルホニウム・トリフレ
ートをポリマーに対して1重量%添加し、全体を10重
量%のエチルセロソルブアセテート溶液にした。この溶
液を3インチ径のSiO基板上に2500rpmでスピ
ンコートし、110℃で90秒間溶媒を気化させた。
Example 7 Polystyrene (PS) (Mw
= 6000) and polytert-butyl methacrylate (PtBMA) (Mw = 4800) in a weight ratio of 72:28.
, And 1% by weight of triphenylsulfonium triflate was added to the polymer to give a 10% by weight ethyl cellosolve acetate solution. This solution was spin-coated on a 3-inch diameter SiO substrate at 2500 rpm, and the solvent was evaporated at 110 ° C. for 90 seconds.

【0141】石英基板にクロムでパターンを描いたマス
クを用い、水銀灯の波長252nmの紫外光で密着露光
を行った。これを、150℃で10分間ベークした。ベ
ークにより露光部分のみPtBMAのターシャリーブチ
ルが外れ、ポリメタクリル酸(PMA)に変化した。
Using a mask in which a pattern was drawn with chromium on a quartz substrate, contact exposure was performed with ultraviolet light having a wavelength of 252 nm from a mercury lamp. This was baked at 150 ° C. for 10 minutes. The tertiary butyl of PtBMA was removed only in the exposed portion by baking, and changed to polymethacrylic acid (PMA).

【0142】これをオーブンを用い窒素雰囲気中におい
て210℃で4時間アニールを行った。原子間力顕微鏡
の位相モードで観察したところ、PSの海の中に直径
0.7μm程度のPMAの島が点在する構造が確認され
た。
This was annealed at 210 ° C. for 4 hours in a nitrogen atmosphere using an oven. When observed in the phase mode of an atomic force microscope, a structure in which PMA islands having a diameter of about 0.7 μm were scattered in the sea of PS was confirmed.

【0143】この試料をアルカリ現像液(テトラメチル
アンモニウムヒドロキシド:TMAHの2.38wt%
水溶液)で60秒間現像した。この結果、アルカリ現像
液に可溶なPMAの部分が選択的に除去された。なお、
未露光部は相分離パターンは見えたが、アルカリ現像液
には溶解していなかった。
This sample was washed with an alkaline developer (tetramethylammonium hydroxide: 2.38 wt% of TMAH).
Aqueous solution) for 60 seconds. As a result, the PMA portion soluble in the alkali developer was selectively removed. In addition,
The unexposed portion showed a phase separation pattern, but was not dissolved in the alkali developing solution.

【0144】この試料に対して、CF4、0.01to
rr、進行波150W、反射波30Wの条件でリアクテ
ィブイオンエッチング(RIE)を行い、残存したPS
のパターンをマスクとして露出した下地をエッチングし
た。その後、この試料に対して、O2、0.01tor
r、進行波150W、反射波30Wの条件でアッシング
を行い、有機物(PSからなるマスク)を除去した。こ
の結果、3インチのSiO基板上の露光部のみに直径
0.7μm程度の穴がほぼ等間隔に形成されていた。
This sample was subjected to CF 4 , 0.01 to
Reactive ion etching (RIE) was performed under the conditions of rr, traveling wave 150 W, and reflected wave 30 W, and the remaining PS
The exposed base was etched using the pattern of No. 1 as a mask. Then, O 2 , 0.01 torr was applied to this sample.
Ashing was performed under the conditions of r, a traveling wave of 150 W, and a reflected wave of 30 W to remove an organic substance (a mask made of PS). As a result, holes having a diameter of about 0.7 μm were formed at substantially equal intervals only in the exposed portions on the 3-inch SiO substrate.

【0145】なお、PSのパターンが形成された試料に
対して、PSのパターンをマスクとして1%フッ酸水溶
液で基板をエッチングした場合にも、基板上の露光部に
のみ直径1μm程度の穴をほぼ等間隔に形成することが
できた。
When the substrate on which the PS pattern was formed was etched with a 1% hydrofluoric acid aqueous solution using the PS pattern as a mask, a hole having a diameter of about 1 μm was formed only in the exposed portion of the substrate. It could be formed at substantially equal intervals.

【0146】また、上記のブレンドポリマーに対して、
可塑剤として10重量%のジオクチルフタレートを添加
し、アニール条件を210℃で1時間とした以外は、上
記と同様にして相分離膜を形成し、上記と同一の条件で
RIEを行った。その結果、基板上に上記と同様な穴の
パターンを形成できた。このようにブレンドポリマーに
可塑剤を添加することにより、アニール時間を大幅に短
縮することができた。
Further, with respect to the above blend polymer,
A phase separation film was formed in the same manner as described above, except that 10% by weight of dioctyl phthalate was added as a plasticizer and the annealing conditions were set at 210 ° C. for 1 hour, and RIE was performed under the same conditions as above. As a result, the same hole pattern as described above could be formed on the substrate. As described above, the addition of the plasticizer to the blend polymer significantly reduced the annealing time.

【0147】[実施例8]図4に示すフィールドエミッ
ションディスプレイ(FED)素子を製造する例につい
て説明する。ガラス等の絶縁基板101上にニオブ(N
b),モリブデン(Mo)またはアルミニウム(Al)
等の金属薄膜からなるカソード導体102を形成する。
フォトリソグラフィにより、このカソード導体102の
一部をエッチングして、一辺が40〜100μm程度の
矩形の中抜き部を形成する。このカソード導体102を
覆うように、スパッタ法またはCVD法により厚さ0.
5〜2.0μm程度の抵抗層103を形成する。この抵
抗層103の材料としてはIn23,Fe23,Zn
O,NiCr合金または不純物をドープしたシリコンな
どが用いられる。抵抗層103の抵抗率は、約1×10
1〜1×106Ωcmとすることが好ましい。
[Embodiment 8] An example of manufacturing a field emission display (FED) element shown in FIG. 4 will be described. Niobium (N) is placed on an insulating substrate 101 such as glass.
b), molybdenum (Mo) or aluminum (Al)
The cathode conductor 102 made of a metal thin film is formed.
A portion of the cathode conductor 102 is etched by photolithography to form a hollow portion having a side of about 40 to 100 μm. In order to cover the cathode conductor 102, a thickness of 0.
The resistance layer 103 having a thickness of about 5 to 2.0 μm is formed. As a material of the resistance layer 103, In 2 O 3 , Fe 2 O 3 , Zn
O, NiCr alloy or silicon doped with impurities is used. The resistivity of the resistance layer 103 is about 1 × 10
It is preferably set to 1 to 1 × 10 6 Ωcm.

【0148】この抵抗層103をアンモニア等のアルカ
リ溶液によるウエットエッチングまたはフッ素系ガスに
よる反応性イオンエッチング(RIE)によりパターニ
ングして、複数の端子部103Aを形成する。次に、ス
パッタ法またはCVD法により、カソード導体102お
よび抵抗層103を覆うように膜厚約1.0μmの二酸
化シリコンからなる絶縁層104を形成する。さらに、
スパッタ法により、絶縁層104の上に膜厚約0.4μ
mのNb、Mo等からなるゲート導体105を成膜す
る。
The resistance layer 103 is patterned by wet etching using an alkaline solution such as ammonia or reactive ion etching (RIE) using a fluorine-based gas to form a plurality of terminal portions 103A. Next, an insulating layer 104 made of silicon dioxide having a thickness of about 1.0 μm is formed so as to cover the cathode conductor 102 and the resistance layer 103 by a sputtering method or a CVD method. further,
A film thickness of about 0.4 μm is formed on the insulating layer 104 by a sputtering method.
A gate conductor 105 made of m, such as Nb or Mo, is formed.

【0149】次に、ゲート配線とエミッタ配線の交差部
を、レジスト(東京応化製OFPR800、100c
p)のパターニングによって保護する。つづいて、実施
例5の方法に従い、ゲート導体105上にブレンドポリ
マーの溶液をスピンコートして乾燥した後、アニールを
行い、ポリマー相分離膜を形成する。このポリマー相分
離膜に対して、CF4ガスを用いてRIEを行い、相分
離膜のPMMAの部分だけを選択的にエッチングし、さ
らに残存したPSのパターンをマスクとしてゲート導体
105をエッチングしてパターンを転写する。その後、
2アッシャーでアッシングを行い、残存した有機物を
除去する。こうして、ゲート導体105に直径約840
nmの多数の開口部106を形成する。バッファード弗
酸(BHF)等を用いたウエットエッチングまたはCH
3等のガスを用いたRIEにより、開口部106の絶
縁層104を抵抗層103が露出するまで除去する。
Next, the intersection of the gate wiring and the emitter wiring is formed by a resist (OFPR800, 100c manufactured by Tokyo Ohka).
Protected by p) patterning. Subsequently, according to the method of the fifth embodiment, the solution of the blended polymer is spin-coated on the gate conductor 105 and dried, and then annealed to form a polymer phase separation film. The polymer phase separation film is subjected to RIE using CF 4 gas, selectively etching only the PMMA portion of the phase separation film, and further etching the gate conductor 105 using the remaining PS pattern as a mask. Transfer the pattern. afterwards,
Ashing is performed with an O 2 asher to remove remaining organic substances. Thus, the gate conductor 105 has a diameter of about 840.
A large number of openings 106 of nm are formed. Wet etching using buffered hydrofluoric acid (BHF) or CH
The insulating layer 104 in the opening 106 is removed by RIE using a gas such as F 3 until the resistance layer 103 is exposed.

【0150】次いで、電子ビーム(EB)蒸着法を用い
てアルミニウムを斜め蒸着することにより剥離層を形成
する。EB蒸着法により、剥離層の上にモリブデンを垂
直方向に正蒸着し、開口部106内にモリブデンをコー
ン状に堆積し、エミッタ107を形成する。その後、燐
酸等の剥離液により剥離層を除去し、図4に示すような
FED素子を製造する。
Next, a peeling layer is formed by obliquely depositing aluminum using an electron beam (EB) evaporation method. Molybdenum is vapor-deposited vertically on the release layer by EB vapor deposition, and molybdenum is deposited in a cone shape in the opening 106 to form the emitter 107. After that, the release layer is removed with a release liquid such as phosphoric acid to produce an FED element as shown in FIG.

【0151】[実施例9]図5に示すFED素子を製造
する例について説明する。対角14インチ、厚さ5mm
のパイレックスガラス基板201を清浄化し、その表面
をプラズマ処理によって荒らした。このガラス基板20
1の長辺方向に平行に、幅350μmのエミッタ配線2
02を450μmピッチで作製した。この際、基板20
1のエミッタ配線202の方向に平行な両辺から2イン
チずつの領域をそれぞれ配線取り出し用のマージンと
し、この領域にはエミッタ配線202が形成されないよ
うにパターニングを行なった。具体的には、PVA膜を
塗布し、マスクを通して紫外線により露光(光重合)し
現像して、エミッタ配線202間の領域にPVA膜を残
すようにパターニングした。このときのパターニング精
度は15μmであった。無電解めっきによって50nm
のNi膜を成長させた後、PVA膜およびその上のNi
膜をリフトオフした。残ったNi膜を電極として、電解
めっきによって1μmのAu膜を成長させた。
[Embodiment 9] An example of manufacturing the FED element shown in FIG. 5 will be described. 14 inches diagonal, thickness 5 mm
Was cleaned and its surface was roughened by plasma treatment. This glass substrate 20
1, an emitter wiring 2 having a width of 350 μm in parallel with the long side direction.
02 was produced at a pitch of 450 μm. At this time, the substrate 20
A region of 2 inches each from both sides parallel to the direction of one emitter wiring 202 was used as a wiring margin, and patterning was performed so that the emitter wiring 202 was not formed in this region. Specifically, a PVA film was applied, exposed (photopolymerized) with a UV-ray through a mask, developed, and patterned to leave a PVA film in a region between the emitter wires 202. The patterning accuracy at this time was 15 μm. 50 nm by electroless plating
After growing the Ni film, the PVA film and the Ni film
The membrane was lifted off. Using the remaining Ni film as an electrode, a 1 μm Au film was grown by electrolytic plating.

【0152】LPD法により絶縁層としてSiO2膜2
03を1μm成長させた。このSiO2膜203には多
くのパーティクル欠陥が含まれていたが、その密度は1
平方cmあたり1000個程度であり、実用上問題のな
いレベルであった。Au上に形成された膜はやや黒ずん
でいたが、耐圧は1μmあたり100V取れており、実
用上問題のないレベルであった。このSiO2膜203
はAu−Ni配線の段差部をコンフォーマルに覆ってお
り、Auの露出部は存在しなかった。無電解めっきによ
りSiO2膜203上に30nmのPd膜を成長させた
後、電解めっきによって200nmのIr膜を成長させ
て、ゲート膜を形成させた。次に、ゲート膜を基板の短
辺方向にパターニングし、幅110μmのゲート配線2
04を150μmピッチで形成した。この際、基板20
1のゲート配線204の方向に平行な両辺から2インチ
ずつの領域をそれぞれ配線取り出し用のマージンとし、
この領域にはゲート配線204が形成されないようにパ
ターニングを行なった。具体的には、上記と同様にPV
A膜を塗布し、マスクを通して紫外線により露光(光重
合)し現像して、ゲート配線204上にPVA膜を残す
ようにパターニングし、残りの露出している領域をエッ
チング除去した。このときのパターニング精度は同様に
15μmであった。
A SiO 2 film 2 as an insulating layer by the LPD method
03 was grown at 1 μm. Although this SiO 2 film 203 contained many particle defects, its density was 1%.
The number was about 1000 pieces per square cm, which was a level having no practical problem. Although the film formed on Au was slightly darkened, the withstand voltage was 100 V per 1 μm, which was a practically acceptable level. This SiO 2 film 203
Covered the step portion of the Au—Ni wiring conformally, and there was no Au exposed portion. After growing a 30 nm Pd film on the SiO 2 film 203 by electroless plating, a 200 nm Ir film was grown by electrolytic plating to form a gate film. Next, the gate film is patterned in the short side direction of the substrate to form a gate wiring 2 having a width of 110 μm.
04 at a pitch of 150 μm. At this time, the substrate 20
Areas of 2 inches each from both sides parallel to the direction of one gate wiring 204 are used as wiring margins, respectively.
In this region, patterning was performed so that the gate wiring 204 was not formed. Specifically, as described above,
A film was applied, exposed (photopolymerized) with ultraviolet light through a mask, and developed, patterned to leave a PVA film on the gate wiring 204, and the remaining exposed area was removed by etching. At this time, the patterning accuracy was 15 μm.

【0153】次に、ゲート配線204およびSiO2
203の一部をエミッタ配線202が露出するまで除去
してほぼ円形の開口部205を設けるためのパターニン
グを行なった。ゲート配線204のパターニングと開口
部205のパターニングを別々行なう理由は2つある。
1つは、開口部205の径が1μm程度であるため、光
学的に1μm程度の解像度を持つパターニング方法を用
いる必要があるためである。もう1つは、開口部205
は必ずしも整然と配列されている必要はなく、ほぼ一様
な開口径を有する開口部205が各画素内におよそ等し
い数だけ存在していればよいためである。
Next, a part of the gate wiring 204 and the SiO 2 film 203 was removed until the emitter wiring 202 was exposed, and patterning was performed to provide a substantially circular opening 205. There are two reasons why the patterning of the gate wiring 204 and the patterning of the opening 205 are performed separately.
One is that since the diameter of the opening 205 is about 1 μm, it is necessary to use a patterning method having an optical resolution of about 1 μm. The other is the opening 205
This is because it is not always necessary to arrange them in an orderly manner, as long as there are approximately equal numbers of openings 205 having an almost uniform opening diameter in each pixel.

【0154】具体的には以下のようにして開口部205
のパターニングを行なった。ポリスチレン(PS)(M
w=6000とポリターシャリーブチルメタクリレート
(PtBMA)(Mw=4800)とを、重量比72:
28で混合し、さらにナフチルイミド・トリフレートを
ポリマーに対し1.5重量%添加し、全体を10重量%
のエチルセロソルブアセテート溶液にした後、ろ過し
た。この溶液をゲート配線204上にスピンコートして
110℃で乾燥させ、膜厚970nmのポリマー膜を形
成した。ゲート配線204とエミッタ配線202の交差
部を除いて、開口部205を形成する領域のみg線によ
って露光し、光酸発生剤から酸を発生させた。窒素雰囲
気のオーブン中において150℃で1時間アニールを行
い、相分離膜を形成するとともに、露光部分のポリt−
ブチルアクリレートを酸により分解してポリアクリル酸
にした。なお、アニール時にリフローが起った結果、ゲ
ート配線204上ではポリマー膜の膜厚は1μmになっ
ていた。基板全体をアルカリ溶液に3分間浸漬してポリ
アクリル酸の「島」の部分を除去し、純水でリンスし、
ゲート配線204を露出させた。RIEにより、ゲート
配線204をエッチングし、さらにその下のSiO2
203をエッチングして、エミッタ配線202を露出さ
せた。
Specifically, the opening 205 is formed as follows.
Was patterned. Polystyrene (PS) (M
w = 6000 and polytert-butyl methacrylate (PtBMA) (Mw = 4800) in a weight ratio of 72:
28, and 1.5% by weight of naphthylimide / triflate was added to the polymer.
Was made into a solution of ethyl cellosolve acetate and filtered. This solution was spin-coated on the gate wiring 204 and dried at 110 ° C. to form a 970 nm-thick polymer film. Except for the intersection of the gate wiring 204 and the emitter wiring 202, only the region where the opening 205 was formed was exposed to g-line to generate an acid from the photoacid generator. Anneal at 150 ° C. for 1 hour in an oven in a nitrogen atmosphere to form a phase separation film and expose poly-t-
Butyl acrylate was decomposed by acid to polyacrylic acid. As a result of the reflow during annealing, the thickness of the polymer film on the gate wiring 204 was 1 μm. The entire substrate is immersed in an alkaline solution for 3 minutes to remove polyacrylic acid "islands" and rinsed with pure water.
The gate wiring 204 was exposed. The gate wiring 204 was etched by RIE, and the SiO 2 film 203 thereunder was further etched to expose the emitter wiring 202.

【0155】次に、電気泳動法により開口部205内に
抵抗層206を着膜した。この作業は、エミッタ配線を
100ラインずつ分割して行なった。抵抗層206の材
料として、粒径100nmのポリイミド微粒子(ピーア
イ技術研究所製)と粒径10nmのフラーレンを含む炭
素微粒子とを1000:1の重量比で混合したものを用
いた。この混合物0.4wt%を分散溶媒(エクソンケ
ミカル製、アイソパーL)に分散した。また、金属塩と
してナフテン酸ジルコニウム(大日本インキ化学工業
製)をポリイミド・炭素微粒子混合物に対して10wt
%添加した。基板201を分散液中に浸漬し、基板20
1から100μmの間隔を隔てて対向電極を配置し、エ
ミッタ配線202を接地し、超音波を印加しながら対向
電極に+100Vを印加した。電圧印加直後、数mAの
電流が流れ始めたが、電流は指数関数的に減衰し、2分
後には観測されなくなった。この時点で、分散溶媒中に
分散していた抵抗材料は全て基板201上に着膜してい
た。続いて、対向基板を接地し、ゲート配線204に+
50Vを印加して、ゲート配線204上に付着した微粒
子を溶媒中に泳動させて除去した。さらに、窒素雰囲気
中、300℃でアニールを行なうことにより、エミッタ
配線202に抵抗層206を固着させた。
Next, a resistive layer 206 was formed in the opening 205 by electrophoresis. This operation was performed by dividing the emitter wiring by 100 lines. As a material of the resistance layer 206, a mixture of polyimide fine particles having a particle diameter of 100 nm (manufactured by PII Research Institute) and carbon fine particles containing fullerene having a particle diameter of 10 nm in a weight ratio of 1000: 1 was used. 0.4 wt% of this mixture was dispersed in a dispersion solvent (Isoper L, manufactured by Exxon Chemical). In addition, zirconium naphthenate (manufactured by Dainippon Ink and Chemicals, Inc.) as a metal salt was added at 10 wt% to the polyimide / carbon fine particle mixture.
% Was added. The substrate 201 is immersed in the dispersion,
The counter electrodes were arranged at an interval of 1 to 100 μm, the emitter wiring 202 was grounded, and +100 V was applied to the counter electrodes while applying ultrasonic waves. Immediately after the application of the voltage, a current of several mA began to flow, but the current exponentially decayed, and was not observed after 2 minutes. At this point, the resistive material dispersed in the dispersion solvent was all deposited on the substrate 201. Subsequently, the opposite substrate is grounded, and +
By applying a voltage of 50 V, the fine particles adhering to the gate wiring 204 were removed by electrophoresis in a solvent. Further, annealing was performed at 300 ° C. in a nitrogen atmosphere to fix the resistance layer 206 to the emitter wiring 202.

【0156】次に、上記と同様に、電気泳動法により微
粒子エミッタ層207を着膜した。微粒子エミッタ層2
07の材料として、粒径100nmの立方晶窒化硼素微
粒子(昭和電工製、製品名SBN−B)を用意した。こ
のBN微粒子を希ふっ酸処理した後、450℃で水素プ
ラズマ処理した。このBN微粒子0.2wt%を抵抗層
の着膜に用いたのと同一の溶媒に分散させた。また、ナ
フテン酸ジルコニウムをBN微粒子に対して10wt%
添加した。上記と同様な方法でBN微粒子を着幕した
後、ゲート配線204上に付着した微粒子を除去した。
さらに、水素雰囲気中、350℃でアニールを行なうこ
とにより、抵抗層206に微粒子エミッタ層207を固
着させた。
Next, a fine particle emitter layer 207 was deposited by electrophoresis in the same manner as described above. Particle emitter layer 2
As material No. 07, cubic boron nitride fine particles having a particle diameter of 100 nm (product name SBN-B, manufactured by Showa Denko KK) were prepared. After dilute hydrofluoric acid treatment of the BN fine particles, hydrogen plasma treatment was performed at 450 ° C. 0.2% by weight of these BN fine particles were dispersed in the same solvent used for depositing the resistance layer. Further, zirconium naphthenate is added at 10 wt% to BN fine particles.
Was added. After the BN particles were started in the same manner as above, the particles adhered on the gate wiring 204 were removed.
Further, the fine particle emitter layer 207 was fixed to the resistance layer 206 by annealing at 350 ° C. in a hydrogen atmosphere.

【0157】得られた電子放出素子アレイに対して、高
さ4mmのスペーサー208を介して、ITOからなる
アノード電極層212および蛍光層213が形成された
フェースプレート211を取り付けて、真空チャンバー
内に設置した。ターボ分子ポンプによって真空チャンバ
ー内を10-6Torrに減圧した。アノード電位を35
00Vに設定した。非選択のエミッタ配線202および
ゲート配線204をいずれも0Vとした。選択されたエ
ミッタ配線202およびゲート配線204をそれぞれ−
15V、+15Vにバイアスした。この結果、電子放出
が起こり、蛍光層213上に輝点が確認された。ディス
プレーの表示領域全体にわたって複数の画素を選択し、
同一の条件で輝度を測定した。その結果、輝度のばらつ
きは3%以内に収まっていた。
A face plate 211 on which an anode electrode layer 212 made of ITO and a fluorescent layer 213 were formed was attached to the obtained electron-emitting device array via a spacer 208 having a height of 4 mm, and the inside of a vacuum chamber was installed. installed. The pressure inside the vacuum chamber was reduced to 10 -6 Torr by a turbo molecular pump. Anode potential of 35
It was set to 00V. Both the non-selected emitter wiring 202 and the gate wiring 204 were set to 0V. Each of the selected emitter wiring 202 and gate wiring 204 is
Biased to 15V, + 15V. As a result, electron emission occurred, and a bright spot was confirmed on the fluorescent layer 213. Select multiple pixels across the display area,
The luminance was measured under the same conditions. As a result, the variation in luminance was within 3%.

【0158】[0158]

【発明の効果】以上詳述したように本発明によれば、ブ
レンドポリマーの相分離を利用して、かなりの規則性を
もったナノメーターからミクロンオーダーのパターンを
簡便に形成できる材料および方法を提供できる。
As described above in detail, according to the present invention, there is provided a material and a method which can easily form a pattern of nanometer to micron order having considerable regularity by utilizing the phase separation of a blended polymer. Can be provided.

【図面の簡単な説明】[Brief description of the drawings]

【図1】ブレンドポリマーの相図。FIG. 1 is a phase diagram of a blend polymer.

【図2】ポリスチレンとポリ2−クロロスチレンとのブ
レンドポリマーについて、種々の組成での相分離構造を
示す写真。
FIG. 2 is a photograph showing phase-separated structures with various compositions for a blend polymer of polystyrene and poly-2-chlorostyrene.

【図3】各種ポリマーのN/(Nc−No)値とドライ
エッチング速度との関係を示す図。
FIG. 3 is a graph showing a relationship between N / (Nc-No) values of various polymers and a dry etching rate.

【図4】本発明の実施例において作製されたフィールド
エミッションディスプレイの断面図。
FIG. 4 is a cross-sectional view of a field emission display manufactured in an example of the present invention.

【図5】本発明の実施例において作製された他のフィー
ルドエミッションディスプレイの断面図。
FIG. 5 is a sectional view of another field emission display manufactured in the embodiment of the present invention.

【符号の説明】[Explanation of symbols]

101…絶縁基板 102…カソード導体 103…抵抗層 104…絶縁層 105…ゲート導体 106…開口部 107…エミッタ 201…ガラス基板 202…エミッタ配線 203…SiO2膜 204…ゲート配線 205…開口部 206…抵抗層 207…微粒子エミッタ層 208…スペーサー 211…フェースプレート 212…アノード電極層 213…蛍光層101 ... insulating substrate 102 ... cathode conductor 103 ... resistive layer 104 ... insulating layer 105 ... gate conductor 106 ... opening 107 ... emitter 201 ... glass substrate 202 ... emitter wiring 203 ... SiO 2 film 204 ... gate wiring 205 ... opening 206 ... Resistive layer 207 Fine particle emitter layer 208 Spacer 211 Face plate 212 Anode electrode layer 213 Fluorescent layer

───────────────────────────────────────────────────── フロントページの続き (72)発明者 堀田 康之 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 Fターム(参考) 2H025 AA02 AB14 AB16 AB17 AC03 AC05 AC06 BE01 BE07 BE08 BE10 BF03 BF05 BF09 BF12 BF14 BH04 BJ01 CB16 CB41 CB52 CC01 CC05 CC17 CC20 DA40 FA01 FA17 FA20 FA21 4J002 BB03X BB12W BB12X BB18W BC03X BC09W BG01W BG01X BG03X BG04X BG06W BG08W BG13W BQ00W BQ00X FD020 FD040 FD070 FD140 FD200 GH01 GP03 GQ00 HA05  ────────────────────────────────────────────────── ─── Continuing from the front page (72) Inventor Yasuyuki Hotta 1 Kosaka Toshiba-cho, Saiwai-ku, Kawasaki-shi, Kanagawa F-term in the Toshiba R & D Center (reference) 2H025 AA02 AB14 AB16 AB17 AC03 AC05 AC06 BE01 BE07 BE08 BE10 BF03 BF05 BF09 BF12 BF14 BH04 BJ01 CB16 CB41 CB52 CC01 CC05 CC17 CC20 DA40 FA01 FA17 FA20 FA21 4J002 BB03X BB12W BB12X BB18W BC03X BC09W BG01W BG01X BG03X BG04W BG06W FD08 BG06W FD03

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】下地上に、モノマーユニットのα位炭素に
水素を有する少なくとも1種のポリマーとモノマーユニ
ットのα位炭素にアルキル基またはハロゲンを有する少
なくとも1種のポリマーとを含有するポリマーブレンド
からなるミクロパターン形成材料の薄膜を形成する工程
と、前記薄膜中に相分離構造を形成する工程と、前記薄
膜に高エネルギー線を照射して少なくとも1種のポリマ
ーの主鎖を切断する工程と、前記主鎖が切断されたポリ
マーの相を選択的に除去する工程と、残存したポリマー
の相をマスクとして下地を加工する工程とを具備したこ
とを特徴とするミクロパターンの形成方法。
1. A polymer blend comprising, on a substrate, at least one polymer having hydrogen at the α-position carbon of the monomer unit and at least one polymer having an alkyl group or halogen at the α-position carbon of the monomer unit. Forming a thin film of a micro-pattern forming material, forming a phase-separated structure in the thin film, and irradiating the thin film with a high-energy ray to cut at least one polymer main chain, A method for forming a micropattern, comprising: a step of selectively removing a polymer phase in which the main chain has been cut; and a step of processing a base using the remaining polymer phase as a mask.
【請求項2】下地上に、ポリマーを構成するモノマーユ
ニットのN/(Nc−No)の比が1.4以上(ただ
し、Nはモノマーユニットの総原子数、Ncはモノマー
ユニットの炭素原子数、Noはモノマーユニットの酸素
原子数)である2種以上のポリマーを含有するポリマー
ブレンドからなるミクロパターン形成材料の薄膜を形成
する工程と、前記薄膜中に相分離構造を形成する工程
と、前記薄膜をエッチングして少なくとも1種のポリマ
ーの相を選択的に除去する工程と、残存したポリマーの
相をマスクとして下地を加工する工程とを具備したこと
を特徴とするミクロパターンの形成方法。
2. The method according to claim 1, wherein the ratio of N / (Nc-No) of the monomer units constituting the polymer is 1.4 or more (where N is the total number of monomer units and Nc is the number of carbon atoms of the monomer units). , No is the number of oxygen atoms in the monomer unit) forming a thin film of a micropattern-forming material comprising a polymer blend containing two or more polymers, and forming a phase-separated structure in the thin film; A method for forming a micro pattern, comprising: a step of selectively removing at least one kind of polymer phase by etching a thin film; and a step of processing a base using the remaining polymer phase as a mask.
【請求項3】下地上に、120℃以上の温度において互
いに熱分解性が異なる2種以上のポリマーを含有するポ
リマーブレンドからなるミクロパターン形成材料の薄膜
を形成する工程と、前記薄膜中に相分離構造を形成する
工程と、前記薄膜を120℃以上に加熱して少なくとも
1種のポリマーの相を選択的に熱分解して除去する工程
と、残存したポリマーの相をマスクとして下地を加工す
る工程とを具備したことを特徴とするミクロパターンの
形成方法。
3. A step of forming a thin film of a micropattern forming material comprising a polymer blend containing two or more polymers having mutually different thermal decomposabilities at a temperature of 120 ° C. or more at a temperature of 120 ° C. or more; A step of forming an isolation structure, a step of heating the thin film to 120 ° C. or more to selectively decompose and remove at least one polymer phase, and processing a base using the remaining polymer phase as a mask And a step of forming a micro pattern.
【請求項4】下地上に、アルカリ可溶性の少なくとも1
種のポリマーとアルカリ不溶性の少なくとも1種のポリ
マーとを含有するポリマーブレンドからなるミクロパタ
ーン形成材料の薄膜を形成する工程と、前記薄膜中に相
分離構造を形成する工程と、前記薄膜をアルカリ現像液
で現像してアルカリ可溶性のポリマーの相を選択的に除
去する工程と、残存したポリマーの相をマスクとして下
地を加工する工程とを具備したことを特徴とするミクロ
パターンの形成方法。
4. The method according to claim 1, wherein at least one alkali-soluble substrate is provided on the substrate.
Forming a thin film of a micropattern-forming material comprising a polymer blend containing a seed polymer and at least one alkali-insoluble polymer; forming a phase-separated structure in the thin film; A method for forming a micropattern, comprising: a step of selectively removing an alkali-soluble polymer phase by developing with a liquid; and a step of processing a base using the remaining polymer phase as a mask.
【請求項5】下地上にパターントランスファー膜を形成
する工程と、前記パターントランスファー膜上に、請求
項1、2、3または4のいずれか記載のミクロパターン
形成材料の薄膜を形成する工程と、前記薄膜中に相分離
構造を形成する工程と、前記薄膜から少なくとも1種の
ポリマーの相を選択的に除去する工程と、残存したポリ
マーの相をエッチングマスクとして前記パターントラン
スファー膜をエッチングして前記パターントランスファ
ー膜に相分離構造のパターンを転写する工程と、相分離
構造のパターンが転写された前記パターントランスファ
ー膜をエッチングマスクとして前記下地をエッチングし
て前記下地に相分離構造のパターンを転写する工程とを
具備したことを特徴とするミクロパターンの形成方法。
5. A step of forming a pattern transfer film on a base, and a step of forming a thin film of the micropattern forming material according to claim 1, 2, 3 or 4 on the pattern transfer film. Forming a phase separation structure in the thin film, selectively removing at least one polymer phase from the thin film, and etching the pattern transfer film using the remaining polymer phase as an etching mask. A step of transferring the pattern of the phase separation structure to the pattern transfer film, and a step of transferring the pattern of the phase separation structure to the base by etching the base using the pattern transfer film to which the pattern of the phase separation structure is transferred as an etching mask. And a method for forming a micro pattern.
JP28034699A 1999-09-30 1999-09-30 Method of forming micro patterns Expired - Fee Related JP3515445B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP28034699A JP3515445B2 (en) 1999-09-30 1999-09-30 Method of forming micro patterns

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP28034699A JP3515445B2 (en) 1999-09-30 1999-09-30 Method of forming micro patterns

Publications (2)

Publication Number Publication Date
JP2001100419A true JP2001100419A (en) 2001-04-13
JP3515445B2 JP3515445B2 (en) 2004-04-05

Family

ID=17623737

Family Applications (1)

Application Number Title Priority Date Filing Date
JP28034699A Expired - Fee Related JP3515445B2 (en) 1999-09-30 1999-09-30 Method of forming micro patterns

Country Status (1)

Country Link
JP (1) JP3515445B2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1324399A2 (en) * 2001-12-28 2003-07-02 Kabushiki Kaisha Toshiba Light-emitting device and method for manufacturing the same
JP2006162769A (en) * 2004-12-03 2006-06-22 Canon Inc Photosensitive resin composition, ink jet recording head, and its manufacturing method
JP2007047247A (en) * 2005-08-08 2007-02-22 Toray Ind Inc Photosensitive paste composition and field-emission display member using the same
JP2012103277A (en) * 2010-11-05 2012-05-31 Hamamatsu Photonics Kk Optical element and manufacturing method of the same
JP5112562B2 (en) * 2009-09-29 2013-01-09 株式会社東芝 Pattern formation method
JP2013011805A (en) * 2011-06-30 2013-01-17 Hamamatsu Photonics Kk Structural color body
JP2013011804A (en) * 2011-06-30 2013-01-17 Hamamatsu Photonics Kk Structural color body
JP2014051547A (en) * 2012-09-05 2014-03-20 Jsr Corp Pattern forming method, pattern and semiconductor element
JPWO2013176267A1 (en) * 2012-05-25 2016-01-14 国立研究開発法人産業技術総合研究所 Functional resin and method for producing the same
JP2016110089A (en) * 2014-11-27 2016-06-20 信越化学工業株式会社 Rinse solution for forming pattern and pattern formation method
US9608203B2 (en) 2014-09-22 2017-03-28 Kabushiki Kaisha Toshiba Method for manufacturing memory device and method for manufacturing metal wiring
US9659816B2 (en) 2015-03-24 2017-05-23 Kabushiki Kaisha Toshiba Pattern forming method

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1324399A3 (en) * 2001-12-28 2010-01-27 Kabushiki Kaisha Toshiba Light-emitting device and method for manufacturing the same
EP2306527A1 (en) * 2001-12-28 2011-04-06 Kabushiki Kaisha Toshiba Light-emitting device and method for manufacturing the same
EP1324399A2 (en) * 2001-12-28 2003-07-02 Kabushiki Kaisha Toshiba Light-emitting device and method for manufacturing the same
JP2006162769A (en) * 2004-12-03 2006-06-22 Canon Inc Photosensitive resin composition, ink jet recording head, and its manufacturing method
JP2007047247A (en) * 2005-08-08 2007-02-22 Toray Ind Inc Photosensitive paste composition and field-emission display member using the same
JP4692136B2 (en) * 2005-08-08 2011-06-01 東レ株式会社 Photosensitive paste composition and field emission display member using the same
JP5112562B2 (en) * 2009-09-29 2013-01-09 株式会社東芝 Pattern formation method
JP2012103277A (en) * 2010-11-05 2012-05-31 Hamamatsu Photonics Kk Optical element and manufacturing method of the same
JP2013011805A (en) * 2011-06-30 2013-01-17 Hamamatsu Photonics Kk Structural color body
JP2013011804A (en) * 2011-06-30 2013-01-17 Hamamatsu Photonics Kk Structural color body
JPWO2013176267A1 (en) * 2012-05-25 2016-01-14 国立研究開発法人産業技術総合研究所 Functional resin and method for producing the same
JP2014051547A (en) * 2012-09-05 2014-03-20 Jsr Corp Pattern forming method, pattern and semiconductor element
US9608203B2 (en) 2014-09-22 2017-03-28 Kabushiki Kaisha Toshiba Method for manufacturing memory device and method for manufacturing metal wiring
JP2016110089A (en) * 2014-11-27 2016-06-20 信越化学工業株式会社 Rinse solution for forming pattern and pattern formation method
US9659816B2 (en) 2015-03-24 2017-05-23 Kabushiki Kaisha Toshiba Pattern forming method

Also Published As

Publication number Publication date
JP3515445B2 (en) 2004-04-05

Similar Documents

Publication Publication Date Title
JP5524228B2 (en) Pattern formation method
JP4012173B2 (en) Porous structure manufacturing method, porous structure forming material, pattern forming method, pattern forming material, electrochemical cell, and hollow fiber filter
JP4127682B2 (en) Pattern formation method
US8828493B2 (en) Methods of directed self-assembly and layered structures formed therefrom
US8821978B2 (en) Methods of directed self-assembly and layered structures formed therefrom
US8778201B2 (en) Method for manufacturing porous structure and method for forming pattern
JP3515445B2 (en) Method of forming micro patterns
JP3798641B2 (en) Nano pattern forming method and electronic component manufacturing method
US9285682B2 (en) Pre-patterned hard mask for ultrafast lithographic imaging
JP5112562B2 (en) Pattern formation method
TWI531526B (en) Substrate provided with metal nanostructure on surface thereof and method of producing the same
DE112010004884T5 (en) Methods for Directed Self-Assembly and Layer Structures Produced Therewith
JP2012036078A (en) Method for forming pattern
JP2008036491A (en) Pattern formation method and mold
JP2009057518A (en) Anisotropic film and manufacturing method of it
JP2007297644A (en) Method for manufacturing porous structure
Bowden Electron irradiation of polymers and its application to resists for electron-beam lithography
JP3673179B2 (en) Pattern formation method
JP2901044B2 (en) Pattern formation method by three-layer resist method
JP2550201B2 (en) Method for manufacturing semiconductor device and pattern forming coating solution used therefor
JP3235388B2 (en) Positive resist material
JP5881763B2 (en) Manufacturing method of semiconductor device or recording medium
JP4768740B2 (en) Novel resist material and method for forming patterned resist layer on substrate
US11803125B2 (en) Method of forming a patterned structure and device thereof
JP2004272049A (en) METHOD FOR FORMING SOLID COMPOUND FILM CONTAINING Si-O-Si BOND, MODIFICATION METHOD OF SOLID COMPOUND FILM INTO SILICON OXIDE, METHOD FOR FORMING PATTERN, AND LITHOGRAPHIC RESIST

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040113

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040115

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080123

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090123

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100123

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110123

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120123

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130123

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130123

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140123

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees