JP2001092154A - Method for forming pattern - Google Patents

Method for forming pattern

Info

Publication number
JP2001092154A
JP2001092154A JP27125699A JP27125699A JP2001092154A JP 2001092154 A JP2001092154 A JP 2001092154A JP 27125699 A JP27125699 A JP 27125699A JP 27125699 A JP27125699 A JP 27125699A JP 2001092154 A JP2001092154 A JP 2001092154A
Authority
JP
Japan
Prior art keywords
resist
pattern
resist pattern
organic silicon
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP27125699A
Other languages
Japanese (ja)
Other versions
JP3974295B2 (en
Inventor
Hideshi Shiobara
英志 塩原
Yasuhiko Sato
康彦 佐藤
Masashi Asano
昌史 浅野
Yasuro Mitsuyoshi
靖郎 三吉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP27125699A priority Critical patent/JP3974295B2/en
Publication of JP2001092154A publication Critical patent/JP2001092154A/en
Application granted granted Critical
Publication of JP3974295B2 publication Critical patent/JP3974295B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Weting (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method for forming patterns by which the formation of an organic silicon mask retaining a masking property to a film to be processed without being deteriorated is made possible. SOLUTION: This method includes a stage for forming resist patterns on a substrate to be processed, a stage for embedding an organic silicon compound having the bond of silicon and silicon in the main chain into the apertures of the resist patterns, a stage for heating the resist patterns above a temperature at which the dissolution suppressing group or dissolution suppressing agent in the resist decomposes and a stage for forming the organic silicon film patterns by immersing the resist patterns into a solution which dissolves the resist and removing the resist patterns.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明はパターン形成方法に
係り、特に、半導体装置の製造において、有機シリコン
膜をマスクとして用いたパターン形成方法に関する。
The present invention relates to a pattern forming method, and more particularly to a pattern forming method using an organic silicon film as a mask in the manufacture of a semiconductor device.

【0002】[0002]

【従来の技術】半導体装置の製造方法においては、多く
の絶縁膜の加工工程が存在する。通常、これらの加工工
程では、絶縁膜上にレジスト膜と呼ばれる感光性樹脂膜
を形成し、これにパターン露光を行なった後、現像工程
を経てレジストパターンを形成し、さらにこのレジスト
パターンをエッチングマスクとして用いて絶縁膜をドラ
イエッチングすることがなされる。
2. Description of the Related Art In a method of manufacturing a semiconductor device, there are many processing steps of an insulating film. Usually, in these processing steps, a photosensitive resin film called a resist film is formed on an insulating film, pattern exposure is performed on the photosensitive resin film, a resist pattern is formed through a developing step, and the resist pattern is further etched with an etching mask. Is used to dry-etch the insulating film.

【0003】しかしながら、パターン露光時に必要な解
像性、露光量裕度、或はフォーカス裕度をもたせるため
に、レジスト膜の膜厚を薄くする必要が生じており、そ
のため、絶縁膜のエッチングに必要な膜厚を確保できな
くなってきている。
However, it is necessary to reduce the thickness of the resist film in order to provide the necessary resolution, exposure latitude, or focus latitude during pattern exposure. The required film thickness cannot be secured.

【0004】この問題を解決するために、絶縁膜上にレ
ジスト膜よりもエッチング耐性があるマスク材を形成
し、レジストパターンをマスク材に、次いで被加工膜に
と、順次パターン転写する方法がとられている。
In order to solve this problem, there is a method of forming a mask material on an insulating film which is more resistant to etching than a resist film, and sequentially transferring the pattern from the resist pattern to the mask material and then to the film to be processed. Have been.

【0005】この方法に用いるマスク材として、従来か
ら、ポリシラン等の有機シリコン膜が用いられてきた。
[0005] As a mask material used in this method, an organic silicon film such as polysilane has conventionally been used.

【0006】[0006]

【発明が解決しようとする課題】しかしながら、有機シ
リコン膜をマスク材として用いる場合、レジストをマス
クとして用いて有機シリコン膜をRIE法によりパター
ニングする際に、有機シリコン膜の側壁より侵入したラ
ジカルにより、有機シリコン膜の劣化がおこり、被加工
膜に対するマスク性が劣化するという問題があった。
However, when an organic silicon film is used as a mask material, radicals penetrating from a side wall of the organic silicon film when patterning the organic silicon film by RIE using a resist as a mask. There has been a problem that the organic silicon film is deteriorated and the masking property for the film to be processed is deteriorated.

【0007】[0007]

【発明が解決しようとする課題】本発明は、上記事情の
下になされ、被加工膜に対するマスク性が劣化すること
のない有機シリコンマスクを形成することを可能とす
る、パターン形成方法を提供することを目的とする。
SUMMARY OF THE INVENTION The present invention has been made under the above circumstances, and provides a pattern forming method capable of forming an organic silicon mask without deteriorating the maskability of a film to be processed. The purpose is to:

【0008】[0008]

【課題を解決するための手段】上記課題を解決するた
め、本発明は、被処理基板上に形成されたレジストパタ
ーン間に、被処理基板の加工に対して耐性を有する膜を
埋め込み、次いで、レジストパターンを除去すること
で、被処理基板上にマスクパターンを形成するパターン
形成方法を提供する。
In order to solve the above-mentioned problems, the present invention embeds a film having resistance to processing of a substrate to be processed between resist patterns formed on the substrate to be processed, A pattern forming method for forming a mask pattern on a substrate to be processed by removing a resist pattern is provided.

【0009】かかるパターン形成方法において、レジス
トパターンの除去方法に対応して、以下の3つの発明が
ある。
In the pattern forming method, there are the following three inventions corresponding to the resist pattern removing method.

【0010】第1の発明は、被処理基板上にレジストパ
ターンを形成する工程と、前記レジストパターンの開口
部に、シリコンとシリコンの結合を主鎖に有する有機シ
リコン化合物を埋め込む工程と、前記レジストパターン
を、レジスト中の溶解抑止基または溶解抑止剤の分解す
る温度以上に加熱する工程と、前記レジストパターン
を、レジストを溶解する溶液に浸漬して、前記レジスト
パターンを除去し、有機シリコン膜パターンを形成する
工程とを具備することを特徴とするパターン形成方法を
提供する。
A first aspect of the present invention is a method of forming a resist pattern on a substrate to be processed, a step of embedding an organic silicon compound having a silicon-silicon bond in a main chain in an opening of the resist pattern, Heating the pattern to a temperature above the temperature at which the dissolution inhibiting group or dissolution inhibitor in the resist decomposes, and immersing the resist pattern in a solution for dissolving the resist, removing the resist pattern, and removing the organic silicon film pattern Forming a pattern.

【0011】第2の発明は、被処理基板上にレジストパ
ターンを形成する工程と、前記レジストパターンの開口
部に、シリコンとシリコンの結合を主鎖に有する有機シ
リコン化合物を埋め込む工程と、前記レジストパターン
に対し、放射線照射、加熱、またはそれらの組み合わせ
を行う工程と、前記レジストパターンを、レジストを溶
解する溶液に浸漬して、前記レジストパターンを除去
し、有機シリコン膜パターンを形成する工程とを具備す
ることを特徴とするパターン形成方法を提供する。
A second invention provides a process for forming a resist pattern on a substrate to be processed, a process for embedding an organic silicon compound having a silicon-silicon bond in a main chain in an opening of the resist pattern, A step of irradiating the pattern with radiation, heating, or a combination thereof; and a step of immersing the resist pattern in a solution for dissolving a resist, removing the resist pattern, and forming an organic silicon film pattern. A method for forming a pattern is provided.

【0012】第3の発明は、被処理基板上にレジストパ
ターンを形成する工程と、前記レジストパターンの開口
部に、シリコンとシリコンの結合を主鎖に有する有機シ
リコン化合物を埋め込む工程と、(a)レジストに含ま
れる溶剤の少なくとも1種、(b)界面活性剤、及び
(c)0.20規定より高濃度のアルカリ水溶液からな
る群から選ばれた少なくとも1種を含む溶液に、前記レ
ジストパターンを浸漬することにより、前記レジストパ
ターンを除去し、有機シリコン膜パターンを形成する工
程とを具備することを特徴とするパターン形成方法を提
供する。
A third aspect of the present invention is a method for forming a resist pattern on a substrate to be processed, a step of embedding an organic silicon compound having a silicon-silicon bond in a main chain in an opening of the resist pattern, A) a solution containing at least one kind of solvent contained in the resist, (b) a surfactant, and (c) at least one kind selected from the group consisting of an alkaline aqueous solution having a concentration higher than 0.20 N; Dipping the resist pattern to remove the resist pattern to form an organic silicon film pattern.

【0013】以上のように、本発明のパターン形成方法
は、レジストパターンの開口部に有機シリコン化合物を
埋め込み、次いで、種々の方法でレジストパターンを除
去して有機シリコン膜パターンを形成することを特徴と
する。
As described above, the pattern forming method of the present invention is characterized in that an organic silicon compound is buried in an opening of a resist pattern, and then the resist pattern is removed by various methods to form an organic silicon film pattern. And

【0014】レジストパターンの開口部への有機シリコ
ン膜パターンの形成は、レジストパターンが形成された
被処理基板前面にポリシランなどの塗布型マスク材をス
ピンコーティング法で塗布し、次いで、これをエッチバ
ックし、レジストパターンの開口部に有機シリコン膜パ
ターンを残すことにより行われる。
To form an organic silicon film pattern in the opening of the resist pattern, a coating type mask material such as polysilane is applied to the front surface of the substrate on which the resist pattern has been formed by spin coating, and then this is etched back. This is performed by leaving the organic silicon film pattern in the opening of the resist pattern.

【0015】以上のように構成される本発明のパターン
形成方法によると、有機シリコン膜が劣化することな
く、レジストパターンのみを効率的に除去することがで
き、それによって、マスク性の優れた有機シリコン化合
物からなるマスクパターンを得ることが可能である。
According to the pattern forming method of the present invention configured as described above, it is possible to efficiently remove only the resist pattern without deteriorating the organic silicon film. It is possible to obtain a mask pattern made of a silicon compound.

【0016】次に、以上のように構成される第1〜第3
の発明に係るパターン形成方法について、より詳細に説
明する。
Next, the first to third components configured as described above will be described.
The pattern forming method according to the present invention will be described in more detail.

【0017】第1〜第3の発明に係るパターン形成方法
において、まず、被加工基板上にレジストパターンを形
成する。被加工基板としては、表面に酸化シリコン膜、
窒化シリコン膜、酸窒化シリコン膜、或はスピンオング
ラス等が形成されている基板が挙げられる。
In the pattern forming method according to the first to third aspects, first, a resist pattern is formed on a substrate to be processed. As the substrate to be processed, a silicon oxide film on the surface,
A substrate on which a silicon nitride film, a silicon oxynitride film, spin-on-glass, or the like is formed can be given.

【0018】被加工基板上にレジストパターンを形成す
るには、まず、被加工基板上にレジスト溶液を塗布し
て、加熱処理を行い、レジスト膜を形成する。レジスト
膜の膜厚は、100〜500nmの範囲が好ましい。
In order to form a resist pattern on a substrate to be processed, first, a resist solution is applied to the substrate to be processed, and a heating process is performed to form a resist film. The thickness of the resist film is preferably in the range of 100 to 500 nm.

【0019】レジストの種類は、特に限定されることは
なく、目的に応じて、ポジ型またはネガ型を選択して使
用することができる。具体的には、ポジ型レジストとし
ては、例えば、ナフトキノンジアジドとノボラック樹脂
とからなるレジスト(IX−770、日本合成ゴム社
製)、t−BOCで保護したポリビニルフェノール樹脂
と酸発生剤とからなる化学増幅型レジスト(APEX−
E、シップレー社製)などが挙げられる。また、ネガ型
のレジストとしては、例えば、ポリビニルフェノールと
メラミン樹脂および光酸発生材からなる化学増幅型レジ
スト(SNR200、シップレー社製)、ポリビニルフ
ェノールとビスアジド化合物とからなるレジスト(RD
−2000N、日立化成社製)などが挙げられるが、こ
れらに限定されることはない。
The type of the resist is not particularly limited, and a positive type or a negative type can be selected and used according to the purpose. Specifically, as the positive resist, for example, a resist (IX-770, manufactured by Nippon Synthetic Rubber Co., Ltd.) composed of naphthoquinonediazide and a novolak resin, a polyvinylphenol resin protected with t-BOC and an acid generator Chemically amplified resist (APEX-
E, manufactured by Shipley Co., Ltd.). Examples of the negative resist include, for example, a chemically amplified resist (SNR200, manufactured by Shipley Co., Ltd.) comprising polyvinylphenol, a melamine resin and a photoacid generator, and a resist (RD) comprising polyvinylphenol and a bisazide compound.
-2000N, manufactured by Hitachi Chemical Co., Ltd.), but is not limited thereto.

【0020】なお、レジストの選定にあたっては、後述
するレジストパターンの除去の方法に対応して選定する
必要がある。例えば、一般に、架橋型のネガレジスト
は、パターン形成後、アルカリ現像液に溶解するのは困
難である。そのため、アルカリ現像液によるレジストパ
ターンの除去方法を採用する場合には、架橋型のネガレ
ジストの使用は適切ではない。
In selecting a resist, it is necessary to select a resist according to a method of removing a resist pattern described later. For example, generally, a cross-linked negative resist is difficult to dissolve in an alkali developer after pattern formation. Therefore, when a method of removing a resist pattern using an alkali developing solution is employed, the use of a cross-linked negative resist is not appropriate.

【0021】これらのレジスト溶液を被加工基板上に、
例えばスピンコーテング法、ディップ法などで塗布した
後、加熱して溶媒を気化させることで、レジスト膜を作
成する。
These resist solutions are placed on a substrate to be processed.
For example, after applying by a spin coating method, a dip method, or the like, a resist film is formed by heating to evaporate the solvent.

【0022】次いで、レジスト膜表面を所定のパターン
に露光する。露光光源については限定されることはな
く、例えば紫外光、X線、電子ビーム、イオンビームな
どが挙げられる。紫外光としては、水銀灯のg線(43
6nm)、i線(365nm)、或はXeF(波長=3
51nm)、XeCl(波長=308nm)、KrF
(波長=248nm)、KrCl(波長=222n
m)、ArF(波長=193nm)、F2 (波長=15
1nm)等のエキシマレーザーを挙げることができる。
Next, the resist film surface is exposed to a predetermined pattern. The exposure light source is not limited, and examples thereof include ultraviolet light, X-rays, electron beams, and ion beams. As the ultraviolet light, a mercury lamp g-line (43
6 nm), i-line (365 nm), or XeF (wavelength = 3
51 nm), XeCl (wavelength = 308 nm), KrF
(Wavelength = 248 nm), KrCl (wavelength = 222 n)
m), ArF (wavelength = 193 nm), F 2 (wavelength = 15
Excimer laser such as 1 nm).

【0023】そして、露光されたレジスト膜に対し、T
MAH、コリンなどのアルカリ現像液で現像処理を行う
ことにより、レジストパターンを形成する。
Then, for the exposed resist film, T
A resist pattern is formed by performing development processing with an alkaline developer such as MAH or choline.

【0024】なお、必要に応じて、光露光を行った場合
に生じる露光光の被加工基板からの反射を防止するた
め、被加工基板とレジスト膜との間に反射防止膜を介在
させることが好ましい。
If necessary, an antireflection film may be interposed between the substrate to be processed and the resist film in order to prevent the exposure light from being reflected from the substrate to be processed when light exposure is performed. preferable.

【0025】次に、全面にシリコンとシリコンの結合を
主鎖に有する有機シリコン膜を塗布法により成膜する。
以下、塗布法による有機シリコン膜の成膜方法について
説明する。
Next, an organic silicon film having a bond of silicon and silicon in a main chain is formed on the entire surface by a coating method.
Hereinafter, a method of forming an organic silicon film by a coating method will be described.

【0026】最初に、有機シリコン化合物を有機溶剤に
溶解して、溶液材料を作成する。シリコンとシリコンの
結合を主鎖に有する有機シリコン化合物としては、例え
ば一般式(SiR1112)で表わすことができるポリシ
ランが挙げられる(ここで、R11およびR12は、水素原
子または炭素数1〜20の置換もしくは非置換の脂肪族
炭化水素または芳香族炭化水素などを示す)。
First, an organic silicon compound is dissolved in an organic solvent to prepare a solution material. Examples of the organosilicon compound having a silicon-silicon bond in its main chain include polysilane represented by the general formula (SiR 11 R 12 ) (where R 11 and R 12 are hydrogen atoms or carbon atoms). 1-20 substituted or unsubstituted aliphatic hydrocarbons or aromatic hydrocarbons, etc.).

【0027】ポリシランは単独重合体でも共重合体でも
よく、2種以上のポリシランが酸素原子、窒素原子、脂
肪族基、芳香族基を解して互いに結合した構造を有する
ものでもよい。有機シリコン化合物の具体例を下記式
[1−1]〜[1−114]に示す。なお、式中、m、
nは正の整数を表わす。
The polysilane may be a homopolymer or a copolymer, and may have a structure in which two or more kinds of polysilanes are bonded to each other via an oxygen atom, a nitrogen atom, an aliphatic group or an aromatic group. Specific examples of the organic silicon compound are shown in the following formulas [1-1] to [1-114]. In the formula, m,
n represents a positive integer.

【0028】これらの化合物の重量平均分子量は、特に
限定されることはないが、200〜100,000が好
ましい。その理由は、分子量が200未満では、レジス
トの溶剤に有機シリコン膜が溶解してしまい、一方、1
00,000を超えると、有機溶剤に溶解しにくく、溶
液材料を作成しにくくなるためである。
The weight average molecular weight of these compounds is not particularly limited, but is preferably from 200 to 100,000. The reason is that if the molecular weight is less than 200, the organic silicon film is dissolved in the resist solvent, while
If it exceeds 000, it is difficult to dissolve in an organic solvent and it is difficult to prepare a solution material.

【0029】[0029]

【化1】 Embedded image

【0030】[0030]

【化2】 Embedded image

【0031】[0031]

【化3】 Embedded image

【0032】[0032]

【化4】 Embedded image

【0033】[0033]

【化5】 Embedded image

【0034】[0034]

【化6】 Embedded image

【0035】[0035]

【化7】 Embedded image

【0036】[0036]

【化8】 Embedded image

【0037】[0037]

【化9】 Embedded image

【0038】[0038]

【化10】 Embedded image

【0039】[0039]

【化11】 Embedded image

【0040】[0040]

【化12】 Embedded image

【0041】[0041]

【化13】 Embedded image

【0042】[0042]

【化14】 Embedded image

【0043】[0043]

【化15】 Embedded image

【0044】有機シリコン化合物は、一種類に限ること
はなく、数種類の化合物を混合してもよい。また、必要
に応じて、貯蔵安定性をはかるための熱重合防止剤、シ
リコン系絶縁膜への密着性を向上させるための密着性向
上剤、導電性物質、光、熱により導電性が生じる物質、
あるいは有機シリコン化合物を架橋し得る架橋剤を添加
してもよい。
The organic silicon compound is not limited to one kind, and several kinds of compounds may be mixed. In addition, if necessary, a thermal polymerization inhibitor for measuring storage stability, an adhesion improver for improving adhesion to a silicon-based insulating film, a conductive substance, a substance which becomes conductive by light or heat. ,
Alternatively, a crosslinking agent capable of crosslinking an organic silicon compound may be added.

【0045】導電性物質としては、例えば、有機スルフ
ォン酸、有機カルボン酸、多価アルコール、多価チオー
ル(例えばヨウ素、臭素)、SbF5 、PF5 、B
5 、SnF5 などが挙げられる。
Examples of the conductive substance include organic sulfonic acids, organic carboxylic acids, polyhydric alcohols, polyhydric thiols (eg, iodine and bromine), SbF 5 , PF 5 , B
F 5 , SnF 5 and the like.

【0046】光、熱などのエネルギーで導電性が生じる
物質としては、炭素クラスタ(C60、C70)、シア
ノアントラセン、ジシアノアントラセン、トリフェニル
ピリウム、テトラフルオロボレート、テトラシアノキノ
ジメタン、テトラシアノエチレン、フタルイミドトリフ
レート、パークロロペンタシクロドデカン、ジシアノベ
ンゼン、ベンゾニトリル、トリクロロメチルトリアジ
ン、ベンゾイルペルオキシド、ベンゾフェノンテトラカ
ルボン酸、t−ブチルペルオキシドなどが挙げられる。
Examples of the substance that becomes conductive by energy such as light or heat include carbon clusters (C60, C70), cyanoanthracene, dicyanoanthracene, triphenylpyrium, tetrafluoroborate, tetracyanoquinodimethane, and tetracyanoethylene. Phthalimide triflate, perchloropentacyclododecane, dicyanobenzene, benzonitrile, trichloromethyltriazine, benzoyl peroxide, benzophenonetetracarboxylic acid, t-butyl peroxide and the like.

【0047】より具体的には、下記式[2−1]〜[2
−106]に示す化合物を挙げることができる。
More specifically, the following formulas [2-1] to [2]
-106].

【0048】[0048]

【化16】 Embedded image

【0049】[0049]

【化17】 Embedded image

【0050】[0050]

【化18】 Embedded image

【0051】[0051]

【化19】 Embedded image

【0052】[0052]

【化20】 Embedded image

【0053】[0053]

【化21】 Embedded image

【0054】[0054]

【化22】 Embedded image

【0055】[0055]

【化23】 Embedded image

【0056】[0056]

【化24】 Embedded image

【0057】[0057]

【化25】 Embedded image

【0058】架橋剤としては、例えば多重結合を有する
有機ケイ素化合物や、アクリル系の不飽和化合物が挙げ
られる。
Examples of the cross-linking agent include an organic silicon compound having a multiple bond and an acrylic unsaturated compound.

【0059】溶剤としては、極性の有機溶剤でも、無極
性の有機溶剤でもよく、具体的には、乳酸エチル(E
L)、エチル−3−エトキシプロピオネート(EE
P)、プロピレングリコールモノメチルエーテルアセテ
ート(PGMEA)、プロピレングリコールモノメチル
エーテル(PGME)等や、シクロヘキサン、2−ヘプ
タノン、3−ヘプタノン、アセチルアセトン、シクロペ
ンタノンなどのケトン類、プロピレングリコールモノエ
チルエーテルアセテート、エチルセロソルブアセテー
ト、メチルセロソルブアセテート、メチル−3−メトキ
シプロピオネート、エチル−3−メトキシプロピオネー
ト、メチル−3−エトキシプロピオネート、ピルビン酸
メチル、ピルビン酸エチルなどのエステル類、ジエチレ
ングリコールジメチルエーテル、プロピレングリコール
ジメチルエーテルなどのエーテル類、乳酸メチル、グリ
コール酸エチルなどのグリコール酸エチル誘導体などが
挙げられるが、それらに限定されるものではない。
The solvent may be a polar organic solvent or a non-polar organic solvent. Specifically, ethyl lactate (E
L), ethyl-3-ethoxypropionate (EE
P), propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monomethyl ether (PGME), etc., ketones such as cyclohexane, 2-heptanone, 3-heptanone, acetylacetone, cyclopentanone, propylene glycol monoethyl ether acetate, ethyl Ester such as cellosolve acetate, methyl cellosolve acetate, methyl-3-methoxypropionate, ethyl-3-methoxypropionate, methyl-3-ethoxypropionate, methyl pyruvate, ethyl pyruvate, diethylene glycol dimethyl ether, propylene Examples thereof include ethers such as glycol dimethyl ether, and ethyl glycolate derivatives such as methyl lactate and ethyl glycolate. The present invention is not limited to.

【0060】以上の方法で塗布材料を作成し、レジスト
パターンが形成されえた被加工基板上に、例えばスピン
コーテング法などで溶液材料を塗布した後、加熱して溶
剤を気化することにより、有機シリコン膜を作成する。
A coating material is prepared by the above-described method, and a solution material is applied on a substrate to be processed on which a resist pattern has been formed, for example, by a spin coating method or the like, and then the solvent is heated to evaporate the organic silicon. Create a membrane.

【0061】次に、以上のようにして成膜された有機シ
リコン膜をエッチバックする。
Next, the organic silicon film formed as described above is etched back.

【0062】エッチバックする方法としては、有機シリ
コン膜の希釈溶剤に浸漬する方法、酸素やハロゲン系ガ
スのプラズマに曝す方法、化学的機械研磨(CMP法)
などが有る。
As a method of etching back, a method of immersing in an organic silicon film diluting solvent, a method of exposing to plasma of oxygen or a halogen-based gas, a chemical mechanical polishing (CMP method)
There are.

【0063】有機シリコン膜の希釈溶剤としては、上述
の溶剤を挙げることが出来る。また、、ハロゲン系ガス
としては、 Cl2 、HBr、Br2 、HClなどや、
CH2 2 、CHF3 、CF4 、C4などのフロロカ
ーボンガスなどを挙げることが出来る。
The diluting solvent for the organic silicon film is as described above.
Solvents can be mentioned. In addition, halogen-based gas
As ClTwo, HBr, BrTwo, HCl, etc.
CHTwoF Two, CHFThree, CFFour, CFourF8Floroka
And carbon gas.

【0064】その後にレジストパターンのみを除去す
る。レジストパターンを除去する方法として、以下の3
つの方法がある。
Thereafter, only the resist pattern is removed. The following three methods are used to remove the resist pattern.
There are two ways.

【0065】(1)レジスト膜をレジスト中の溶解抑止
基または溶解抑止剤を分解する温度以上の温度に加熱す
る。その後、前記レジスト膜を、レジストを溶解する溶
液に対して浸漬して前記レジスト膜を除去する。
(1) The resist film is heated to a temperature higher than the temperature at which the dissolution inhibiting group or dissolution inhibitor in the resist is decomposed. Thereafter, the resist film is immersed in a solution for dissolving the resist, thereby removing the resist film.

【0066】即ち、化学増幅型ポジレジストを剥離する
際、まず溶解抑止基又は溶解抑止剤が分解する温度以上
で加熱し、続いてアルカリ溶液で溶解する。化学増幅型
ポジレジストの溶解抑止基又は溶解抑止剤は、酸による
触媒反応の他に、加熱等により分解することが知られて
いる。そこで、加熱によりレジスト中の溶解抑止基又は
溶解抑止剤を分解してアルカリ溶液に浸せば、レジスト
のみを剥離することが可能となる。
That is, when the chemically amplified positive resist is removed, the resist is first heated at a temperature higher than the temperature at which the dissolution inhibiting group or dissolution inhibitor is decomposed, and then dissolved with an alkaline solution. It is known that a dissolution inhibiting group or a dissolution inhibitor of a chemically amplified positive resist is decomposed by heating or the like in addition to a catalytic reaction by an acid. Therefore, if the dissolution inhibiting group or dissolution inhibitor in the resist is decomposed by heating and immersed in an alkaline solution, only the resist can be removed.

【0067】図3は、Si基板上に形成された膜厚60
00オングストロームの化学増幅型ポジレジスト(溶解
抑止基としてt−ブトキシカルボニルメチル基を使用)
について、0.21規定のTMAH水溶液に60秒間浸
漬した後の、レジスト残膜量の加熱温度依存性(加熱温
度60秒)を示す。
FIG. 3 shows a film thickness 60 formed on a Si substrate.
00 Å chemically amplified positive resist (using t-butoxycarbonylmethyl group as dissolution inhibiting group)
3 shows the heating temperature dependency (heating temperature of 60 seconds) of the amount of the remaining resist film after dipping in a 0.21 normal TMAH aqueous solution for 60 seconds.

【0068】図6のグラフからわかるように、レジスト
膜を、溶解抑止基であるt−ブトキシカルボニルメチル
基の分解温度である155℃以上に加熱することで、レ
ジストの現像後の残膜は0となる。この結果は、155
℃で溶解抑止基が充分に分解されていることを示してい
る。即ち、レジストを剥離するためには、この溶解抑止
基が分解される温度より高い温度で加熱すればよい。
As can be seen from the graph of FIG. 6, by heating the resist film to 155 ° C. or higher, which is the decomposition temperature of the t-butoxycarbonylmethyl group as a dissolution inhibiting group, the residual film after the development of the resist becomes 0%. Becomes The result is 155
It shows that the dissolution inhibiting group was sufficiently decomposed at ℃. That is, in order to remove the resist, heating may be performed at a temperature higher than the temperature at which the dissolution inhibiting group is decomposed.

【0069】ただし、あまり温度を上げ過ぎると、レジ
ストの種類によっては高分子樹脂間で架橋反応が起こ
り、現像液に対する溶解性が落ちる可能性がある。その
ため、予め加熱温度に対する溶解特性の変化を調べてお
くことが必要である。
However, if the temperature is too high, a cross-linking reaction may occur between the polymer resins depending on the type of the resist, and the solubility in the developing solution may decrease. Therefore, it is necessary to check in advance the change in the melting characteristics with respect to the heating temperature.

【0070】(2)レジストパターンに対して放射線照
射、加熱、またはこれらを組み合わせた工程を行った
後、レジストパターンを、レジストを溶解する溶液に対
して浸漬してレジストパターンを除去する。
(2) After the resist pattern is subjected to irradiation, heating, or a combination thereof, the resist pattern is immersed in a solution for dissolving the resist to remove the resist pattern.

【0071】この方法において、放射線の照射は、Kr
Fエキシマレーザー(248nm)、ArFエキシマレ
ーザー(193nm)、水銀ランプのi線(365n
m)、電子線、X線などの高エネルギー線を用いること
ができる。放射線の照射量は、通常の露光における照射
量よりも多くする必要がある。
In this method, radiation is applied by Kr
F excimer laser (248 nm), ArF excimer laser (193 nm), mercury lamp i-line (365 n)
m), high-energy rays such as electron beams and X-rays. The irradiation dose of radiation needs to be larger than the irradiation dose in normal exposure.

【0072】また、加熱温度は、レジストの種類により
相違するが、一般に、80〜200℃程度が適当であ
る。
The heating temperature varies depending on the type of the resist, but generally, about 80 to 200 ° C. is appropriate.

【0073】上記(1)および(2)の方法において用
いられる、レジストを溶解する溶液としては、アルカリ
溶液を用いることが出来る。アルカリとしては、水酸化
ナトリウム、水酸化カリウム、水酸化アンモニウム、ケ
イ酸ナトリウム等の無機アルカリ類、エチルアミン、n
−プロピルアミン等の第一級アミン類、ジエチルアミン
等の第二級アミン類、トリエチルアミン、メチルジエチ
ルアミン等の第三級アミン類、テトラメチルアンモニウ
ムヒドロキシド(TMAH)、トリメチルヒドロキシエ
チルアンモニウムヒドロキシド等の第4級アンモニウム
塩などが挙げられる。
As the solution for dissolving the resist used in the above methods (1) and (2), an alkaline solution can be used. Examples of the alkali include inorganic alkalis such as sodium hydroxide, potassium hydroxide, ammonium hydroxide, and sodium silicate; ethylamine;
-Primary amines such as propylamine, secondary amines such as diethylamine, tertiary amines such as triethylamine and methyldiethylamine, and secondary amines such as tetramethylammonium hydroxide (TMAH) and trimethylhydroxyethylammonium hydroxide. And quaternary ammonium salts.

【0074】(3)レジストパターンを有機シリコン膜
に対して選択性を有する有機溶剤を用いて除去する。か
かる有機溶剤は、(a)レジスト溶液に含まれる溶剤の
少なくとも一種、(b)界面活性剤、、(c)0.20
規定より高濃度のアルカリ水溶液のいずれかを含む溶液
である。レジストパターンをこの有機溶剤に含浸させる
ことにより、有機シリコン膜パターンは除去せずに、レ
ジストのみを除去することが出来る。
(3) The resist pattern is removed using an organic solvent having selectivity to the organic silicon film. Such organic solvents include (a) at least one kind of solvent contained in the resist solution, (b) a surfactant, (c) 0.20
It is a solution containing any of the alkaline aqueous solutions having a higher concentration than the specified value. By impregnating the resist pattern with this organic solvent, it is possible to remove only the resist without removing the organic silicon film pattern.

【0075】より具体的には、以下の方法である。 1)前記レジスト溶液に含まれる溶剤のうち1種類以上
を含む溶液にレジストパターンを含浸し、レジストパタ
ーンを除去する。またこの溶液中には、下記2)で示す
ような界面活性剤、あるいは下記3)で示すようなアル
カリ溶液を含むことができる。
More specifically, the following method is used. 1) Impregnating the resist pattern with a solution containing at least one of the solvents contained in the resist solution, and removing the resist pattern. The solution may contain a surfactant as shown in 2) below or an alkali solution as shown in 3) below.

【0076】2)界面活性剤を含む溶液にレジストパタ
ーンを含浸する。この時の溶媒は、例えば水溶性有機溶
媒が使用できる。水溶性有機溶媒として、例えば疎水性
アルキル基の炭素総数が3以上のジメチルスルホキシド
などのスルホキシド類、ジメチルスルホン等のスルホン
類、N,N−ジメチルホルムアミド、N,N−ジメチル
アセトアミド等のアミド類、N−メチル−2−ピロリド
ン等のラクタム類、エチレングリコール、エチレングリ
コールモノメチルエーテル、エチレングリコールモノメ
チルエーテルアセテート等の多価アルコール及びその誘
導体等が挙げられる。
2) A resist pattern is impregnated with a solution containing a surfactant. At this time, for example, a water-soluble organic solvent can be used. Examples of the water-soluble organic solvent include sulfoxides such as dimethyl sulfoxide having a hydrophobic alkyl group having 3 or more carbon atoms, sulfones such as dimethyl sulfone, amides such as N, N-dimethylformamide and N, N-dimethylacetamide. Lactams such as N-methyl-2-pyrrolidone; polyhydric alcohols such as ethylene glycol, ethylene glycol monomethyl ether, and ethylene glycol monomethyl ether acetate, and derivatives thereof;

【0077】含まれる界面活性剤としては、通常知られ
ているアニオン系、カチオン系、ノニオン系のどれを使
用してもよい。界面活性剤の具体例としては、例えば次
のものが挙げられる。まずアニオン系として、アルキル
ベンゼンスルフォン酸類、アルキルナフタレンスルフォ
ン酸類等がある。カチオン系としては、炭素総数6個以
上の第4級アンモニウム塩がある。またノニオン系とし
ては、ポリオキシエチレン脂肪酸エステル、ポリオキシ
エチレンアルキルエーテル等が挙げられる。溶液中に
は、下記3)で示すようなアルカリ水溶液を含むことが
できる。
As the surfactant to be contained, any of generally known anionic, cationic and nonionic surfactants may be used. Specific examples of the surfactant include the following. First, as anionics, there are alkylbenzenesulfonic acids, alkylnaphthalenesulfonic acids and the like. As the cationic type, there is a quaternary ammonium salt having 6 or more carbon atoms. Examples of the nonionic type include polyoxyethylene fatty acid esters and polyoxyethylene alkyl ethers. The solution may contain an aqueous alkaline solution as shown in 3) below.

【0078】3)高濃度のアルカリ水溶液にレジストパ
ターンを含浸する。アルカリとしては、水酸化ナトリウ
ム、水酸化カリウム、アンモニア、ケイ酸ナトリウム等
の無機アルカリ類、エチルアミン、n−プロピルアミン
等の第一級アミン類、ジエチルアミン等の第二級アミン
類、トリエチルアミン、メチルジエチルアミン等の第三
級アミン類、テトラメチルアンモニウムヒドロキシド
(TMAH)、トリメチルヒドロキシエチルアンモニウ
ムヒドロキシド等の第4級アンモニウム塩などが挙げら
れる。アルカリ水溶液の濃度は濃い方が望ましく、例え
ばTMAHの場合、0.3規定以上が好ましい。
3) Impregnate the resist pattern with a high-concentration aqueous alkali solution. Examples of the alkali include inorganic alkalis such as sodium hydroxide, potassium hydroxide, ammonia and sodium silicate; primary amines such as ethylamine and n-propylamine; secondary amines such as diethylamine; triethylamine and methyldiethylamine. And quaternary ammonium salts such as tetramethylammonium hydroxide (TMAH) and trimethylhydroxyethylammonium hydroxide. It is desirable that the concentration of the aqueous alkali solution is high, for example, in the case of TMAH, it is preferably 0.3 N or more.

【0079】上記の各溶液の温度は室温でも良いが、含
浸時間を短くする等の目的で、150℃を越えない温度
に加熱してもよい。
The temperature of each of the above solutions may be room temperature, but may be heated to a temperature not exceeding 150 ° C. for the purpose of shortening the impregnation time.

【0080】[0080]

【発明の実施の形態】以下、図面を参照して、本発明の
実施の形態としての種々の実施例について説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Various embodiments of the present invention will be described below with reference to the drawings.

【0081】実施例1 図1は、本実施例に係るパターン形成方法を工程順に示
す断面図である。まず、図1(a)に示すように、被加
工基板1上にスパッタ法で、3000オングストローム
の厚さのシリコン酸化膜(図示せず)を形成した後、塗
布型有機反射防止膜2(商品名CD9:ブリューワサイ
エンス社製)を900オングストロームの厚さに塗布し
た。
Embodiment 1 FIG. 1 is a sectional view showing a pattern forming method according to this embodiment in the order of steps. First, as shown in FIG. 1A, a 3000 Å-thick silicon oxide film (not shown) is formed on a substrate 1 to be processed by a sputtering method, and then a coating type organic antireflection film 2 (product) (CD9: Brewer Science) was applied to a thickness of 900 angstroms.

【0082】次いで、溶解抑止基としてt−プトキシカ
ルボニル基を有する化学増幅型ポジレジストを塗布し、
ホットプレート上で100℃で90秒間のプレベークを
行い、4000オングストロームの厚さのレジスト膜を
形成した。
Next, a chemically amplified positive resist having a t-butoxycarbonyl group as a dissolution inhibiting group is applied,
Pre-baking was performed at 100 ° C. for 90 seconds on a hot plate to form a resist film having a thickness of 4000 Å.

【0083】次に、エキシマレーザー露光装置NSR−
S201A(ニコン社製)を用い、KrFエキシマレー
ザー光により、ラインアンドスペースパターンをマスク
を介してレジスト膜に照射した。
Next, an excimer laser exposure apparatus NSR-
Using S201A (manufactured by Nikon Corporation), the resist film was irradiated with a line and space pattern through a mask by KrF excimer laser light.

【0084】その後、ホットプレート上で100℃で9
0秒間の露光後ベーク(PEB)を行い、0.21Nの
テトラアンモニウムヒドロキシド(TMAH)水溶液に
より現像し、レジストパターン3を形成した。
Then, at 100 ° C. on a hot plate for 9 hours.
A post-exposure bake (PEB) for 0 second was performed, and the resist pattern 3 was formed by developing with a 0.21N aqueous solution of tetraammonium hydroxide (TMAH).

【0085】その後、図1(b)に示すように、反射防
止膜2およびレジストパターン3が形成された被加工基
板1上に、上記式[1−84]に示す平均分子量12,
000の有機シリコン化合物(m/n=4/1)10g
をトルエン50gに溶解して作成した溶液材料を塗布
し、ホットプレート上で200℃で90秒間加熱し、溶
剤を気化乾燥させて、平坦部分での膜厚が6000オン
グストロームとなる有機シリコン膜4を形成した。
Thereafter, as shown in FIG. 1 (b), on the substrate 1 on which the antireflection film 2 and the resist pattern 3 are formed, the average molecular weight of 12,
000 organic silicon compounds (m / n = 4/1) 10 g
Is dissolved in 50 g of toluene, and a solution material prepared by applying the solution is applied. The solution is heated on a hot plate at 200 ° C. for 90 seconds to evaporate and dry the solvent, thereby forming an organic silicon film 4 having a thickness of 6000 Å in a flat portion. Formed.

【0086】また、この工程において、レジスト中の溶
解抑止基または溶解抑止剤は分解している。
In this step, the dissolution inhibiting group or dissolution inhibitor in the resist is decomposed.

【0087】次いで、図1(c)に示すように、上記有
機シリコン膜をRIE装置を用いて、塩素ガスプラズマ
5によるエッチングを行い、2000オングストローム
の厚さをエッチバックした。
Then, as shown in FIG. 1C, the organic silicon film was etched by chlorine gas plasma 5 using an RIE apparatus, and etched back to a thickness of 2000 angstroms.

【0088】その後、上記レジストパターン3を0.2
1Nのテトラメチルアンモニウムヒドロキシド水溶液に
室温で90秒間浸漬し、レジストパターン3を除去し
た。このとき、有機シリコン膜が劣化することはなかっ
た。
Thereafter, the resist pattern 3 is set to 0.2
The resist pattern 3 was removed by immersing in a 1N aqueous solution of tetramethylammonium hydroxide at room temperature for 90 seconds. At this time, the organic silicon film did not deteriorate.

【0089】続いて、反射防止膜2の加工をRIE装置
を用いて、CFおよび酸素を用いたプラズマによりエ
ッチング除去し、図1(d)に示すように、マスクパタ
ーン6を得た。
Subsequently, the processing of the anti-reflection film 2 was removed by etching using a plasma using CF 4 and oxygen by using an RIE apparatus, thereby obtaining a mask pattern 6 as shown in FIG.

【0090】実施例2 図2は、本実施例に係るパターン形成方法を工程順に示
す断面図である。まず、図2(a)に示すように、被加
工基板11上にスパッタ法で、3000オングストロー
ムの厚さのシリコン酸化膜(図示せず)を形成した後、
塗布型有機反射防止膜12(商品名CD9:ブリューワ
サイエンス社製)を900オングストロームの厚さに塗
布した。
Embodiment 2 FIG. 2 is a sectional view showing a pattern forming method according to this embodiment in the order of steps. First, as shown in FIG. 2A, a 3000 Å-thick silicon oxide film (not shown) is formed on the substrate 11 to be processed by sputtering.
A coating type organic antireflection film 12 (trade name: CD9, manufactured by Brewer Science) was applied to a thickness of 900 Å.

【0091】次いで、溶解抑止基としてt−プトキシカ
ルボニル基を有する化学増幅型ポジレジストを塗布し、
ホットプレート上で130℃で90秒間のプレベークを
行い、4000オングストロームの厚さのレジスト膜を
形成した。
Next, a chemically amplified positive resist having a t-butoxycarbonyl group as a dissolution inhibiting group is applied,
Pre-baking was performed at 130 ° C. for 90 seconds on a hot plate to form a resist film having a thickness of 4000 Å.

【0092】次に、エキシマレーザー露光装置NSR−
S201A(ニコン社製)を用い、KrFエキシマレー
ザー光により、ラインアンドスペースパターンをマスク
を介してレジスト膜に照射した。
Next, an excimer laser exposure apparatus NSR-
Using S201A (manufactured by Nikon Corporation), the resist film was irradiated with a line and space pattern through a mask by KrF excimer laser light.

【0093】その後、ホットプレート上で100℃で9
0秒間の露光後ベーク(PEB)を行い、0.21Nの
テトラアンモニウムヒドロキシド(TMAH)水溶液に
より現像し、レジストパターン13を形成した。
Then, at 100 ° C. on a hot plate for 9 hours.
A post-exposure bake (PEB) for 0 second was performed, and the resist pattern 13 was formed by developing with a 0.21N aqueous solution of tetraammonium hydroxide (TMAH).

【0094】そして、図2(b)に示すように、反射防
止膜2およびレジストパターン3が形成された被加工基
板1上に、上記式[1−84]に示す平均分子量12,
000の有機シリコン化合物(m/n=4/1)10g
をトルエン50gに溶解して作成した溶液材料を塗布
し、ホットプレート上で、レジスト中の溶解抑止基また
は溶解抑止剤が分解する温度以下である130℃で90
秒間加熱し、溶剤を気化乾燥させて、平坦部分での膜厚
が6000オングストロームとなる有機シリコン膜14
を形成した。
Then, as shown in FIG. 2B, on the substrate 1 on which the antireflection film 2 and the resist pattern 3 are formed, the average molecular weight of 12
000 organic silicon compounds (m / n = 4/1) 10 g
Is dissolved in 50 g of toluene, and coated on a hot plate at 130 ° C. which is lower than the temperature at which the dissolution inhibiting group or dissolution inhibitor in the resist is decomposed.
The organic silicon film 14 is heated for 2 seconds to evaporate and dry the solvent, and the film thickness at the flat portion becomes 6000 Å.
Was formed.

【0095】次いで、図2(c)に示すように、上記有
機シリコン膜14をRIE装置を用いて、塩素ガスプラ
ズマ15によるエッチングを行い、2000オングスト
ロームの厚さをエッチバックした。
Next, as shown in FIG. 2C, the organic silicon film 14 was etched by chlorine gas plasma 15 using an RIE apparatus, and etched back to a thickness of 2000 Å.

【0096】その後、図2(d)に示すように、レジス
トパターン13にKrFエキシマ露光装置により、Kr
Fエキシマレーザー16の全面露光を行った。ここで、
露光量は、通常の露光時の2倍の50mJ/cmとし
た。なお、露光の環境は、出来るだけ酸素濃度の低いこ
とが望ましい。
Then, as shown in FIG. 2D, the resist pattern 13 is subjected to KrF excimer exposure using a KrF excimer exposure apparatus.
The entire surface was exposed with an F excimer laser 16. here,
The exposure amount was set to 50 mJ / cm 2, which is twice as large as that in normal exposure. It is desirable that the exposure environment has an oxygen concentration as low as possible.

【0097】次の、ホットプレート上で110℃で90
秒間のベークを行い、0.21Nのテトラメチルアンモ
ニウムヒドロキシド水溶液に室温で90秒間浸漬し、レ
ジストパターン13を除去した。このとき、有機シリコ
ン膜が劣化することはなかった。
Next, 90 ° C. on a hot plate at 110 ° C.
After baking for 2 seconds, the resist pattern 13 was removed by dipping in a 0.21N aqueous solution of tetramethylammonium hydroxide at room temperature for 90 seconds. At this time, the organic silicon film did not deteriorate.

【0098】続いて、反射防止膜12の加工をRIE装
置を用いて、CFおよび酸素を用いたプラズマにより
エッチング除去し、図1(e)に示すように、有機シリ
コン化合物からなるマスクパターン17を得た。
Subsequently, the processing of the antireflection film 12 is removed by etching with a plasma using CF 4 and oxygen using an RIE apparatus, and as shown in FIG. 1E, a mask pattern 17 made of an organic silicon compound is formed. I got

【0099】実施例3 図1に示す工程に従って、実施例1と同様の方法で、マ
スクパターンの形成を行った。まず、図1(a)に示す
ように、被加工基板1上にスパッタ法で、3000オン
グストロームの厚さのシリコン酸化膜(図示せず)を形
成した後、塗布型有機反射防止膜2(商品名CD9:ブ
リューワサイエンス社製)を900オングストロームの
厚さに塗布した。
Example 3 A mask pattern was formed in the same manner as in Example 1 according to the process shown in FIG. First, as shown in FIG. 1A, a 3000 Å-thick silicon oxide film (not shown) is formed on a substrate 1 to be processed by a sputtering method, and then a coating type organic antireflection film 2 (product) (CD9: Brewer Science) was applied to a thickness of 900 angstroms.

【0100】次いで、下記の(A1)および(A2)の
方法で4000オングストロームの厚さの2種類のレジ
スト膜を形成した。
Next, two types of resist films having a thickness of 4000 angstroms were formed by the following methods (A1) and (A2).

【0101】(A1):約40%をt−ブトキシカルボ
ニル化した平均分子量7,000のポリビニルフェノー
ル15g、トリフェニルフルフォニウムトリフレート1
gを乳酸エチル(EL)84gに溶解し、孔径0.15
μmのメンブレンフィルターでろ過してフォトレジスト
溶液とした。次いで、反射防止膜12上に、フォトレジ
スト溶液を0.8μmの厚さに回転塗布し、ホットプレ
ートで130℃で90秒間ベークして、レジスト膜を形
成した。
(A1): about 40% of t-butoxycarbonylated polyvinylphenol having an average molecular weight of 7,000 (15 g), triphenylfluphonium triflate 1
g was dissolved in 84 g of ethyl lactate (EL), and the pore size was 0.15.
The solution was filtered through a μm membrane filter to obtain a photoresist solution. Next, a photoresist solution was spin-coated on the antireflection film 12 to a thickness of 0.8 μm and baked on a hot plate at 130 ° C. for 90 seconds to form a resist film.

【0102】(A2):約50%をt−ブトキシカルボ
ニル化した平均分子量10,000のポリビニルフェノ
ール19g、トリフェニルフルフォニウムトリフレート
1.3gをEL50gとエチル−3−エトキシプロピオ
ネート(EEP)30gの混合溶液に溶解し、孔径0.
15μmのメンブレンフィルターでろ過してフォトレジ
スト溶液とした。次いで、反射防止膜12上に、フォト
レジスト溶液を0.85μmの厚さに回転塗布し、ホッ
トプレートで100℃で90秒間ベークして、レジスト
膜を形成した。
(A2): About 50% of t-butoxycarbonylated polyvinyl phenol (19 g) having an average molecular weight of 10,000 and triphenylfluphonium triflate (1.3 g) were mixed with 50 g of EL and ethyl-3-ethoxypropionate (EEP). ) Dissolved in 30 g of the mixed solution to give a pore size of 0.
The solution was filtered through a 15 μm membrane filter to obtain a photoresist solution. Next, a photoresist solution was spin-coated on the antireflection film 12 to a thickness of 0.85 μm and baked on a hot plate at 100 ° C. for 90 seconds to form a resist film.

【0103】次に、以上の(A1)および(A2)のレ
ジスト膜に対し、エキシマレーザー露光装置NSR−S
201A(ニコン社製)を用い、KrFエキシマレーザ
ー光により、ラインアンドスペースパターンをマスクを
介して照射した。
Next, the above resist films (A1) and (A2) were applied to an excimer laser exposure apparatus NSR-S
201A (manufactured by Nikon Corporation) was used to irradiate a line and space pattern with a KrF excimer laser beam through a mask.

【0104】その後、ホットプレート上で100℃で9
0秒間の露光後ベーク(PEB)を行い、0.21Nの
テトラアンモニウムヒドロキシド(TMAH)水溶液に
より現像し、レジストパターン3を形成した。
Then, at 100 ° C. for 9 hours on a hot plate.
A post-exposure bake (PEB) for 0 second was performed, and the resist pattern 3 was formed by developing with a 0.21N aqueous solution of tetraammonium hydroxide (TMAH).

【0105】その後、図1(b)に示すように、反射防
止膜2およびレジストパターン3が形成された被加工基
板1上に、上記式[1−84]に示す平均分子量12,
000の有機シリコン化合物(m/n=4/1)10g
をクメン50gに溶解して作成した溶液材料を塗布し、
ホットプレート上で、レジスト中の溶解抑止基または溶
解抑止剤が分解する温度以下である130℃で90秒間
加熱し、溶剤を気化乾燥させて、平坦部分での膜厚が6
000オングストロームとなる有機シリコン膜4を形成
した。
Thereafter, as shown in FIG. 1 (b), on the substrate 1 on which the antireflection film 2 and the resist pattern 3 are formed, the average molecular weight of 12,
000 organic silicon compounds (m / n = 4/1) 10 g
Is dissolved in 50 g of cumene, and the resulting solution material is applied.
The film was heated on a hot plate at 130 ° C., which is lower than the temperature at which the dissolution inhibiting group or dissolution inhibitor in the resist decomposed, for 90 seconds, and the solvent was evaporated and dried.
An organic silicon film 4 having a thickness of 2,000 angstroms was formed.

【0106】次いで、図1(c)において、塩素カガス
プラズマによりエッチバックする代わりに、上記有機シ
リコン膜4をクメン溶液に浸漬し、2000オングスト
ロームの厚さをエッチバックした。
Next, in FIG. 1C, the organic silicon film 4 was immersed in a cumene solution instead of being etched back by chlorine gas gas plasma, and was etched back to a thickness of 2,000 Å.

【0107】その後、レジストパターン3を有機溶剤に
室温で90秒間浸漬し、レジストパターン3を除去し
た。この時の有機溶剤の種類、温度、時間を下記表1お
よび表2に示す。
Thereafter, the resist pattern 3 was immersed in an organic solvent at room temperature for 90 seconds to remove the resist pattern 3. The type, temperature and time of the organic solvent at this time are shown in Tables 1 and 2 below.

【0108】[0108]

【表1】 [Table 1]

【0109】[0109]

【表2】 [Table 2]

【0110】残存した有機シリコン膜パターンの表面を
光学顕微鏡、電子顕微鏡で観察し、レジストの残渣の有
無を調べた。含浸した溶液の組成、含浸条件、残渣の有
無の評価結果を下記表2に示す。下記表2において、結
果はすべて「○」であり、つまりレジストの残渣が無か
った。
The surface of the remaining organic silicon film pattern was observed with an optical microscope and an electron microscope, and the presence or absence of a resist residue was examined. Table 2 below shows the composition of the impregnated solution, the impregnation conditions, and the results of the evaluation of the presence or absence of the residue. In Table 2 below, the results were all “O”, that is, there was no resist residue.

【0111】また、有機シリコン膜の劣化、腐食はまっ
たく見られなかった。
Further, no deterioration or corrosion of the organic silicon film was observed.

【0112】[0112]

【表3】 [Table 3]

【0113】続いて、反射防止膜2の加工をRIE装置
を用いて、CFおよび酸素のプラズマによりエッチン
グ除去し、マスクパターン6を得た。
Subsequently, the processing of the antireflection film 2 was removed by etching with a plasma of CF 4 and oxygen using an RIE apparatus, and a mask pattern 6 was obtained.

【0114】比較例 レジストの除去をOアッシング、および硫酸+過酸化
水素水溶液に浸漬する方法で行った。その結果、有機シ
リコン化合物の酸化が起り、有機シリコン化合物のシリ
コン酸化膜に対するエッチング耐性が劣化した。
Comparative Example The resist was removed by O 2 ashing and immersion in an aqueous solution of sulfuric acid and hydrogen peroxide. As a result, the oxidation of the organic silicon compound occurred, and the etching resistance of the organic silicon compound to the silicon oxide film deteriorated.

【0115】実施例4 実施例1において、図1(b)に示す構造を、室温でア
ニリン溶液中に約1分間浸漬し、有機シリコン膜4を表
面から2000オングストロームだけ除去した。その結
果、実施例1と同様のマスクパターンを得ることが出来
た。
Example 4 In Example 1, the structure shown in FIG. 1B was immersed in an aniline solution at room temperature for about 1 minute to remove the organic silicon film 4 from the surface by 2,000 Å. As a result, the same mask pattern as in Example 1 was obtained.

【0116】実施例5 実施例1において、図1(b)に示す構造に対し、マグ
ネトロンRIEにより、以下の条件でエッチングし、有
機シリコン膜4を表面から2000オングストロームだ
け除去した。その結果、実施例1と同様のマスクパター
ンを得ることが出来た。
Example 5 In Example 1, the structure shown in FIG. 1B was etched by magnetron RIE under the following conditions to remove the organic silicon film 4 from the surface by 2,000 Å. As a result, the same mask pattern as in Example 1 was obtained.

【0117】エッチング条件 塩素ガス流量:200SCCM 圧力:75mTorr RFパワー:200W 温度:80℃ エッチング時間:30秒間Etching conditions Chlorine gas flow rate: 200 SCCM Pressure: 75 mTorr RF power: 200 W Temperature: 80 ° C. Etching time: 30 seconds

【0118】[0118]

【発明の効果】以上、詳細に説明したように、本発明に
よると、紫外光、X線、電子ビーム、イオンビーム等の
放射線照射や加熱処理、またはこれらの組み合わせによ
り、レジストの溶解抑止基を分解し、アルカリ現像液等
で処理することにより、有機シリコン膜が劣化すること
なく、レジストパターンのみを効率的に除去することが
でき、それによって、マスク性の優れた有機シリコン化
合物からなるマスクパターンを得ることが可能である。
As described above in detail, according to the present invention, the dissolution inhibiting group of the resist is formed by irradiation with ultraviolet light, X-ray, electron beam, ion beam, or the like, heat treatment, or a combination thereof. By decomposing and treating with an alkali developing solution or the like, it is possible to efficiently remove only the resist pattern without deteriorating the organic silicon film, thereby forming a mask pattern made of an organic silicon compound having excellent maskability. It is possible to obtain

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施例に係るパターン形成方法を工
程順に示す断面図。
FIG. 1 is a sectional view showing a pattern forming method according to an embodiment of the present invention in the order of steps.

【図2】本発明の他の実施例に係るパターン形成方法を
工程順に示す断面図。
FIG. 2 is a sectional view showing a pattern forming method according to another embodiment of the present invention in the order of steps.

【図3】レジスト残膜量の加熱温度依存性を示す特性
図。
FIG. 3 is a characteristic diagram showing a heating temperature dependency of a resist remaining film amount.

【符号の説明】 1,11…被加工基板 2,12…反射防止膜 3,13…レジストパターン 4,14…有機シリコン膜 5,15…塩素ガスプラズマ 6,17…マスクパターン 16…KrFエキシマレーザー[Description of Signs] 1,11: Substrate to be processed 2,12 ... Anti-reflection film 3,13 ... Resist pattern 4,14 ... Organic silicon film 5,15 ... Chlorine gas plasma 6,17 ... Mask pattern 16 ... KrF excimer laser

フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/306 D (72)発明者 浅野 昌史 神奈川県横浜市磯子区新杉田町8番地 株 式会社東芝横浜事業所内 (72)発明者 三吉 靖郎 神奈川県横浜市磯子区新杉田町8番地 株 式会社東芝横浜事業所内 Fターム(参考) 2H096 AA25 BA01 BA09 BA20 CA06 EA02 EA04 EA05 EA06 EA07 GA08 HA23 HA30 JA04 LA01 LA02 LA03 5F043 CC12 CC16 DD07 DD15 DD30 GG10 5F046 CA01 CA04 MA01 MA02 MA03 MA04 5F058 AC03 AC06 AC08 AF04 AG01 AG04 AG10 AH10 Continued on the front page (51) Int.Cl. 7 Identification FI FI Theme Court II (Reference) H01L 21/306 D (72) Inventor Masafumi Asano 8 Shinsugita-cho, Isogo-ku, Yokohama-shi, Kanagawa Pref. 72) Inventor Yasuo Miyoshi 8 Shinsugita-machi, Isogo-ku, Yokohama-shi, Kanagawa F-term (reference) 2H096 AA25 BA01 BA09 BA20 CA20 EA02 EA04 EA05 EA06 EA07 GA08 HA23 HA30 JA04 LA01 LA02 LA03 5F043 CC12 CC16 DD07 DD15 DD30 GG10 5F046 CA01 CA04 MA01 MA02 MA03 MA04 5F058 AC03 AC06 AC08 AF04 AG01 AG04 AG10 AH10

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】被処理基板上にレジストパターンを形成す
る工程と、 前記レジストパターンの開口部に、シリコンとシリコン
の結合を主鎖に有する有機シリコン化合物を埋め込む工
程と、 前記レジストパターンを、レジスト中の溶解抑止基また
は溶解抑止剤の分解する温度以上に加熱する工程と、 前記レジストパターンを、レジストを溶解する溶液に浸
漬して、前記レジストパターンを除去し、有機シリコン
膜パターンを形成する工程とを具備することを特徴とす
るパターン形成方法
A step of forming a resist pattern on a substrate to be processed; a step of embedding an organic silicon compound having a silicon-silicon bond in a main chain in an opening of the resist pattern; A step of heating to a temperature not lower than the temperature at which the dissolution inhibiting group or the dissolution inhibiting agent therein is decomposed; A pattern forming method comprising:
【請求項2】被処理基板上にレジストパターンを形成す
る工程と、 前記レジストパターンの開口部に、シリコンとシリコン
の結合を主鎖に有する有機シリコン化合物を埋め込む工
程と、 前記レジストパターンに対し、放射線照射、加熱、また
はそれらの組み合わせを行う工程と、 前記レジストパターンを、レジストを溶解する溶液に浸
漬して、前記レジストパターンを除去し、有機シリコン
膜パターンを形成する工程とを具備することを特徴とす
るパターン形成方法
2. A step of forming a resist pattern on a substrate to be processed; a step of embedding an organic silicon compound having a bond of silicon and silicon in a main chain in an opening of the resist pattern; Radiation irradiation, heating, or a combination thereof, and a step of immersing the resist pattern in a solution for dissolving the resist, removing the resist pattern, and forming an organic silicon film pattern. Characteristic pattern forming method
【請求項3】被処理基板上にレジストパターンを形成す
る工程と、 前記レジストパターンの開口部に、シリコンとシリコン
の結合を主鎖に有する有機シリコン化合物を埋め込む工
程と、 (a)レジストに含まれる溶剤の少なくとも1種、
(b)界面活性剤、及び(c)0.20規定より高濃度
のアルカリ水溶液からなる群から選ばれた少なくとも1
種を含む溶液に、前記レジストパターンを浸漬すること
により、前記レジストパターンを除去し、有機シリコン
膜パターンを形成する工程とを具備することを特徴とす
るパターン形成方法。
3. A step of forming a resist pattern on a substrate to be processed; a step of embedding an organic silicon compound having a bond of silicon and silicon in a main chain in an opening of the resist pattern; At least one of the solvents
At least one selected from the group consisting of (b) a surfactant, and (c) an aqueous alkali solution having a concentration higher than 0.20 N.
Dipping the resist pattern in a solution containing a seed to remove the resist pattern and form an organic silicon film pattern.
【請求項4】前記レジストパターンの開口部にシリコン
とシリコンの結合を主鎖に有する有機シリコン化合物を
埋め込む工程は、レジストパターンが形成された被処理
基板全面に、シリコンとシリコンの結合を主鎖に有する
有機シリコン化合物を塗布し、次いでこの有機シリコン
化合物をエッチバックすることにより行われることを特
徴とする請求項1〜3のいずれかの項に記載のパターン
形成方法。
4. The step of embedding an organosilicon compound having a silicon-silicon bond in the main chain in the opening of the resist pattern, wherein the silicon-silicon bond is formed on the entire surface of the substrate on which the resist pattern is formed. The pattern forming method according to any one of claims 1 to 3, wherein the organic silicon compound is applied by applying the organic silicon compound and then etching back the organic silicon compound.
【請求項5】前記エッチバックは、前記有機シリコン化
合物の希釈溶剤に浸漬する方法、酸素やハロゲン系ガス
のプラズマに曝す方法、および化学的機械研磨からなる
群から選ばれた1種により行われることを特徴とする請
求項4に記載のパターン形成方法。
5. The etching back is performed by one selected from the group consisting of a method of immersing the organic silicon compound in a diluting solvent, a method of exposing to a plasma of oxygen or a halogen-based gas, and a chemical mechanical polishing. The pattern forming method according to claim 4, wherein:
JP27125699A 1999-09-24 1999-09-24 Pattern formation method Expired - Fee Related JP3974295B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP27125699A JP3974295B2 (en) 1999-09-24 1999-09-24 Pattern formation method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP27125699A JP3974295B2 (en) 1999-09-24 1999-09-24 Pattern formation method

Publications (2)

Publication Number Publication Date
JP2001092154A true JP2001092154A (en) 2001-04-06
JP3974295B2 JP3974295B2 (en) 2007-09-12

Family

ID=17497546

Family Applications (1)

Application Number Title Priority Date Filing Date
JP27125699A Expired - Fee Related JP3974295B2 (en) 1999-09-24 1999-09-24 Pattern formation method

Country Status (1)

Country Link
JP (1) JP3974295B2 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003316028A (en) * 2002-04-22 2003-11-06 Kishimoto Sangyo Co Ltd Resist residue remover and cleaner
JP2007281455A (en) * 2006-04-04 2007-10-25 Asml Netherlands Bv Lithographic treating cell, and method for manufacturing device
JP2008287176A (en) * 2007-05-21 2008-11-27 Jsr Corp Resin composition for pattern reverse and method for forming reversed pattern
JP2009038085A (en) * 2007-07-31 2009-02-19 Canon Inc Forming method of pattern
JP2009135462A (en) * 2007-11-30 2009-06-18 Taiwan Semiconductor Manufacturing Co Ltd Double patterning method by lithography
JP2009211036A (en) * 2007-09-25 2009-09-17 Shin Etsu Chem Co Ltd Patterning process
JP2009223294A (en) * 2008-02-20 2009-10-01 Shin Etsu Chem Co Ltd Forming method for resist pattern and positive resist material used in it
US7611994B2 (en) 2005-03-31 2009-11-03 Kabushiki Kaisha Toshiba Fine patterning method for semiconductor device
JP2009301007A (en) * 2008-05-15 2009-12-24 Shin-Etsu Chemical Co Ltd Pattern forming method
WO2010032796A1 (en) * 2008-09-19 2010-03-25 日産化学工業株式会社 Composition for forming side wall
JP2010164756A (en) * 2009-01-15 2010-07-29 Shin-Etsu Chemical Co Ltd Patterning process
JP2010164753A (en) * 2009-01-15 2010-07-29 Shin-Etsu Chemical Co Ltd Patterning process
JP2010164737A (en) * 2009-01-15 2010-07-29 Shin-Etsu Chemical Co Ltd Patterning process
JP2010186064A (en) * 2009-02-12 2010-08-26 Shin-Etsu Chemical Co Ltd Patterning process
US8048616B2 (en) 2008-03-12 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8129100B2 (en) 2008-04-04 2012-03-06 Shin-Etsu Chemical Co., Ltd. Double patterning process
US8129099B2 (en) 2008-02-14 2012-03-06 Shin-Etsu Chemical Co., Ltd. Double patterning process
CN110517953A (en) * 2018-05-21 2019-11-29 东京毅力科创株式会社 Substrate processing method using same and substrate board treatment

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003316028A (en) * 2002-04-22 2003-11-06 Kishimoto Sangyo Co Ltd Resist residue remover and cleaner
US7611994B2 (en) 2005-03-31 2009-11-03 Kabushiki Kaisha Toshiba Fine patterning method for semiconductor device
JP2007281455A (en) * 2006-04-04 2007-10-25 Asml Netherlands Bv Lithographic treating cell, and method for manufacturing device
JP2008287176A (en) * 2007-05-21 2008-11-27 Jsr Corp Resin composition for pattern reverse and method for forming reversed pattern
JP2009038085A (en) * 2007-07-31 2009-02-19 Canon Inc Forming method of pattern
TWI397774B (en) * 2007-09-25 2013-06-01 Shinetsu Chemical Co Patterning process
JP2009211036A (en) * 2007-09-25 2009-09-17 Shin Etsu Chem Co Ltd Patterning process
KR101286905B1 (en) 2007-09-25 2013-07-16 신에쓰 가가꾸 고교 가부시끼가이샤 Patterning Process
US8105764B2 (en) 2007-09-25 2012-01-31 Shin-Etsu Chemical Co., Ltd. Patterning process
US7935477B2 (en) 2007-11-30 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench
JP2009135462A (en) * 2007-11-30 2009-06-18 Taiwan Semiconductor Manufacturing Co Ltd Double patterning method by lithography
US8129099B2 (en) 2008-02-14 2012-03-06 Shin-Etsu Chemical Co., Ltd. Double patterning process
JP2009223294A (en) * 2008-02-20 2009-10-01 Shin Etsu Chem Co Ltd Forming method for resist pattern and positive resist material used in it
US8048616B2 (en) 2008-03-12 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8129100B2 (en) 2008-04-04 2012-03-06 Shin-Etsu Chemical Co., Ltd. Double patterning process
US8198016B2 (en) 2008-05-15 2012-06-12 Shin-Etsu Chemical Co., Ltd. Patterning process
JP2009301007A (en) * 2008-05-15 2009-12-24 Shin-Etsu Chemical Co Ltd Pattern forming method
WO2010032796A1 (en) * 2008-09-19 2010-03-25 日産化学工業株式会社 Composition for forming side wall
US8101341B2 (en) 2009-01-15 2012-01-24 Shin-Etsu Chemical Co., Ltd. Patterning process
JP2010164737A (en) * 2009-01-15 2010-07-29 Shin-Etsu Chemical Co Ltd Patterning process
JP2010164753A (en) * 2009-01-15 2010-07-29 Shin-Etsu Chemical Co Ltd Patterning process
JP2010164756A (en) * 2009-01-15 2010-07-29 Shin-Etsu Chemical Co Ltd Patterning process
US8192921B2 (en) 2009-01-15 2012-06-05 Shin-Etsu Chemical Co., Ltd. Patterning process
JP2010186064A (en) * 2009-02-12 2010-08-26 Shin-Etsu Chemical Co Ltd Patterning process
US8216774B2 (en) 2009-02-12 2012-07-10 Shin-Etsu Chemical Co., Ltd. Patterning process
CN110517953A (en) * 2018-05-21 2019-11-29 东京毅力科创株式会社 Substrate processing method using same and substrate board treatment

Also Published As

Publication number Publication date
JP3974295B2 (en) 2007-09-12

Similar Documents

Publication Publication Date Title
JP4086830B2 (en) Silicon-containing composition for spin-on ARC / hard mask
JP4467857B2 (en) Modification of 193nm photosensitive photoresist material by electron beam exposure
JP2001092154A (en) Method for forming pattern
JP4485241B2 (en) Water-soluble resin composition and pattern forming method using the same
US6753129B2 (en) Method and apparatus for modification of chemically amplified photoresist by electron beam exposure
JP3473887B2 (en) Composition for forming antireflection film and method for forming resist pattern using the same
EP1223470A1 (en) Method for forming pattern
JP5910500B2 (en) Pattern formation method
JP2001019860A (en) Water soluble resin composition
JP2002014474A (en) Pattern forming method
WO2005008340A1 (en) Material for forming fine pattern and method for forming fine pattern using the same
JP2003316019A (en) Pattern forming method and method for manufacturing semiconductor device
KR101720967B1 (en) Substrate processing liquid and method for processing resist substrate using same
US20050239932A1 (en) Composition for antireflection coating and method for forming pattern
JP4773037B2 (en) Etch-resistant anti-reflective coating composition
JP2006507518A (en) Method for removing image-forming layer from semiconductor substrate stack
JP2003249437A (en) Pattern forming method and manufacturing method for semiconductor device
JP3683986B2 (en) Photosensitive composition
KR101426321B1 (en) Composition for forming micropattern and method for forming micropattern using the same
JP2005148752A (en) Silicon-containing resist system with cyclic ketal protecting group
JP2007140075A (en) Barrier film forming material, and pattern forming method using it
JPH10251519A (en) Silicon composition, pattern-forming method using the composition and production of electronic part
JP3914490B2 (en) Lower layer film forming material for lithography and wiring forming method using the same
US20080227038A1 (en) Pattern formation method
US20060263717A1 (en) Photoresist coating composition and method for forming fine pattern using the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Effective date: 20040609

Free format text: JAPANESE INTERMEDIATE CODE: A621

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070607

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Effective date: 20070612

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Effective date: 20070614

Free format text: JAPANESE INTERMEDIATE CODE: A61

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 3

Free format text: PAYMENT UNTIL: 20100622

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100622

Year of fee payment: 3

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 4

Free format text: PAYMENT UNTIL: 20110622

LAPS Cancellation because of no payment of annual fees