JP2001024166A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JP2001024166A
JP2001024166A JP11192986A JP19298699A JP2001024166A JP 2001024166 A JP2001024166 A JP 2001024166A JP 11192986 A JP11192986 A JP 11192986A JP 19298699 A JP19298699 A JP 19298699A JP 2001024166 A JP2001024166 A JP 2001024166A
Authority
JP
Japan
Prior art keywords
film
semiconductor device
insulating film
etching
sidewall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11192986A
Other languages
Japanese (ja)
Inventor
Keiichi Ono
圭一 大野
Hiroko Ogishi
裕子 大岸
Yoshihisa Matoba
義久 的場
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP11192986A priority Critical patent/JP2001024166A/en
Publication of JP2001024166A publication Critical patent/JP2001024166A/en
Pending legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

PROBLEM TO BE SOLVED: To prevent decline in coverage of an insulating film by forming recessed sections on a first insulating film and, after forming a conductive film in accordance with the shapes of the recessed sections, burying second insulating films in the recessed sections, and etching the conductive film by isotropic etching by using the second insulating films as a mask. SOLUTION: After a resist pattern is formed on a sacrificial oxide film 35 of a first insulating film, openings 36 and 37 for forming storage nodes are formed through the oxide film 35 and an SiN film 34. Then a conductive film 38 is formed on the surface of the oxide film 35 and the internal wall surfaces and bottom faces of the openings 36 and 37. Consequently, recessed sections 36a and 37a are formed of the conductive film 38 in the openings 36 and 37, respectively. In addition, NSG films 39 are buried in the recessed sections 36a and 37a as second insulating films. Moreover, the NSG films 39 are etched back by isotropic etching until the conductive film 38 is exposed so that the NSG films 39 may be buried partially in the recessed sections 36a and 37a.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】この発明は、半導体装置およ
びその製造方法に関し、特に、ダイナミックRAM(D
RAM)におけるビット線の形成および下部電極の形成
に適用して好適なものである。
The present invention relates to a semiconductor device and a method of manufacturing the same, and more particularly, to a dynamic RAM (DRAM).
This is suitable for application to the formation of bit lines and the formation of lower electrodes in (RAM).

【0002】[0002]

【従来の技術】従来、MOS電界効果トランジスタ(M
OSFET)における拡散層の形成においては、まず、
シリコン(Si)基板上にゲート酸化膜を介してゲート
電極を形成した後、このゲート電極の側壁にサイドウォ
ールスペーサを形成し、このゲート電極とサイドウォー
ルスペーサとをマスクとして、Si基板に不純物を導入
することにより、拡散層を自己整合的に形成する方法が
用いられている。
2. Description of the Related Art Conventionally, MOS field-effect transistors (M
In the formation of the diffusion layer in the OSFET), first,
After a gate electrode is formed on a silicon (Si) substrate via a gate oxide film, a sidewall spacer is formed on a side wall of the gate electrode, and impurities are added to the Si substrate using the gate electrode and the sidewall spacer as a mask. A method of forming a diffusion layer in a self-aligned manner by introducing it is used.

【0003】この不純物の導入の際にマスクとなるサイ
ドウォールスペーサの材料としては、一般的に酸化シリ
コン(SiO2 )が用いられる。ところが、素子分離領
域をLOCOS法を用いて形成する場合には、素子の微
細化に伴うバーズビークの抑制のために、フィールド酸
化膜を薄くし、膜厚を小さくする必要がある。
In general, silicon oxide (SiO 2 ) is used as a material of a sidewall spacer serving as a mask when introducing the impurity. However, when the element isolation region is formed by using the LOCOS method, it is necessary to reduce the field oxide film and the film thickness in order to suppress a bird's beak caused by miniaturization of the element.

【0004】このように、フィールド酸化膜が薄膜化さ
れると、上述のサイドウォールスペーサを形成する際
に、このフィールド酸化膜はさらに薄くなってしまう。
これによって、拡散層を形成するためにSi基板に不純
物を導入するときに、不純物がフィールド酸化膜を突き
抜けてしまい、素子分離特性を悪化させてしまうという
問題が生じていた。
As described above, when the field oxide film is thinned, the field oxide film is further thinned when forming the above-described sidewall spacer.
As a result, when impurities are introduced into the Si substrate to form a diffusion layer, the impurities penetrate the field oxide film, causing a problem of deteriorating element isolation characteristics.

【0005】そこで、この問題を解決するための一つの
手段として、サイドウォールスペーサを多結晶Siから
構成する方法が考えられた。すなわち、エッチングにお
ける多結晶SiとSiO2 膜との選択比は大きいので、
サイドウォールスペーサを多結晶Siを用いて形成する
ことにより、エッチバックの際にフィールド酸化膜の膜
厚を減少させないようにすることが可能である。
Therefore, as one means for solving this problem, a method has been considered in which the side wall spacer is made of polycrystalline Si. That is, since the selectivity between polycrystalline Si and the SiO 2 film in etching is large,
By forming the sidewall spacers using polycrystalline Si, it is possible to prevent the thickness of the field oxide film from being reduced during the etch back.

【0006】ところで、上述のMOSFETを有するD
RAMにおいて、その記憶ノード電極は次のようにして
形成される。
By the way, the D having the MOSFET described above
In the RAM, the storage node electrode is formed as follows.

【0007】すなわち、図14に示すように、基板(図
示せず)上に犠牲酸化膜101を形成した後、この犠牲
酸化膜101に開口102を形成する。次に、この開口
102の内壁および底面に沿った形状になるように、全
面に非晶質Si膜103を形成する。これによって、開
口102の内側の部分に非晶質Si膜103から構成さ
れる凹部103aが形成される。次に、この凹部103
aに酸化膜104を埋め込む。
That is, as shown in FIG. 14, after forming a sacrificial oxide film 101 on a substrate (not shown), an opening 102 is formed in the sacrificial oxide film 101. Next, an amorphous Si film 103 is formed on the entire surface so as to have a shape along the inner wall and the bottom surface of the opening 102. As a result, a concave portion 103a composed of the amorphous Si film 103 is formed inside the opening 102. Next, this recess 103
An oxide film 104 is embedded in a.

【0008】次に、酸化膜104をマスクとして、反応
性イオンエッチング(RIE)法により犠牲酸化膜10
1上の露出した非晶質Si膜103の部分をエッチング
除去する。なお、図14においてはこのエッチング除去
された非晶質Si膜103の部分を点線で示す。その
後、酸化膜104および犠牲酸化膜101を除去するこ
とにより、断面がU字型をした、いわゆるシリンダ形状
の記憶ノード電極が残される。
Next, using the oxide film 104 as a mask, the sacrificial oxide film 10 is formed by reactive ion etching (RIE).
The portion of the exposed amorphous Si film 103 on the substrate 1 is removed by etching. In FIG. 14, the portion of the amorphous Si film 103 that has been etched away is indicated by a dotted line. Thereafter, by removing the oxide film 104 and the sacrificial oxide film 101, a so-called cylindrical storage node electrode having a U-shaped cross section is left.

【0009】[0009]

【発明が解決しようとする課題】本発明者が、上述の従
来技術について検討を行った結果、MOSFETの形成
において、サイドウォールスペーサを多結晶Siから構
成する方法について次のような問題を知見するに至っ
た。
As a result of studying the above-mentioned prior art, the present inventors have found the following problems in a method of forming a sidewall spacer from polycrystalline Si in forming a MOSFET. Reached.

【0010】すなわち、サイドウォールスペーサの材料
として多結晶Siを用いる方法を採用すると、多結晶S
iが導電性を有していることによって、残存すると短絡
による不良が発生してしまう。この短絡を回避するため
には、拡散層を形成した後に、このサイドウォールスペ
ーサを除去しなければならない。これによって、MOS
FETの製造プロセスにおいて付加的な工程が必要とな
る。
That is, when a method using polycrystalline Si as a material for the sidewall spacer is adopted, polycrystalline S
Since i has conductivity, if it remains, a defect due to a short circuit occurs. In order to avoid this short circuit, the sidewall spacer must be removed after forming the diffusion layer. With this, MOS
Additional steps are required in the FET manufacturing process.

【0011】また、本発明者は、シリンダ形状の記憶ノ
ード電極の形成方法について次のような問題を知見し
た。
Further, the present inventor has found the following problems in a method of forming a cylindrical storage node electrode.

【0012】すなわち、図14に示すように、犠牲酸化
膜101上の露出した非晶質Si膜103をRIE法に
よりエッチング除去すると、非晶質Si膜103の犠牲
酸化膜101と酸化膜104とにはさまれた部分の上端
の中央部分が削られて、鋭角の部分(鋭角部105)が
形成され、いわゆるカニツメ形状になってしまう。そし
て、記憶ノード電極における上端に鋭角部105が存在
すると、この鋭角部105に電界が集中して、絶縁膜疲
労を起こしたり、絶縁膜の被覆率が低下してしまう。そ
のため、この鋭角部105を丸めるための付加的な工程
が必要になり、実際にこの付加的な工程が採用されてい
る。
That is, as shown in FIG. 14, when the exposed amorphous Si film 103 on the sacrificial oxide film 101 is removed by etching by RIE, the sacrificial oxide film 101 and the oxide film 104 of the amorphous Si film 103 are removed. The central portion of the upper end of the portion sandwiched between the portions is shaved to form an acute angle portion (the acute angle portion 105), which results in a so-called crab shape. If the acute angle portion 105 is present at the upper end of the storage node electrode, the electric field is concentrated on the acute angle portion 105, causing fatigue of the insulating film or lowering the coverage of the insulating film. Therefore, an additional step for rounding the acute angle portion 105 is required, and this additional step is actually employed.

【0013】また、素子の微細化に伴って、キャパシタ
におけるキャパシタンスCs を確保するために、記憶ノ
ード電極に半球状のSiグレイン(Hemi-spherical Sil
iconGrain、HSG) を形成するなどの、電極の表面積
を増加させる技術の適用が必要となる。一方、素子の微
細化に伴って、上述の酸化膜104が埋め込まれる凹部
103aのアスペクト比は増加してきている。そのた
め、酸化膜104として、カバレッジのよい、テトラエ
トキシシラン(TEOS)ガスを用いた減圧化学気相成
長(CVD)法により成膜されたノンドープシリケート
ガラス(NSG)膜などが用いられている。
Further, with the miniaturization of the device, in order to secure the capacitance C s in a capacitor, hemispherical Si grains in the storage node electrode (Hemi-spherical Sil
It is necessary to apply a technique for increasing the surface area of the electrode, such as forming an icongrain (HSG). On the other hand, with the miniaturization of the element, the aspect ratio of the concave portion 103a in which the above-described oxide film 104 is embedded has been increasing. Therefore, as the oxide film 104, a non-doped silicate glass (NSG) film formed by a low-pressure chemical vapor deposition (CVD) method using tetraethoxysilane (TEOS) gas with good coverage is used.

【0014】ところで、このHSGの形成にはSiのマ
イグレーションが必要である。そのため、記憶ノード電
極をシリンダ形状に残した段階で、その膜質が非晶質で
あることが必要である。ところが、酸化膜104として
NSG膜を用いると、このNSG膜の成膜温度が700
℃程度と高温であるため、凹部103aに酸化膜104
を埋め込んでいる間に、非晶質Si膜103が結晶化し
てしまう。これは、HSGの形成を阻害する要因となっ
てしまう。
Incidentally, the formation of the HSG requires the migration of Si. Therefore, it is necessary that the film quality is amorphous when the storage node electrode is left in a cylindrical shape. However, when an NSG film is used as the oxide film 104, the film forming temperature of the NSG film becomes 700
Since the temperature is as high as about ° C., the oxide film 104
Is embedded, the amorphous Si film 103 is crystallized. This is a factor that hinders HSG formation.

【0015】したがって、この発明の目的は、非晶質半
導体層をエッチング除去する際に、鋭角の部分が形成さ
れないようにすることができ、鋭角の部分に電界が集中
して絶縁膜疲労を起こしたり、絶縁膜の被覆率が低下す
るのを防止することができる半導体装置の製造方法を提
供することにある。
Accordingly, an object of the present invention is to prevent an acute angle portion from being formed when an amorphous semiconductor layer is removed by etching, and an electric field is concentrated on the acute angle portion to cause fatigue of an insulating film. It is another object of the present invention to provide a method for manufacturing a semiconductor device, which can prevent a decrease in the coverage of an insulating film.

【0016】また、この発明の他の目的は、導電性を有
するサイドウォールを除去する付加的な工程を必要とし
ない半導体装置の製造方法を提供することにある。
It is another object of the present invention to provide a method of manufacturing a semiconductor device which does not require an additional step of removing a conductive sidewall.

【0017】[0017]

【課題を解決するための手段】上記目的を達成するため
に、この発明の第1の発明は、第1の絶縁膜に凹部を形
成する工程と、凹部の形状に沿って導電膜を形成する工
程と、導電膜によって構成される凹部に第2の絶縁膜を
埋め込む工程と、第2の絶縁膜をマスクとして導電膜を
エッチングする工程とを有する半導体装置の製造方法で
あって、等方性エッチング法により導電膜のエッチング
を行うようにしたことを特徴とするものである。
In order to achieve the above object, a first aspect of the present invention is a method for forming a concave portion in a first insulating film and forming a conductive film along the shape of the concave portion. A method of manufacturing a semiconductor device, comprising: a step of: embedding a second insulating film in a concave portion formed by a conductive film; and a step of etching the conductive film using the second insulating film as a mask. The conductive film is etched by an etching method.

【0018】この第1の発明において、典型的には、半
導体装置はキャパシタを有し、導電膜によりキャパシタ
の電極が構成される。そして、この第1の発明におい
て、導電膜をエッチングした後、第1の絶縁膜および第
2の絶縁膜を除去することにより、断面がU字型をした
キャパシタにおける電極を形成する。
In the first invention, typically, the semiconductor device has a capacitor, and the conductive film forms an electrode of the capacitor. In the first invention, after the conductive film is etched, the first insulating film and the second insulating film are removed to form an electrode of the capacitor having a U-shaped cross section.

【0019】この第1の発明において、好適には、第2
の絶縁膜を導電膜の形成における形成温度以下で形成す
る。また、この第1の発明において、好適には、この導
電膜を不純物がドープされた非晶質半導体膜とし、この
非晶質半導体膜の表面に半球状グレインを形成するよう
にする。
In the first aspect, preferably, the second aspect
Is formed at a temperature equal to or lower than the formation temperature in forming the conductive film. Further, in the first invention, preferably, the conductive film is an amorphous semiconductor film doped with impurities, and hemispherical grains are formed on the surface of the amorphous semiconductor film.

【0020】この第1の発明において、典型的には、等
方性エッチング法はプラズマエッチング法であるが、そ
の他の等方性エッチング法を用いてもよく、例えばウェ
ットエッチング法を用いることも可能である。
In the first invention, typically, the isotropic etching method is a plasma etching method, but other isotropic etching methods may be used. For example, a wet etching method may be used. It is.

【0021】この第1の発明において、典型的には、導
電膜は、不純物がドープされた非晶質半導体膜である。
そして、導電膜を用いてキャパシタの電極を構成する際
に、このキャパシタの容量を増加させるために、非晶質
半導体からなる導電膜の表面に半球状グレインを形成す
る。そして、この半球状グレインの形成を良好に行うた
めに、典型的には、第2の絶縁膜を、導電膜の形成にお
ける形成温度以下で形成する。また、この第1の発明に
おいて、典型的には、非晶質半導体膜は非晶質シリコン
膜である。そして、この非晶質シリコン膜の非晶質状態
を維持し、その表面に半球状のシリコングレインを形成
するために、第2の絶縁膜を、非晶質シリコンが結晶化
しない温度、具体的には、430℃以上530℃以下の
温度で形成する。
In the first invention, typically, the conductive film is an amorphous semiconductor film doped with impurities.
When an electrode of a capacitor is formed using the conductive film, hemispherical grains are formed on the surface of the conductive film made of an amorphous semiconductor in order to increase the capacitance of the capacitor. Then, in order to favorably form the hemispherical grains, the second insulating film is typically formed at a temperature equal to or lower than the formation temperature in forming the conductive film. In the first invention, typically, the amorphous semiconductor film is an amorphous silicon film. Then, in order to maintain the amorphous state of the amorphous silicon film and form hemispherical silicon grains on the surface thereof, the second insulating film is formed at a temperature at which the amorphous silicon does not crystallize. Is formed at a temperature of 430 ° C. or more and 530 ° C. or less.

【0022】この発明の第2の発明は、半導体基板上に
導電性を有する第1のパターンを形成する工程と、第1
のパターンを覆うようにして、半導体基板上に第1の絶
縁膜を形成する工程と、第1のパターンの側壁面に第1
の絶縁膜を介して導電性を有するサイドウォールを形成
する工程と、少なくともサイドウォール上に、サイドウ
ォールと接続するようにして導電性を有する第2のパタ
ーンを形成する工程とを有することを特徴とする半導体
装置の製造方法である。
According to a second aspect of the present invention, there is provided a method of forming a first conductive pattern on a semiconductor substrate, comprising the steps of:
Forming a first insulating film on the semiconductor substrate so as to cover the first pattern; and forming a first insulating film on the side wall surface of the first pattern.
Forming a conductive side wall with the insulating film interposed therebetween, and forming a conductive second pattern on at least the side wall so as to be connected to the side wall. Of the semiconductor device.

【0023】この第2の発明において、典型的には、半
導体装置はダイナミックRAM(DRAM)を有し、上
記第2のパターンと、上記第2のパターンに接続された
上記サイドウォールとにより、上記DRAMのビット線
を構成する。
In the second invention, typically, the semiconductor device has a dynamic RAM (DRAM), and the semiconductor device includes the second pattern and the sidewall connected to the second pattern. Construct a bit line of a DRAM.

【0024】この第2の発明において、典型的には、半
導体装置はダイナミックRAMを有し、第1のパターン
によりダイナミックRAMのワード線を構成する。
In the second invention, typically, the semiconductor device has a dynamic RAM, and a word line of the dynamic RAM is constituted by the first pattern.

【0025】この第2の発明において、典型的には、サ
イドウォールを形成する工程の後、第2のパターンを形
成する工程の前に、第1の絶縁膜およびサイドウォール
上にレジストパターンを形成し、レジストパターンおよ
びサイドウォールをマスクとして第1の絶縁膜をエッチ
ングすることにより、第1の絶縁膜に接続孔を形成す
る。
In the second invention, typically, a resist pattern is formed on the first insulating film and the side wall after the step of forming the side wall and before the step of forming the second pattern. Then, the connection hole is formed in the first insulating film by etching the first insulating film using the resist pattern and the sidewall as a mask.

【0026】この第2の発明において、典型的には、サ
イドウォールは多結晶半導体からなる。また、この第2
の発明において、典型的には、サイドウォールは多結晶
シリコンからなり、好適には、不純物がドープされた多
結晶シリコンからなる。
In the second aspect, typically, the sidewall is made of a polycrystalline semiconductor. Also, this second
In the invention, the sidewalls are typically made of polycrystalline silicon, preferably, polycrystalline silicon doped with impurities.

【0027】この第2の発明において、典型的には、サ
イドウォールは非晶質半導体からなる。また、この第2
の発明において、典型的には、サイドウォールは非晶質
シリコンからなり、好適には、不純物がドープされた非
晶質シリコンからなる。
In the second aspect, typically, the sidewall is made of an amorphous semiconductor. Also, this second
In the present invention, the sidewalls are typically made of amorphous silicon, and preferably are made of amorphous silicon doped with impurities.

【0028】上述のように構成されたこの発明の第1の
発明による半導体装置の製造方法によれば、凹部に沿っ
た形状の導電膜を、第2の絶縁膜をマスクとして等方性
エッチング法によりエッチングしていることにより、導
電膜における凹部の上端を均等にエッチングすることが
できる。
According to the method of manufacturing a semiconductor device according to the first aspect of the present invention having the above-described structure, a conductive film having a shape along a recess is formed by an isotropic etching method using the second insulating film as a mask. By performing the etching, the upper end of the concave portion in the conductive film can be uniformly etched.

【0029】この発明の第2の発明による半導体装置の
製造方法によれば、半導体基板上に形成された導電性を
有する第1のパターンを覆うようにして、第1の絶縁膜
を形成し、第1のパターンの側壁面に第1の絶縁膜を介
して導電性を有するサイドウォールを形成し、少なくと
もサイドウォール上に、このサイドウォールと接続する
ようにして導電性を有する第2のパターンを形成するよ
うにしていることにより、第2のパターンとサイドウォ
ールとにより配線などのパターンを構成することができ
るようになるとともに、第2のパターンのパターンニン
グの際に不必要なサイドウォールを同時に除去すること
ができる。
According to the method of manufacturing a semiconductor device according to the second aspect of the present invention, the first insulating film is formed so as to cover the first conductive pattern formed on the semiconductor substrate. A sidewall having conductivity is formed on a side wall surface of the first pattern via a first insulating film, and a second pattern having conductivity is formed on at least the sidewall so as to be connected to the sidewall. By being formed, a pattern such as a wiring can be constituted by the second pattern and the side wall, and unnecessary side walls at the time of patterning the second pattern are simultaneously formed. Can be removed.

【0030】[0030]

【発明の実施の形態】以下、この発明の実施形態につい
て図面を参照しながら説明する。なお、以下の実施形態
の全図においては、同一または対応する部分には同一の
符号を付す。
Embodiments of the present invention will be described below with reference to the drawings. In all the drawings of the following embodiments, the same or corresponding portions are denoted by the same reference numerals.

【0031】まず、この発明の第1の実施形態によるD
RAMを有する半導体装置の製造方法について説明す
る。図1〜図12はこの発明の第1の実施形態による半
導体装置の製造方法を示す。
First, D according to the first embodiment of the present invention will be described.
A method for manufacturing a semiconductor device having a RAM will be described. 1 to 12 show a method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【0032】この第1の実施形態においては、まず、図
1Aに示すように、Si基板1の所定部分を、例えばL
OCOS法により選択的に熱酸化してフィールド酸化膜
からなる素子分離領域2を形成し、素子分離を行う。こ
こで、素子分離領域2の膜厚は、後の工程において注入
される不純物がSi基板1にまで突き抜けない必要最小
限の膜厚とし、この第1の実施形態においては例えば2
50nmである。その後、例えば熱酸化法により、素子
分離領域2に囲まれた活性領域の表面にSiO2 膜から
なるゲート酸化膜3を形成する。
In the first embodiment, first, as shown in FIG. 1A, a predetermined portion of the Si
Element isolation is performed by selectively performing thermal oxidation by the OCOS method to form an element isolation region 2 made of a field oxide film. Here, the film thickness of the element isolation region 2 is set to a necessary minimum film thickness so that impurities implanted in a later step do not penetrate into the Si substrate 1.
50 nm. Thereafter, a gate oxide film 3 made of a SiO 2 film is formed on the surface of the active region surrounded by the element isolation region 2 by, for example, a thermal oxidation method.

【0033】次に、図1Bに示すように、全面に例えば
CVD法により、n型不純物のリン(P)をドーピング
しつつ非晶質Si膜を形成することによって、リンドー
プアモルファスSi(P Doped Amorphas Silicon、PD
AS)膜4を形成する。次に、例えばスパッタリング法
などにより、全面にWSi2 膜5を形成する。ここで、
PDAS膜4およびWSi2 膜5の膜厚はそれぞれ例え
ば100nmである。その後、WSi2 膜5およびPD
AS膜4を所定形状にパターンニングすることにより、
ロジック回路部にゲート電極6、メモリセル部に、図示
されたメモリセルに用いられるワード線7、8、および
他のメモリセルに用いられるワード線9、10を形成す
る。その後、ロジック回路部のゲート電極6をマスクと
して、Si基板1に所定の不純物をイオン注入すること
により、ゲート電極6に対して自己整合的に拡散層11
aを形成するとともに、メモリセル部のワード線7、8
をマスクとして、Si基板1に所定の不純物をイオン注
入することにより、ワード線7、8に対して自己整合的
に拡散層12を形成する。
Next, as shown in FIG. 1B, an amorphous Si film is formed on the entire surface by doping n-type impurity phosphorus (P) by, for example, a CVD method, thereby forming phosphorus-doped amorphous Si (P Doped). Amorphas Silicon, PD
AS) The film 4 is formed. Next, a WSi 2 film 5 is formed on the entire surface by, for example, a sputtering method. here,
The thickness of each of the PDAS film 4 and the WSi 2 film 5 is, for example, 100 nm. Then, the WSi 2 film 5 and the PD
By patterning the AS film 4 into a predetermined shape,
A gate electrode 6 is formed in the logic circuit portion, word lines 7 and 8 used for the illustrated memory cells, and word lines 9 and 10 used for other memory cells are formed in the memory cell portion. Thereafter, a predetermined impurity is ion-implanted into the Si substrate 1 using the gate electrode 6 of the logic circuit portion as a mask, so that the diffusion layer 11 is self-aligned with the gate electrode 6.
a and the word lines 7 and 8 in the memory cell portion.
By using a mask as a mask, a predetermined impurity is ion-implanted into the Si substrate 1 to form the diffusion layer 12 in a self-aligned manner with respect to the word lines 7 and 8.

【0034】次に、図2Aに示すように、例えばTEO
Sガスを用いた減圧CVD法により、全面にNSG膜1
3を形成する。このNSG膜13の膜厚は例えば50n
mである。その後、酸素(O2 )ガス雰囲気において、
例えば850℃に加熱することにより熱酸化処理を行
い、ゲート端部を5nm程度酸化する。
Next, as shown in FIG. 2A, for example, TEO
An NSG film 1 is formed on the entire surface by a reduced pressure CVD method using S gas.
Form 3 The thickness of the NSG film 13 is, for example, 50 n.
m. Then, in an oxygen (O 2 ) gas atmosphere,
For example, a thermal oxidation process is performed by heating to 850 ° C., and the end of the gate is oxidized by about 5 nm.

【0035】次に、図2Bに示すように、全面に例えば
CVD法により、Pをドーピングしつつ非晶質Si膜を
形成することによってPDAS膜を形成する。このPD
AS膜の不純物濃度は例えば2×1020cm-2であり、
膜厚は例えば100nmである。その後、例えば電子サ
イクロトロン共鳴(ECR、Electron Cycrotoron Reso
rnance)プラズマエッチング装置を用いて、PDAS膜
をエッチバックすることにより、ゲート電極6およびワ
ード線7、8、9、10の側壁にそれぞれNSG膜13
を介して、PDASからなるサイドウォールスペーサ1
4、15、16、17、18を形成する。ここで、この
エッチング条件の一例を挙げると、エッチングガスとし
て塩素(Cl2 )ガスと臭化水素(HBr)ガスとの混
合ガスを用い、それらの流量をそれぞれ40sccmと
100sccmとし、圧力を1Pa、RFパワーを70
Wとする。
Next, as shown in FIG. 2B, a PDAS film is formed by forming an amorphous Si film on the entire surface by doping P with, for example, a CVD method. This PD
The impurity concentration of the AS film is, for example, 2 × 10 20 cm −2 ,
The film thickness is, for example, 100 nm. Thereafter, for example, electron cyclotron resonance (ECR)
The PSG film is etched back using a plasma etching apparatus, so that the NSG film 13 is formed on the side walls of the gate electrode 6 and the word lines 7, 8, 9, and 10, respectively.
Through the sidewall spacer 1 made of PDAS
4, 15, 16, 17, and 18 are formed. Here, as an example of the etching conditions, a mixed gas of chlorine (Cl 2 ) gas and hydrogen bromide (HBr) gas is used as an etching gas, the flow rates thereof are respectively 40 sccm and 100 sccm, the pressure is 1 Pa, and RF power to 70
W.

【0036】次に、ロジック回路部において、ゲート電
極6およびサイドウォールスペーサ14をマスクとし
て、所定の不純物を選択的にイオン注入することによ
り、拡散層11aにおける不純物濃度より高濃度の拡散
層11を形成する。これにより、ロジック回路部におい
てLDD構造が形成される。
Next, in the logic circuit portion, a predetermined impurity is selectively ion-implanted using the gate electrode 6 and the side wall spacer 14 as a mask, thereby forming the diffusion layer 11 having a higher concentration than the impurity concentration in the diffusion layer 11a. Form. Thus, an LDD structure is formed in the logic circuit portion.

【0037】次に、図3に示すように、Si基板1上の
全面に、ビットコンタクトの形成領域に開口19aを有
するレジストパターン19を形成する。次に、例えばマ
グネトロンプラズマエッチング装置を用いて、レジスト
パターン19をマスクとして、サイドウォール15、1
6に対して自己整合的にNSG膜13およびゲート酸化
膜3を、拡散層12の表面が露出するまで順次エッチン
グする。これによって、接続孔20が形成される。この
とき、レジストパターン19の開口19aの位置合わせ
ずれが生じてしまっても、サイドウォールスペーサ1
5、16がエッチングマスクとなるため、後に形成され
るビットコンタクトとワード線7、8とが絶縁不良を起
こすのを防止することができる。ここで、このエッチン
グ条件の一例を挙げると、エッチングガスとして、八フ
ッ化四炭素(C4 8 )ガス、一酸化炭素(CO)ガス
およびアルゴン(Ar)ガスの混合ガスを用い、それら
の流量をそれぞれ10sccm、300sccmおよび
400sccmとし、圧力を5.3Pa、RFパワーを
1700Wとする。その後、レジストパターン19を除
去する。
Next, as shown in FIG. 3, a resist pattern 19 having an opening 19a in a bit contact formation region is formed on the entire surface of the Si substrate 1. Next, using the resist pattern 19 as a mask, for example, using a magnetron plasma etching apparatus,
6, the NSG film 13 and the gate oxide film 3 are sequentially etched until the surface of the diffusion layer 12 is exposed. Thereby, the connection hole 20 is formed. At this time, even if the misalignment of the opening 19a of the resist pattern 19 occurs, the side wall spacer 1
Since the masks 5 and 16 serve as etching masks, it is possible to prevent a bit contact to be formed later and the word lines 7 and 8 from causing insulation failure. Here, as an example of the etching conditions, a mixed gas of tetracarbon octafluoride (C 4 F 8 ) gas, carbon monoxide (CO) gas, and argon (Ar) gas is used as an etching gas. The flow rates are 10 sccm, 300 sccm, and 400 sccm, respectively, the pressure is 5.3 Pa, and the RF power is 1700 W. After that, the resist pattern 19 is removed.

【0038】次に、図4Aに示すように、1%の希フッ
酸(100:1、DHF)を用いて、接続孔20の側壁
のサイドウォールスペーサ16、17の露出面およびS
i基板1の露出面の自然酸化膜を除去する。次に、例え
ば減圧CVD法により、全面にPDAS膜21およびW
Si2 膜22を順次形成する。このPDAS膜21およ
びWSi2 膜22の膜厚はそれぞれ50nmおよび10
0nmである。
Next, as shown in FIG. 4A, the exposed surfaces of the side wall spacers 16 and 17 on the side walls of the connection hole 20 and the S surface are formed using 1% diluted hydrofluoric acid (100: 1, DHF).
The natural oxide film on the exposed surface of the i-substrate 1 is removed. Next, the PDA film 21 and the W
An Si 2 film 22 is sequentially formed. The PDAS film 21 and the WSi 2 film 22 have a thickness of 50 nm and 10 nm, respectively.
0 nm.

【0039】次に、図4Bに示すように、WSi2 膜上
にビット線形状のレジストパターン(図示せず)を形成
した後、このレジストパターンをマスクとして、例えば
ECRプラズマエッチング装置を用いて3段階のエッチ
ングを行うことにより、ビット線23を形成する。この
3段階のエッチングは、まず、第1のエッチングとして
WSi2 膜をエッチングし、第2のエッチングとしてP
DAS膜21とビット線23の一部となるサイドウォー
ルスペーサ15、16以外のサイドウォールスペーサ1
4〜18とを、NSG膜13の表面が露出しはじめるま
でエッチングし、第3のエッチングとして、PDAS材
料が残らないようにエッチングを行う。これにより、W
Si2 膜22およびPDAS膜21が順次エッチングさ
れるとともに、ビット線23の一部となるサイドウォー
ルスペーサ15、16以外のサイドウォールスペーサ1
4〜18がエッチング除去される。なお、この3段階の
エッチングにおけるエッチング条件は、サイドウォール
スペーサ15、16以外のサイドウォールスペーサ14
〜18とPDAS膜21とをほぼ完全に除去し、かつN
SG膜13がエッチングされないような条件に選択され
る。これは、下層に形成されたゲート電極や拡散層が露
出し、これらがエッチングされるのを防止するためであ
る。ここで、この3段階のエッチングにおけるエッチン
グ条件の具体的な一例を以下に挙げる。すなわち、第1
のエッチングにおけるエッチング条件は、エッチングガ
スとしてCl2 ガスとO2 ガスとの混合ガスを用い、そ
れらの流量をそれぞれ80sccmと12sccmと
し、圧力を0.4Pa、RFパワーを70Wとする。ま
た、第2のエッチングにおけるエッチング条件は、エッ
チングガスとしてCl2 ガスとO2 ガスとの混合ガスを
用い、それらの流量をそれぞれ80sccmと12sc
cmとし、圧力を0.4Pa、RFパワーを40Wとす
る。また、第3のエッチングにおけるエッチング条件
は、エッチングガスとしてHBrガスとO2 ガスとの混
合ガスを用い、それらの流量をそれぞれ100sccm
と10sccmとし、圧力を0.4Pa、RFパワーを
40Wとする。
Next, as shown in FIG. 4B, after forming a bit line-shaped resist pattern (not shown) on the WSi 2 film, the resist pattern is used as a mask to form a resist pattern using, for example, an ECR plasma etching apparatus. The bit line 23 is formed by performing stepwise etching. In this three-stage etching, first, a WSi 2 film is etched as a first etching, and a PSi is etched as a second etching.
Sidewall spacers 1 other than the side wall spacers 15 and 16 which become a part of the DAS film 21 and the bit line 23
4 to 18 are etched until the surface of the NSG film 13 starts to be exposed, and as a third etching, etching is performed so that the PDAS material does not remain. Thereby, W
The Si 2 film 22 and the PDAS film 21 are sequentially etched, and the side wall spacers 1 other than the side wall spacers 15 and 16 that become a part of the bit line 23 are formed.
4 to 18 are removed by etching. Note that the etching conditions in the three-stage etching are the side wall spacers 14 other than the side wall spacers 15 and 16.
To 18 and the PDAS film 21 are almost completely removed.
The condition is selected so that the SG film 13 is not etched. This is to prevent the gate electrode and the diffusion layer formed in the lower layer from being exposed and being etched. Here, a specific example of the etching conditions in the three-stage etching will be described below. That is, the first
The etching conditions for the etching are a mixed gas of Cl 2 gas and O 2 gas as an etching gas, the flow rates thereof are respectively 80 sccm and 12 sccm, the pressure is 0.4 Pa, and the RF power is 70 W. The etching conditions in the second etching were as follows: a mixed gas of Cl 2 gas and O 2 gas was used as an etching gas, and the flow rates thereof were 80 sccm and 12 sc, respectively.
cm, pressure 0.4 Pa, and RF power 40 W. The etching conditions in the third etching were such that a mixed gas of HBr gas and O 2 gas was used as an etching gas, and the flow rates thereof were each 100 sccm.
, 10 sccm, the pressure is 0.4 Pa, and the RF power is 40 W.

【0040】次に、図5に示すように、例えばプラズマ
CVD法により、全面を覆うようにして平坦化可能な例
えばSiO2 膜からなる層間絶縁膜24を形成する。
Next, as shown in FIG. 5, an interlayer insulating film 24 made of, for example, an SiO 2 film that can be planarized is formed so as to cover the entire surface by, for example, a plasma CVD method.

【0041】次に、図6に示すように、リソグラフィ工
程により層間絶縁膜24上に記憶ノードコンタクトの形
成部分に開口を有するレジストパターン(図示せず)を
形成した後、このレジストパターンをマスクとして、例
えばマグネトロンプラズマエッチング装置を用いて、層
間絶縁膜24をエッチングする。これにより、ビット線
の両側の部分の層間絶縁膜24に開口25、26が形成
される。ここで、この開口の形成におけるエッチング条
件の一例を挙げると、エッチングガスとして、3フッ化
メタン(CHF3 )ガス、O2 ガスおよびArガスの混
合ガスを用い、それらの流量をそれぞれ40sccm、
5sccmおよび50sccmとし、圧力を5.3P
a、RFパワーを1600Wとする。その後、レジスト
パターンを除去する。
Next, as shown in FIG. 6, a resist pattern (not shown) having an opening at a portion where a storage node contact is formed is formed on interlayer insulating film 24 by a lithography process, and this resist pattern is used as a mask. For example, the interlayer insulating film 24 is etched using a magnetron plasma etching apparatus. Thus, openings 25 and 26 are formed in the interlayer insulating film 24 on both sides of the bit line. Here, as an example of etching conditions in forming the opening, a mixed gas of methane trifluoride (CHF 3 ) gas, O 2 gas and Ar gas is used as an etching gas, and the flow rates thereof are respectively 40 sccm.
5 sccm and 50 sccm, pressure 5.3P
a, RF power is 1600 W. After that, the resist pattern is removed.

【0042】次に、例えば、TEOSガスを用いた減圧
CVD法により、全面にNSG膜27を形成する。この
NSG膜27の膜厚は例えば50nmである。そして、
このNSG膜27によって、開口25、26の底面およ
び内壁が覆われる。
Next, an NSG film 27 is formed on the entire surface by, for example, a low pressure CVD method using TEOS gas. The thickness of the NSG film 27 is, for example, 50 nm. And
The NSG film 27 covers the bottom surfaces and inner walls of the openings 25 and 26.

【0043】次に、図7に示すように、例えばプラズマ
CVD法により全面にPDAS膜を形成する。このPD
AS膜の膜厚は例えば100nmである。その後、この
PDAS膜を、例えばECRプラズマエッチング装置を
用いてエッチバックすることにより、開口25、26の
内壁にNSG膜27を介してそれぞれPDASからなる
サイドウォール28、29が形成される。ここで、この
エッチバック条件の一例を挙げると、エッチングガスと
してCl2 ガスとHeガスとの混合ガスを用い、それら
の流量をそれぞれ200sccmと100sccmと
し、圧力を1Pa、RFパワーを80Wとする。続い
て、例えばマグネトロンプラズマエッチング装置を用い
て、層間絶縁膜24上のNSG膜27をエッチングする
とともに、それぞれのサイドウォール28、29をマス
クとして、それぞれ開口25、26の底面上のNSG膜
27をエッチングする。これによって、それぞれの開口
25、26の内側にそれぞれ接続孔30、31が形成さ
れる。ここで、この接続孔30、31の形成におけるエ
ッチング条件の一例を挙げると、エッチングガスとして
CHF3 ガス、COガスおよびO2 ガスの混合ガスを用
い、それらの流量をそれぞれ20sccm、180sc
cmおよび2sccmとし、圧力を4Pa、RFパワー
を1500Wとする。
Next, as shown in FIG. 7, a PDOS film is formed on the entire surface by, for example, a plasma CVD method. This PD
The thickness of the AS film is, for example, 100 nm. Thereafter, the PDAS film is etched back by using, for example, an ECR plasma etching apparatus, whereby sidewalls 28 and 29 made of PDAS are formed on the inner walls of the openings 25 and 26 via the NSG film 27, respectively. Here, as an example of the etch-back conditions, a mixed gas of Cl 2 gas and He gas is used as an etching gas, the flow rates thereof are respectively 200 sccm and 100 sccm, the pressure is 1 Pa, and the RF power is 80 W. Subsequently, the NSG film 27 on the interlayer insulating film 24 is etched using, for example, a magnetron plasma etching apparatus, and the NSG film 27 on the bottom surfaces of the openings 25 and 26 is formed using the respective sidewalls 28 and 29 as masks. Etch. As a result, connection holes 30 and 31 are formed inside the openings 25 and 26, respectively. Here, as an example of the etching conditions in forming the connection holes 30 and 31, a mixed gas of CHF 3 gas, CO gas and O 2 gas is used as an etching gas, and the flow rates thereof are 20 sccm and 180 sc, respectively.
cm and 2 sccm, the pressure is 4 Pa, and the RF power is 1500 W.

【0044】次に、1%の希フッ酸(100:1、DH
F)を用いて、露出面の自然酸化膜(図示せず)を除去
する。
Next, 1% diluted hydrofluoric acid (100: 1, DH
Using F), the native oxide film (not shown) on the exposed surface is removed.

【0045】次に、図8に示すように、例えばCVD法
により、接続孔30、31の内部に埋め込むようにし
て、全面にPDAS膜を形成する。このPDAS膜の膜
厚は例えば300nmである。その後、このPDAS膜
を、例えばECRプラズマエッチング装置を用いてエッ
チバックすることにより、接続孔30、31の内部に、
それぞれPDASからなるコンタクトプラグ32、33
を残す。ここで、このコンタクトプラグ32、33の形
成におけるエッチング条件の一例を挙げると、エッチン
グガスとしてCl2 ガスと六フッ化硫黄(SF6 )ガス
との混合ガスを用い、それらの流量をそれぞれ105s
ccmと15sccmとし、圧力を0.4Pa、RFパ
ワーを35Wとする。
Next, as shown in FIG. 8, a PDAS film is formed on the entire surface by, for example, a CVD method so as to be embedded in the connection holes 30 and 31. The thickness of this PDAS film is, for example, 300 nm. After that, the PDAS film is etched back using, for example, an ECR plasma etching apparatus, so that the inside of the connection holes 30 and 31 is formed.
Contact plugs 32 and 33 each made of PDAS
Leave. Here, as an example of etching conditions for forming the contact plugs 32 and 33, a mixed gas of Cl 2 gas and sulfur hexafluoride (SF 6 ) gas is used as an etching gas, and the flow rates thereof are each set to 105 s.
ccm and 15 sccm, pressure 0.4 Pa, RF power 35 W.

【0046】次に、例えば水酸化カリウム(KOH)と
シリカを用いたCMP法により、層間絶縁膜24および
コンタクトプラグ32、33の上面を研磨することによ
り、表面平坦化を行う。次に、平坦化された層間絶縁膜
24およびコンタクトプラグ32、33上に、エッチン
グストッパ膜としての窒化シリコン(SiN)膜34を
形成する。このSiN膜34の膜厚は例えば50nmで
ある。
Next, the upper surfaces of the interlayer insulating film 24 and the contact plugs 32 and 33 are polished by, for example, a CMP method using potassium hydroxide (KOH) and silica, so that the surface is planarized. Next, a silicon nitride (SiN) film 34 as an etching stopper film is formed on the planarized interlayer insulating film 24 and the contact plugs 32 and 33. The thickness of the SiN film 34 is, for example, 50 nm.

【0047】次に、図9に示すように、O3 ガスとTE
OSガスとを用いたCVD法により、SiN膜34上の
全面に例えばSiO2 膜からなる犠牲酸化膜35を形成
する。この犠牲酸化膜35の膜厚は例えば600nmで
ある。
Next, as shown in FIG. 9, O 3 gas and TE
A sacrificial oxide film 35 made of, for example, a SiO 2 film is formed on the entire surface of the SiN film 34 by a CVD method using an OS gas. The thickness of the sacrificial oxide film 35 is, for example, 600 nm.

【0048】次に、図10に示すように、リソグラフィ
工程により、犠牲酸化膜35上に、記憶ノードの形成部
分に開口を有するレジストパターン(図示せず)を形成
した後、このレジストパターンをマスクとして、例えば
マグネトロンプラズマエッチング装置を用いて2段階の
エッチングを行うことにより、犠牲酸化膜35およびS
iN膜34に記憶ノード形成用の開口36、37を形成
する。この2段階のエッチングは、まず、第1のエッチ
ングとして、SiN膜34をエッチングストッパとした
犠牲酸化膜35のエッチングを行い、第2のエッチング
として、コンタクトプラグ32、33の上面が露出する
までSiN膜34のエッチングを行う。ここで、2段階
のエッチングにおけるエッチング条件の具体的な一例を
以下に挙げる。すなわち、第1のエッチングにおけるエ
ッチング条件は、エッチングガスとして八フッ化四炭素
(C4 8 )ガス、COガス、ArガスおよびO2 ガス
の混合ガスを用い、それらの流量をそれぞれ8scc
m、150sccm、200sccmおよび3sccm
とし、圧力を5.3Pa、RFパワーを1700Wとす
る。また、第2のエッチングにおけるエッチング条件
は、エッチングガスとしてCHF3 ガス、COガスおよ
びO2 ガスの混合ガスを用い、それらの流量をそれぞれ
40sccm、160sccmおよび14sccmと
し、圧力を5.3Pa、RFパワーを1000Wとす
る。その後、レジストパターンを除去する。
Next, as shown in FIG. 10, a resist pattern (not shown) having an opening at a portion where a storage node is formed is formed on the sacrificial oxide film 35 by a lithography process, and this resist pattern is masked. By performing two-stage etching using, for example, a magnetron plasma etching apparatus, the sacrificial oxide film 35 and S
Openings 36 and 37 for forming storage nodes are formed in the iN film 34. In this two-stage etching, first, as the first etching, the sacrificial oxide film 35 is etched using the SiN film 34 as an etching stopper, and as the second etching, the SiN film is etched until the upper surfaces of the contact plugs 32 and 33 are exposed. The film 34 is etched. Here, a specific example of the etching conditions in the two-stage etching will be described below. That is, the etching conditions in the first etching are as follows: a mixed gas of tetracarbon octafluoride (C 4 F 8 ) gas, CO gas, Ar gas and O 2 gas is used as the etching gas, and their flow rates are each 8 scc
m, 150 sccm, 200 sccm and 3 sccm
And the pressure is 5.3 Pa and the RF power is 1700 W. The etching conditions for the second etching were as follows: a mixed gas of CHF 3 gas, CO gas and O 2 gas was used as an etching gas, the flow rates thereof were 40 sccm, 160 sccm and 14 sccm, the pressure was 5.3 Pa, and the RF power was Is set to 1000 W. After that, the resist pattern is removed.

【0049】次に、表面に形成された自然酸化膜を除去
した後、例えばプラズマCVD法により全面にPDAS
膜38を形成する。このPDAS膜38は、その膜厚が
例えば100nmであり、犠牲酸化膜35の表面と開口
36、37の内壁および底面とに沿って形成される。そ
して、開口36、37の内部にそれぞれPDAS膜38
から構成される凹部36a、37aが形成される。
Next, after the natural oxide film formed on the surface is removed, the entire surface of the substrate is subjected to PDAS, for example, by a plasma CVD method.
A film 38 is formed. The PTAS film 38 has a thickness of, for example, 100 nm, and is formed along the surface of the sacrificial oxide film 35 and the inner walls and bottom surfaces of the openings 36 and 37. Then, the PDAS film 38 is provided inside the openings 36 and 37, respectively.
Are formed.

【0050】次に、例えばO3 ガスとTEOSガスとを
用いたプラズマCVD法により、凹部36a、37aの
内部に埋め込むようにして、全面にNSG膜39を形成
する。ここで、このNSG膜39の膜厚は、Si基板1
上の図示省略したアライメントマークなどの他のパター
ンの開口幅の大きさにより決定され、この第1の実施形
態においては、Si基板1上の他のパターンにおける開
口幅の最大値が例えば1μmであることから、膜厚は例
えば500nmに選ばれる。
Next, an NSG film 39 is formed on the entire surface so as to be buried in the recesses 36a and 37a by, for example, a plasma CVD method using an O 3 gas and a TEOS gas. Here, the thickness of the NSG film 39 is
It is determined by the size of the opening width of another pattern such as an alignment mark not shown above. In the first embodiment, the maximum value of the opening width in another pattern on the Si substrate 1 is, for example, 1 μm. Therefore, the film thickness is selected to be, for example, 500 nm.

【0051】続けて、例えば平行平板型プラズマエッチ
ング装置を用いて、PDAS膜38が露出するまでNS
G膜39をエッチバックすることにより、凹部36a、
37aの内部にNSG膜39の一部を埋め込む。ここ
で、このNSG膜39のエッチング条件の一例を挙げる
と、エッチングガスとしてCF4 ガスとArガスとの混
合ガスを用い、それらの流量をそれぞれ40sccm、
800sccmとし、圧力を240Pa、RFパワーを
1400Wとする。
Subsequently, NS is performed by using, for example, a parallel plate type plasma etching apparatus until the PDAS film 38 is exposed.
By etching back the G film 39, the concave portions 36a,
A part of the NSG film 39 is embedded in the inside of 37a. Here, as an example of the etching conditions of the NSG film 39, a mixed gas of CF 4 gas and Ar gas is used as an etching gas, and the flow rate thereof is 40 sccm, respectively.
800 sccm, the pressure is 240 Pa, and the RF power is 1400 W.

【0052】次に、例えば平行平板型等方性プラズマエ
ッチング装置などの等方性エッチング法を採用したエッ
チング装置を用いて、凹部36a、37aの内部に埋め
込まれたNSG膜38をマスクとして、犠牲酸化膜35
上に露出したPDAS膜38を等方的にエッチングする
ことにより除去する。このPDAS膜38に対する等方
性エッチングにおけるエッチング条件の一例を挙げる
と、平行平板型等方性プラズマエッチング装置における
下部電極の温度を120℃とし、エッチングガスとして
CF4 ガスとO2 ガスとの混合ガスを用い、それらの流
量をそれぞれ210sccmと90sccmとし、圧力
を70Pa、パワーを700Wとする。
Next, using an NSG film 38 buried in the recesses 36a and 37a as a mask, a sacrificial process is performed using an isotropic etching method such as a parallel plate type isotropic plasma etching device. Oxide film 35
The PDA film 38 exposed above is removed by isotropic etching. As an example of the etching conditions in the isotropic etching of the PDAS film 38, the temperature of the lower electrode in the parallel plate type isotropic plasma etching apparatus is set to 120 ° C., and a mixture of CF 4 gas and O 2 gas as etching gas Gases are used, the flow rates thereof are 210 sccm and 90 sccm, respectively, the pressure is 70 Pa, and the power is 700 W.

【0053】次に、図11に示すように、例えば10%
濃度のフッ酸(10:1、HF)を用いたウェットエッ
チング法により、SiN膜34をエッチングストッパと
して犠牲酸化膜35をエッチング除去するとともに、凹
部36a、37aの内部のNSG膜39をエッチング除
去する。これにより、断面がU字型をした、いわゆるシ
リンダ形状の記憶ノード40、41が残される。
Next, as shown in FIG.
By a wet etching method using hydrofluoric acid (10: 1, HF) with a concentration, the sacrificial oxide film 35 is etched away using the SiN film 34 as an etching stopper, and the NSG film 39 inside the concave portions 36a and 37a is etched away. . Thus, storage nodes 40 and 41 having a U-shaped cross section, that is, a so-called cylindrical shape are left.

【0054】このように、犠牲酸化膜35上に露出した
PDAS膜38に対して、等方性のエッチングを行うこ
とによって、従来のようにエッチングにより記憶ノード
40、41の上端に、カニツメ状の鋭角部が生じるのを
防止することができ、記憶ノード40、41として良好
な電極形状を得ることができる。
By performing isotropic etching on the PDES film 38 exposed on the sacrificial oxide film 35 in this manner, a crab-shaped claw is formed on the upper ends of the storage nodes 40 and 41 by conventional etching. The formation of sharp corners can be prevented, and favorable electrode shapes can be obtained as the storage nodes 40 and 41.

【0055】その後、図12に示すように、露出面を覆
うようにして、全面に窒化膜と酸化膜との積層膜、また
は窒化膜を酸化膜で挟んだ積層膜からなるキャパシタ用
絶縁膜42を形成する。次に、キャパシタ用絶縁膜42
を覆うようにして、キャパシタ用絶縁膜42上に多結晶
Si膜およびWSix 膜を順次形成することにより、ポ
リサイド構造の導電膜を形成する。その後、導電膜を下
層のキャパシタ用絶縁膜42およびSiN膜34ととも
に所定形状にパターンニングすることにより、ポリサイ
ド構造を有するプレート電極43が形成される。
Thereafter, as shown in FIG. 12, capacitor insulating film 42 made of a laminated film of a nitride film and an oxide film or a laminated film in which the nitride film is sandwiched between oxide films so as to cover the exposed surface. To form Next, the capacitor insulating film 42
The so as to cover, by sequentially forming a polycrystalline Si film and the WSi x film on the capacitor insulating film 42, a conductive film of polycide structure. Thereafter, the conductive film is patterned into a predetermined shape together with the underlying capacitor insulating film 42 and SiN film 34 to form a plate electrode 43 having a polycide structure.

【0056】次に、全面に例えばSiO2 膜からなる層
間絶縁膜44を形成する。その後、例えばCMP法によ
り、層間絶縁膜44を研磨して、その表面平坦化を行
う。
Next, an interlayer insulating film 44 made of, for example, a SiO 2 film is formed on the entire surface. Thereafter, the interlayer insulating film 44 is polished by, for example, a CMP method to planarize the surface.

【0057】次に、ロジック回路部において、選択的
に、所定部分の層間絶縁膜44および層間絶縁膜24を
エッチングすることにより、コンタクトホール45を形
成する。次に、このコンタクトホール45の内部に密着
層46を下地膜としてコンタクトプラグ47を埋め込
む。
Next, in the logic circuit portion, a predetermined portion of the interlayer insulating film 44 and the interlayer insulating film 24 are selectively etched to form a contact hole 45. Next, a contact plug 47 is buried inside the contact hole 45 using the adhesion layer 46 as a base film.

【0058】次に、例えばスパッタリング法により、層
間絶縁膜44上に例えばTiN/Ti膜からなる密着層
48、Al合金膜49およびTiN膜50を順次形成し
た後、例えばRIE法により、TiN膜50、Al合金
膜49および密着層48を、層間絶縁膜44の表面が露
出するまで順次エッチングする。これによって、層間絶
縁膜44上に積層構造の配線51が形成される。
Next, after an adhesion layer 48 made of, for example, a TiN / Ti film, an Al alloy film 49 and a TiN film 50 are sequentially formed on the interlayer insulating film 44 by, for example, a sputtering method, the TiN film 50 is formed by, for example, an RIE method. , Al alloy film 49 and adhesion layer 48 are sequentially etched until the surface of interlayer insulating film 44 is exposed. Thus, a wiring 51 having a laminated structure is formed on the interlayer insulating film 44.

【0059】その後、従来公知の方法により、層間絶縁
膜、接続孔および接続孔プラグを順次形成することによ
り、半導体装置が製造される。
Thereafter, a semiconductor device is manufactured by sequentially forming an interlayer insulating film, a connection hole and a connection hole plug by a conventionally known method.

【0060】以上説明したように、この第1の実施形態
による半導体装置の製造方法によれば、導電性を有する
PDASからなるサイドウォールスペーサ14〜18を
形成しレジストパターン19を除去した後、レジストパ
ターン19とサイドウォールスペーサ15、16とをマ
スクとして、接続孔20を形成していることにより、位
置合わせ余裕の大きいコンタクトを形成することができ
る。また、サイドウォールスペーサ14〜18のうちの
サイドウォールスペーサ15、16をビット線の一部と
して構成し、その上層に形成されたPDAS膜21のパ
ターンニングと同時に、不必要なサイドウォールスペー
サ14〜18を除去するようにしていることにより、サ
イドウォールスペーサ14〜18を除去する工程を別工
程で行う必要がなくなる。また、サイドウォールスペー
サとしてPDAS膜を用いていることにより、サイドウ
ォールスペーサ14〜18の除去の際に素子分離領域2
がエッチングされるのを防止することができるので、イ
オン注入の際に不純物が素子分離領域2を通過するのを
防止することができ、素子分離特性の悪化を防止するこ
とができる。また、犠牲酸化膜35に開口36、37を
形成した後、この開口36、37の底面および側壁を覆
うようにして全面にPDAS膜38を形成し、このPD
AS膜38から構成される凹部36a、37aの内部に
埋め込むようにして、NSG膜39を形成した後、等方
性エッチング法を用いて、PDAS膜38のうちの露出
した部分をエッチングして記憶ノード40、41を形成
していることにより、記憶ノード40、41の先端に鋭
角の部分が生じるのを防止することができるので、その
先端を丸める工程を追加する必要がなくなる。そして、
記憶ノード40、41の先端における電界の集中を防止
することができ、この記憶ノード40、41の形成後に
形成されるキャパシタ用絶縁膜の絶縁膜疲労を防止する
ことができる。そのため、信頼性の高いDRAMなどの
メモリセルを有する半導体装置を得ることができる。
As described above, according to the method for fabricating the semiconductor device according to the first embodiment, after forming the sidewall spacers 14 to 18 made of PDA having conductivity and removing the resist pattern 19, the resist is removed. By forming the connection hole 20 using the pattern 19 and the sidewall spacers 15 and 16 as a mask, a contact with a large margin for alignment can be formed. In addition, the side wall spacers 15 and 16 of the side wall spacers 14 to 18 are configured as a part of the bit line, and the unnecessary side wall spacers 14 to 18 are formed simultaneously with the patterning of the PDAS film 21 formed thereon. By removing 18, it is not necessary to perform the step of removing the sidewall spacers 14 to 18 in a separate step. Further, since the PDA film is used as the sidewall spacer, the element isolation region 2 can be removed when the sidewall spacers 14 to 18 are removed.
Can be prevented from being etched, so that impurities can be prevented from passing through the element isolation region 2 during ion implantation, and deterioration of element isolation characteristics can be prevented. After the openings 36 and 37 are formed in the sacrificial oxide film 35, a PTAS film 38 is formed on the entire surface so as to cover the bottom and side walls of the openings 36 and 37.
After the NSG film 39 is formed so as to be buried in the recesses 36a and 37a formed by the AS film 38, the exposed portion of the PDAS film 38 is etched and stored by using an isotropic etching method. By forming the nodes 40 and 41, it is possible to prevent an acute angle portion from being generated at the tips of the storage nodes 40 and 41, so that it is not necessary to add a step of rounding the tips. And
Concentration of an electric field at the tips of the storage nodes 40 and 41 can be prevented, and fatigue of the insulating film for a capacitor formed after the formation of the storage nodes 40 and 41 can be prevented. Therefore, a highly reliable semiconductor device having a memory cell such as a DRAM can be obtained.

【0061】次に、この発明の第2の実施形態によるD
RAMを有する半導体装置の製造方法について説明す
る。
Next, D according to the second embodiment of the present invention will be described.
A method for manufacturing a semiconductor device having a RAM will be described.

【0062】この第2の実施形態においては、第1の実
施形態におけると同様に、PDAS膜38の形成(図1
0参照)まで行った後、このPDAS膜38上に、例え
ばO3 ガスとTEOSガスとの混合ガスを用いたプラズ
マCVD法により、凹部36、37の内部に埋め込むよ
うにして、全面にNSG膜39を形成する。このNSG
膜39の膜厚は例えば500nmである。また、このN
SG膜39の形成の際の成膜温度は、少なくともPDA
S膜38の非晶質状態が保たれる温度範囲から選ばれ、
具体的には、430〜530℃から選ばれる。これによ
って、後述するHSGの形成が可能となる。
In the second embodiment, similarly to the first embodiment, the formation of the PDOS film 38 (FIG. 1)
0), an NSG film is formed on the entire surface of the PDA film 38 by, for example, a plasma CVD method using a mixed gas of O 3 gas and TEOS gas. Form 39. This NSG
The thickness of the film 39 is, for example, 500 nm. Also, this N
The deposition temperature for forming the SG film 39 is at least PDA
Selected from a temperature range in which the amorphous state of the S film 38 is maintained;
Specifically, it is selected from 430 to 530 ° C. This enables formation of HSG, which will be described later.

【0063】その後、第1の実施形態と同様にして、N
SG膜39をエッチバックし、さらに、凹部36a、3
7aに埋め込まれたNSG膜39をマスクとして、露出
したPDAS膜38を等方的にエッチングすることによ
り除去する。その後、ウェットエッチング法により、S
iN膜34をエッチングストッパとして犠牲酸化膜35
をエッチング除去するとともに、凹部36a、37aの
内部のNSG膜39をエッチング除去する。これによ
り、断面がU字型をしたシリンダ形状の記憶ノード4
0、41が残される。
Thereafter, as in the first embodiment, N
The SG film 39 is etched back.
Using the NSG film 39 buried in 7a as a mask, the exposed PRAS film 38 is removed by isotropic etching. Then, by wet etching,
Sacrificial oxide film 35 using iN film 34 as an etching stopper
And the NSG film 39 inside the recesses 36a and 37a is etched away. Thereby, the storage node 4 having a U-shaped cross section and a cylindrical shape is formed.
0 and 41 are left.

【0064】次に、記憶ノード40、41の表面にHS
Gを形成する。すなわち、まず、Si基板1を反応チャ
ンバ(図示せず)内に搬入した後、この反応チャンバ内
に例えばSiH4 ガスを供給することにより、PDAS
膜38から構成される記憶ノード40、41の露出面に
Siグレインの核(図示せず)を形成する。ここで、こ
のSiグレインの核の形成条件の一例を挙げると、加熱
温度を560℃とし、圧力を1×10-3Torrとす
る。
Next, HS is applied to the surfaces of the storage nodes 40 and 41.
G is formed. That is, first, the Si substrate 1 is loaded into a reaction chamber (not shown), and then, for example, a SiH 4 gas is supplied into the reaction chamber, thereby the PDAS
A nucleus of Si grains (not shown) is formed on the exposed surfaces of the storage nodes 40 and 41 composed of the film 38. Here, as an example of conditions for forming the nuclei of the Si grains, the heating temperature is 560 ° C., and the pressure is 1 × 10 −3 Torr.

【0065】次に、Siグレインの核が形成された段階
で、反応チャンバ内へのSiH4 ガスの供給を停止し、
例えば1×10-8Torr程度の高真空中においてSi
基板1を加熱する。これにより、記憶ノード40、41
の露出面に、Siグレインの核を中心としてHSG40
a、41aが形成される。これらのHSG40a、41
aの形成においては、加熱温度を560℃とする。そし
て、記憶ノード40、41の表面積は、HSG40a、
41aの形成前後において約2〜2.6倍に増加する。
Next, when the nuclei of the Si grains are formed, the supply of the SiH 4 gas into the reaction chamber is stopped.
For example, in a high vacuum of about 1 × 10 −8 Torr,
The substrate 1 is heated. Thereby, the storage nodes 40 and 41
HSG40 centered on the Si grain nucleus
a, 41a are formed. These HSGs 40a, 41
In forming a, the heating temperature is 560 ° C. The surface area of the storage nodes 40 and 41 is HSG 40a,
It increases about 2-2.6 times before and after the formation of 41a.

【0066】その後、第1の実施形態と同様にして、キ
ャパシタ用絶縁膜、層間絶縁膜および配線を形成し、所
望のDRAMを有する半導体装置が製造される。
Thereafter, in the same manner as in the first embodiment, a capacitor insulating film, an interlayer insulating film, and wiring are formed, and a semiconductor device having a desired DRAM is manufactured.

【0067】以上説明したように、この第2の実施形態
による半導体装置の製造方法によれば、第1の実施形態
におけると同様にしてPDAS膜38からなる記憶ノー
ド40、41の形成まで行っていることにより、第1の
実施形態と同様の効果を得ることができる。これととも
に、NSG膜39を、430〜530℃の温度範囲内に
おいて形成していることにより、少なくとも、HSGを
形成するまでPDAS膜38の非晶質状態を維持するこ
とができるので、このPDAS膜38から構成される記
憶ノード40、41の表面にそれぞれHSG40a、4
1aを良好に形成することが可能になる。また、HSG
40a、41aを形成して、記憶ノード40、41の表
面積を約2〜2.6倍に増加させることができるため、
HSGを形成しない場合と比較して、キャパシタ用電極
における記憶ノード40、41の高さを低くすることが
できる。これによって、第1の実施形態における層間絶
縁膜44などの、その上層に形成される層間絶縁膜の段
差を小さくすることができるので、層間絶縁膜の表面平
坦化を容易に行うことができる。
As described above, according to the method of manufacturing the semiconductor device according to the second embodiment, the processes up to the formation of the storage nodes 40 and 41 made of the PDAS film 38 are performed in the same manner as in the first embodiment. Accordingly, the same effect as in the first embodiment can be obtained. At the same time, since the NSG film 39 is formed within a temperature range of 430 to 530 ° C., the amorphous state of the PTAS film 38 can be maintained at least until the HSG is formed. HSGs 40a, 40g,
1a can be formed favorably. Also, HSG
40a, 41a can be formed to increase the surface area of the storage nodes 40, 41 by about 2-2.6 times,
The height of the storage nodes 40 and 41 in the capacitor electrode can be reduced as compared with the case where the HSG is not formed. Thereby, the step of the interlayer insulating film formed thereover, such as the interlayer insulating film 44 in the first embodiment, can be reduced, so that the surface of the interlayer insulating film can be easily flattened.

【0068】以上、この発明の実施形態について具体的
に説明したが、この発明は、上述の実施形態に限定され
るものではなく、この発明の技術的思想に基づく各種の
変形が可能である。
Although the embodiments of the present invention have been specifically described above, the present invention is not limited to the above-described embodiments, and various modifications based on the technical idea of the present invention are possible.

【0069】例えば、上述の実施形態において挙げた数
値はあくまでも例に過ぎず、必要に応じてこれと異なる
数値を用いてもよい。
For example, the numerical values given in the above embodiments are merely examples, and different numerical values may be used as needed.

【0070】また、例えば上述の第1の実施形態におい
ては、サイドウォールスペーサ14〜18としてPDA
S膜を用いているが、サイドウォールスペーサとして、
多結晶Si膜、不純物をドープした多結晶Si膜、また
はノンドープの非晶質Si膜を用いることも可能であ
る。
In the first embodiment, for example, the PDA is used as the side wall spacers 14-18.
Although an S film is used, as a sidewall spacer,
It is also possible to use a polycrystalline Si film, a polycrystalline Si film doped with impurities, or a non-doped amorphous Si film.

【0071】また、例えば上述の第1の実施形態におい
ては、プレート電極43および層間絶縁膜44を順次形
成した後、CMP法により層間絶縁膜44表面の平坦化
を行い、その上層に配線を形成しているが、プレート電
極43および層間絶縁膜44を順次形成した後、CMP
法により、プレート電極43の表面を研磨ストッパとし
て研磨を行った後、プレート電極43および層間絶縁膜
44の上面に新たに層間絶縁膜を形成し、この層間絶縁
膜の平坦化を行った後、その上層に配線を形成するよう
にしてもよい。
In the first embodiment, for example, after the plate electrode 43 and the interlayer insulating film 44 are sequentially formed, the surface of the interlayer insulating film 44 is planarized by the CMP method, and the wiring is formed on the upper layer. After the plate electrode 43 and the interlayer insulating film 44 are sequentially formed,
After polishing is performed by using the surface of the plate electrode 43 as a polishing stopper by a method, a new interlayer insulating film is formed on the upper surfaces of the plate electrode 43 and the interlayer insulating film 44, and the interlayer insulating film is planarized. A wiring may be formed on the upper layer.

【0072】また、例えば上述の第1の実施形態におい
ては、プレート電極43の材料としてWSix を用いて
いるが、プレート電極43として多結晶Si膜およびW
Six 膜を順次形成したポリサイド構造にすることも可
能であり、Ti膜やTiN膜を含む膜、あるいはW膜を
用いることも可能である。
[0072] Also, for example, in the first embodiment described above, although using the WSi x as the material of the plate electrode 43, a polycrystalline Si film and W as a plate electrode 43
Si x film is also possible to sequentially form the polycide structure, it is also possible to use a film including a Ti film and TiN film or W film.

【0073】[0073]

【発明の効果】以上説明したように、この発明の第1の
発明によれば、第1の絶縁膜に凹部を形成し、この凹部
の形状に沿って導電膜を形成し、導電膜によって構成さ
れる凹部に第2の絶縁膜を埋め込み、第2の絶縁膜をマ
スクとして導電膜をエッチングする場合に、等方性エッ
チング法により導電膜をエッチングしていることによ
り、導電膜の凹部の上端にカニツメ状の鋭角の部分が生
じるのを防止することができるので、この上層に形成さ
れる絶縁膜の絶縁膜疲労や接合リークを防止することが
できる。したがって、この導電膜をDRAMのキャパシ
タにおける下部電極として用いた場合に、信頼性の高い
DRAMを有する半導体装置を得ることができる。
As described above, according to the first aspect of the present invention, a concave portion is formed in the first insulating film, and a conductive film is formed along the shape of the concave portion. When the conductive film is etched using the second insulating film as a mask and the conductive film is etched using the second insulating film as a mask, the conductive film is etched by the isotropic etching method. Since it is possible to prevent the formation of a crab-shaped acute angle portion, fatigue of the insulating film formed on the upper layer and junction leakage can be prevented. Therefore, when this conductive film is used as a lower electrode of a DRAM capacitor, a highly reliable semiconductor device having a DRAM can be obtained.

【0074】また、この発明の第2の発明によれば、半
導体基板上に形成された導電性を有する第1のパターン
を覆うようにして、第1の絶縁膜を形成し、第1のパタ
ーンの側壁面に第1の絶縁膜を介して導電性を有するサ
イドウォールを形成し、少なくともサイドウォール上
に、このサイドウォールと接続するようにして導電性を
有する第2のパターンを形成するようにしていることに
より、第2のパターンとサイドウォールとにより配線な
どのパターンを構成することができ、この第2のパター
ンを下層の導電層などにコンタクトさせる場合の合わせ
余裕度を向上させることができる。また、第2のパター
ンのパターンニングの際に不必要なサイドウォールを同
時に除去することができるので、導電性を有するサイド
ウォールの除去の工程を削減することができる。
Further, according to the second aspect of the present invention, the first insulating film is formed so as to cover the conductive first pattern formed on the semiconductor substrate. A sidewall having conductivity is formed on a side wall surface of the first insulating film via a first insulating film, and a second pattern having conductivity is formed on at least the sidewall so as to be connected to the sidewall. Accordingly, a pattern such as a wiring can be formed by the second pattern and the side wall, and the alignment margin when the second pattern is brought into contact with a lower conductive layer or the like can be improved. . Further, unnecessary sidewalls can be removed at the same time as patterning of the second pattern, so that the number of steps for removing the conductive sidewalls can be reduced.

【図面の簡単な説明】[Brief description of the drawings]

【図1】この発明の第1の実施形態による半導体装置の
製造方法を説明するための断面図である。
FIG. 1 is a sectional view for explaining a method for manufacturing a semiconductor device according to a first embodiment of the present invention;

【図2】この発明の第1の実施形態による半導体装置の
製造方法を説明するための断面図である。
FIG. 2 is a sectional view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention;

【図3】この発明の第1の実施形態による半導体装置の
製造方法を説明するための断面図である。
FIG. 3 is a sectional view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention;

【図4】この発明の第1の実施形態による半導体装置の
製造方法を説明するための断面図である。
FIG. 4 is a sectional view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention;

【図5】この発明の第1の実施形態による半導体装置の
製造方法を説明するための断面図である。
FIG. 5 is a sectional view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention;

【図6】この発明の第1の実施形態による半導体装置の
製造方法を説明するための断面図である。
FIG. 6 is a sectional view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention;

【図7】この発明の第1の実施形態による半導体装置の
製造方法を説明するための断面図である。
FIG. 7 is a sectional view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention;

【図8】この発明の第1の実施形態による半導体装置の
製造方法を説明するための断面図である。
FIG. 8 is a sectional view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention;

【図9】この発明の第1の実施形態による半導体装置の
製造方法を説明するための断面図である。
FIG. 9 is a sectional view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention;

【図10】この発明の第1の実施形態による半導体装置
の製造方法を説明するための断面図である。
FIG. 10 is a sectional view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention;

【図11】この発明の第1の実施形態による半導体装置
の製造方法を説明するための断面図である。
FIG. 11 is a sectional view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention;

【図12】この発明の第1の実施形態による半導体装置
の製造方法を説明するための断面図である。
FIG. 12 is a sectional view for explaining the method for manufacturing the semiconductor device according to the first embodiment of the present invention;

【図13】この発明の第2の実施形態による半導体装置
の製造方法を説明するための断面図である。
FIG. 13 is a cross-sectional view for explaining the method for manufacturing the semiconductor device according to the second embodiment of the present invention.

【図14】従来技術による問題点を説明するための断面
図である。
FIG. 14 is a cross-sectional view for explaining a problem according to the related art.

【符号の説明】[Explanation of symbols]

2・・・素子分離領域、4、21、38・・・PDAS
膜、6・・・ゲート電極、7、8、9、10・・・ワー
ド線、13、27、39・・・NSG膜、14、15、
16、17、18・・・サイドウォールスペーサ、23
・・・ビット線、35・・・犠牲酸化膜、36、37・
・・開口、36a、37a・・・凹部、40、41・・
・記憶ノード、40a、41a・・・HSG
2 ・ ・ ・ Element isolation region, 4, 21, 38 ・ ・ ・ PDAS
Film, 6 ... gate electrode, 7, 8, 9, 10 ... word line, 13, 27, 39 ... NSG film, 14, 15,
16, 17, 18 ... sidewall spacers, 23
... Bit line, 35 ... Sacrificial oxide film, 36,37
..Openings, 36a, 37a ... concave portions, 40, 41
.Storage nodes, 40a, 41a... HSG

───────────────────────────────────────────────────── フロントページの続き (72)発明者 的場 義久 東京都品川区北品川6丁目7番35号 ソニ ー株式会社内 Fターム(参考) 5F004 AA11 BA13 BA14 CA01 DA00 DA01 DA04 DA16 DA18 DA22 DA23 DA26 DA30 DB00 DB02 DB03 DB12 DB17 DB28 DB30 EA10 EA12 EA23 EA28 EA33 EB01 EB02 EB03 EB08 FA02 5F083 AD24 GA06 GA21 JA04 JA32 JA33 JA35 KA05 MA06 MA17 NA02 PR03 PR05 PR07 PR12 PR21 PR36 PR39 PR40  ────────────────────────────────────────────────── ─── Continuing on the front page (72) Inventor Yoshihisa Matoba 6-7-35 Kita-Shinagawa, Shinagawa-ku, Tokyo Sony Corporation F-term (reference) 5F004 AA11 BA13 BA14 CA01 DA00 DA01 DA04 DA16 DA18 DA22 DA23 DA26 DA30 DB00 DB02 DB03 DB12 DB17 DB28 DB30 EA10 EA12 EA23 EA28 EA33 EB01 EB02 EB03 EB08 FA02 5F083 AD24 GA06 GA21 JA04 JA32 JA33 JA35 KA05 MA06 MA17 NA02 PR03 PR05 PR07 PR12 PR21 PR36 PR39 PR40

Claims (19)

【特許請求の範囲】[Claims] 【請求項1】 第1の絶縁膜に凹部を形成する工程と、 上記凹部の形状に沿って導電膜を形成する工程と、 上記導電膜によって構成される凹部に第2の絶縁膜を埋
め込む工程と、 上記第2の絶縁膜をマスクとして上記導電膜をエッチン
グする工程とを有する半導体装置の製造方法であって、 等方性エッチング法により上記導電膜の上記エッチング
を行うようにしたことを特徴とする半導体装置の製造方
法。
A step of forming a recess in the first insulating film; a step of forming a conductive film along the shape of the recess; and a step of embedding a second insulating film in the recess formed by the conductive film. And a step of etching the conductive film using the second insulating film as a mask, wherein the etching of the conductive film is performed by an isotropic etching method. Manufacturing method of a semiconductor device.
【請求項2】 上記半導体装置がキャパシタを有し、上
記導電膜により上記キャパシタの電極を構成するように
したことを特徴とする請求項1記載の半導体装置の製造
方法。
2. The method for manufacturing a semiconductor device according to claim 1, wherein said semiconductor device has a capacitor, and said conductive film forms an electrode of said capacitor.
【請求項3】 上記導電膜の上記エッチングを行った
後、上記第1の絶縁膜および上記第2の絶縁膜を除去す
ることにより、断面がU字型をした上記電極を形成する
ようにしたことを特徴とする請求項2記載の半導体装置
の製造方法。
3. After performing the etching of the conductive film, the first insulating film and the second insulating film are removed to form the electrode having a U-shaped cross section. 3. The method for manufacturing a semiconductor device according to claim 2, wherein:
【請求項4】 上記等方性エッチング法がプラズマエッ
チング法であることを特徴とする請求項1記載の半導体
装置の製造方法。
4. The method according to claim 1, wherein said isotropic etching method is a plasma etching method.
【請求項5】 上記第2の絶縁膜を、上記導電膜の形成
温度以下の温度で形成するようにしたことを特徴とする
請求項1記載の半導体装置の製造方法。
5. The method according to claim 1, wherein said second insulating film is formed at a temperature equal to or lower than a temperature at which said conductive film is formed.
【請求項6】 上記導電膜が、不純物がドープされた非
晶質半導体膜であることを特徴とする請求項1記載の半
導体装置の製造方法。
6. The method according to claim 1, wherein the conductive film is an amorphous semiconductor film doped with an impurity.
【請求項7】 上記非晶質半導体膜の表面に半球状グレ
インを形成するようにしたことを特徴とする請求項6記
載の半導体装置の製造方法。
7. The method according to claim 6, wherein hemispherical grains are formed on the surface of the amorphous semiconductor film.
【請求項8】 上記第2の絶縁膜を、上記導電膜の形成
における形成温度以下で形成するようにしたことを特徴
とする請求項6記載の半導体装置の製造方法。
8. The method of manufacturing a semiconductor device according to claim 6, wherein said second insulating film is formed at a temperature not higher than a temperature at which said conductive film is formed.
【請求項9】 上記非晶質半導体膜が非晶質シリコン膜
であり、上記第2の絶縁膜を430℃以上530℃以下
の温度で形成するようにしたことを特徴とする請求項6
記載の半導体装置の製造方法。
9. The semiconductor device according to claim 6, wherein said amorphous semiconductor film is an amorphous silicon film, and said second insulating film is formed at a temperature of 430 ° C. or more and 530 ° C. or less.
The manufacturing method of the semiconductor device described in the above.
【請求項10】 半導体基板上に導電性を有する第1の
パターンを形成する工程と、 上記第1のパターンを覆うようにして、上記半導体基板
上に第1の絶縁膜を形成する工程と、 上記第1のパターンの側壁面に上記第1の絶縁膜を介し
て導電性を有するサイドウォールを形成する工程と、 少なくとも上記サイドウォール上に、上記サイドウォー
ルと接続するようにして導電性を有する第2のパターン
を形成する工程とを有することを特徴とする半導体装置
の製造方法。
10. A step of forming a first pattern having conductivity on a semiconductor substrate; a step of forming a first insulating film on the semiconductor substrate so as to cover the first pattern; Forming a sidewall having conductivity on the side wall surface of the first pattern via the first insulating film; and having conductivity on at least the sidewall so as to be connected to the sidewall. Forming a second pattern.
【請求項11】 上記半導体装置がダイナミックRAM
を有し、上記第2のパターンと、上記第2のパターンに
接続された上記サイドウォールとにより、上記ダイナミ
ックRAMのビット線を構成するようにしたことを特徴
とする請求項10記載の半導体装置の製造方法。
11. The semiconductor device according to claim 1, wherein the semiconductor device is a dynamic RAM.
11. The semiconductor device according to claim 10, wherein a bit line of said dynamic RAM is constituted by said second pattern and said sidewall connected to said second pattern. Manufacturing method.
【請求項12】 上記半導体装置がダイナミックRAM
を有し、上記第1のパターンが上記ダイナミックRAM
のワード線を構成していることを特徴とする請求項10
記載の半導体装置の製造方法。
12. The semiconductor device according to claim 1, wherein the semiconductor device is a dynamic RAM.
Wherein the first pattern is the dynamic RAM
11. The word line according to claim 10, wherein
The manufacturing method of the semiconductor device described in the above.
【請求項13】 上記サイドウォールを形成する工程の
後、上記第2のパターンを形成する工程の前に、上記第
1の絶縁膜上および上記サイドウォール上にレジストパ
ターンを形成し、上記レジストパターンおよび上記サイ
ドウォールをマスクとして少なくとも上記第1の絶縁膜
をエッチングすることにより、上記第1の絶縁膜に接続
孔を形成する工程を有することを特徴とする請求項10
記載の半導体装置の製造方法。
13. A resist pattern is formed on the first insulating film and the sidewall after the step of forming the sidewall and before the step of forming the second pattern. 11. The method according to claim 10, further comprising a step of forming a connection hole in the first insulating film by etching at least the first insulating film using the sidewall as a mask.
The manufacturing method of the semiconductor device described in the above.
【請求項14】 上記サイドウォールが多結晶半導体か
らなることを特徴とする請求項10記載の半導体装置の
製造方法。
14. The method according to claim 10, wherein said sidewall is made of a polycrystalline semiconductor.
【請求項15】 上記サイドウォールが、多結晶シリコ
ンからなることを特徴とする請求項10記載の半導体装
置の製造方法。
15. The method according to claim 10, wherein the sidewall is made of polycrystalline silicon.
【請求項16】 上記サイドウォールが、不純物がドー
プされた多結晶シリコンからなることを特徴とする請求
項10記載の半導体装置の製造方法。
16. The method according to claim 10, wherein said sidewall is made of polycrystalline silicon doped with an impurity.
【請求項17】 上記サイドウォールが非晶質半導体か
らなることを特徴とする請求項10記載の半導体装置の
製造方法。
17. The method according to claim 10, wherein said sidewall is made of an amorphous semiconductor.
【請求項18】 上記サイドウォールが非晶質シリコン
からなることを特徴とする請求項10記載の半導体装置
の製造方法。
18. The method according to claim 10, wherein said sidewall is made of amorphous silicon.
【請求項19】 上記サイドウォールが、不純物がドー
プされた非晶質シリコンからなることを特徴とする請求
項10記載の半導体装置の製造方法。
19. The method of manufacturing a semiconductor device according to claim 10, wherein said sidewall is made of amorphous silicon doped with an impurity.
JP11192986A 1999-07-07 1999-07-07 Manufacture of semiconductor device Pending JP2001024166A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11192986A JP2001024166A (en) 1999-07-07 1999-07-07 Manufacture of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11192986A JP2001024166A (en) 1999-07-07 1999-07-07 Manufacture of semiconductor device

Publications (1)

Publication Number Publication Date
JP2001024166A true JP2001024166A (en) 2001-01-26

Family

ID=16300340

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11192986A Pending JP2001024166A (en) 1999-07-07 1999-07-07 Manufacture of semiconductor device

Country Status (1)

Country Link
JP (1) JP2001024166A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112201748A (en) * 2020-09-27 2021-01-08 昕原半导体(上海)有限公司 Preparation method of tungsten film of resistive random access memory

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112201748A (en) * 2020-09-27 2021-01-08 昕原半导体(上海)有限公司 Preparation method of tungsten film of resistive random access memory
CN112201748B (en) * 2020-09-27 2024-04-16 昕原半导体(上海)有限公司 Preparation method of tungsten film of resistive random access memory

Similar Documents

Publication Publication Date Title
KR100553839B1 (en) Capacitor, Method for manufacturing the capacitor, Semiconductor device including the capacitor, and Method for manufacturing the semiconductor device
KR100568733B1 (en) Capacitor having enhanced structural stability, Method of manufacturing the capacitor, Semiconductor device having the capacitor, and Method of manufacturing the semiconductor device
US5780339A (en) Method for fabricating a semiconductor memory cell in a DRAM
US5223729A (en) Semiconductor device and a method of producing the same
US20050253179A1 (en) Capacitor and method of manufacturing the same
US7741682B2 (en) Semiconductor integrated circuit device including a silicon layer formed on a diffusion layer
US7629218B2 (en) Method of manufacturing a capacitor and method of manufacturing a semiconductor device using the same
KR100375218B1 (en) Methods of fabricating a semiconductor device using an anti-reflective layer and a self-aligned contact technique and semiconductor devices fabricated thereby
US6548349B2 (en) Method for fabricating a cylinder-type capacitor for a semiconductor device
KR20040105949A (en) Method for fabrication of semiconductor device
KR100302930B1 (en) etching method
US5885866A (en) Self-registered cylindrical capacitor of high density DRAMs
US7105453B2 (en) Method for forming contact holes
US6281073B1 (en) Method for fabricating dynamic random access memory cell
US6548348B1 (en) Method of forming a storage node contact hole in a porous insulator layer
US6680254B2 (en) Method of fabricating bit line and bit line contact plug of a memory cell
JPH077088A (en) Capacitor semiconductor device and its manufacture
JP2001024166A (en) Manufacture of semiconductor device
JP4328396B2 (en) Manufacturing method of memory cell in DRAM
US7109080B2 (en) Method of forming capacitor over bitline contact
JP2004172567A (en) Manufacturing method of semiconductor element
KR20040057485A (en) Method for fabricating semiconductor device
US6319790B1 (en) Process for fabricating semiconductor device with multiple cylindrical capacitor
JPH10303384A (en) Method for producing semiconductor device
JP2944990B2 (en) Manufacturing method of crown type capacitor

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20041222

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20050111