JP2000232166A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JP2000232166A
JP2000232166A JP11033092A JP3309299A JP2000232166A JP 2000232166 A JP2000232166 A JP 2000232166A JP 11033092 A JP11033092 A JP 11033092A JP 3309299 A JP3309299 A JP 3309299A JP 2000232166 A JP2000232166 A JP 2000232166A
Authority
JP
Japan
Prior art keywords
forming
layer
gate electrode
sidewall
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP11033092A
Other languages
Japanese (ja)
Inventor
Fumio Otake
文雄 大竹
Yasuo Nara
安雄 奈良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP11033092A priority Critical patent/JP2000232166A/en
Publication of JP2000232166A publication Critical patent/JP2000232166A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a semiconductor device manufacturing method by which the short-channel effect of a CMOS transistor can be suppressed and the current driving ability of the transistor can be improved, and then, the density of the transistor can be increased. SOLUTION: A semiconductor device manufacturing method includes a step for selectively introducing an n-type impurity to an nMOS transistor forming area 1 by using a gate electrode 5 as a make, a step for forming first side walls 7 on the side faces of gate electrodes 5, and a step for selectively introducing a p-type impurity to a pMOS transistor forming area 2 by using another gate electrode 5 and first side walls 7 formed on the side faces of the electrode 5 as masks. The method also includes a step for forming second side walls 9 which are narrower in width than the first side walls 7 on the side faces of the electrodes 5 after removing the first side walls 7, and a step for selectively introducing the n- and p-type impurities to the nMOS and pMOS transistor forming areas 1 and 2, respectively, by using the gate electrodes 5 and second side walls 9 as masks.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は半導体装置の製造方
法に係り、特にCMOSトランジスタの高密度化・高速
化を可能にする半導体装置の製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a semiconductor device capable of increasing the density and speed of a CMOS transistor.

【0002】近年、コンピュータを始めとする様々な電
子機器の低消費電力化・高速化を進める上でCMOSト
ランジスタの重要性が増しているが、CMOSトランジ
スタはnMOSトランジスタとpMOSトランジスタを
同一基板上に形成するため、それぞれ個別に形成する場
合に比べてデバイスパラメータを最適化することが難し
く特性低下等の問題が生じその解決が望まれている。
2. Description of the Related Art In recent years, the importance of CMOS transistors has been increasing in promoting low power consumption and high speed of various electronic devices such as computers. However, CMOS transistors include nMOS transistors and pMOS transistors on the same substrate. Since it is formed, it is more difficult to optimize the device parameters than in the case of forming each individually, and there arises a problem such as deterioration of characteristics, and a solution to the problem is desired.

【0003】[0003]

【従来の技術】図5及び図6は従来のCMOSトランジ
スタの製造工程の要部を示す断面図である。まず、図5
(a) に示したように、半導体基板にnMOSトランジス
タ形成領域21とpMOSトランジスタ形成領域22及び素
子分離領域23を形成し、nMOSトランジスタ形成領域
21とpMOSトランジスタ形成領域22上にはゲート酸化
膜24とゲート電極25を形成する。
2. Description of the Related Art FIGS. 5 and 6 are cross-sectional views showing the main parts of a conventional CMOS transistor manufacturing process. First, FIG.
As shown in (a), an nMOS transistor formation region 21, a pMOS transistor formation region 22, and an element isolation region 23 are formed on a semiconductor substrate, and the nMOS transistor formation region
A gate oxide film 24 and a gate electrode 25 are formed on the region 21 and the pMOS transistor formation region 22.

【0004】ついで、図5(b) に示したように、ゲート
電極25をマスクとしてnMOSトランジスタ形成領域21
に加速エネルギー10 KeV、注入量1×1014cm-2の条件で
砒素(As)を選択的にイオン注入しnMOSトランジス
タのLDD(Lightly Doped Drain) 領域となるn- 層26
を形成する。
[0005] Next, as shown in FIG. 5 (b), the nMOS transistor formation region 21 is formed using the gate electrode 25 as a mask.
Arsenic (As) is selectively ion-implanted under the conditions of an acceleration energy of 10 KeV and an implantation amount of 1 × 10 14 cm −2 to form an n layer 26 which becomes an LDD (Lightly Doped Drain) region of an nMOS transistor.
To form

【0005】ついで、図5(c) に示したように、ゲート
電極25をマスクとしてpMOSトランジスタ形成領域22
に加速エネルギー10 KeV、注入量1×1014cm-2の条件で
弗化ボロン(BF2)を選択的にイオン注入しpMOSトラ
ンジスタのLDD領域となるp- 層27を形成する。
Then, as shown in FIG. 5C, the pMOS transistor formation region 22 is formed using the gate electrode 25 as a mask.
Then, boron fluoride (BF 2 ) is selectively ion-implanted under the conditions of an acceleration energy of 10 KeV and an implantation amount of 1 × 10 14 cm −2 to form a p layer 27 which becomes an LDD region of a pMOS transistor.

【0006】ついで、図6(a) に示したように、シリコ
ン酸化膜を全面に堆積した後異方性ドライエッチングに
よりゲート電極25の側面に幅 160 nm 程度のサイドウォ
ール28を形成する。
Next, as shown in FIG. 6A, a sidewall 28 having a width of about 160 nm is formed on the side surface of the gate electrode 25 by anisotropic dry etching after depositing a silicon oxide film on the entire surface.

【0007】ついで、図6(b) に示したように、ゲート
電極25とサイドウォール28をマスクとしてnMOSトラ
ンジスタ形成領域21に加速エネルギー10 KeV、注入量4
×10 15cm-2の条件でAsを選択的にイオン注入しnMOS
トランジスタのS/D(Source/Drain)領域となるn+
29を形成する。
[0007] Next, as shown in FIG.
The nMOS transistor is formed by using the electrode 25 and the sidewall 28 as a mask.
Acceleration energy 10 KeV, implantation amount 4 in transistor formation region 21
× 10 15cm-2Selectively implant As ions under the conditions of
N which becomes the S / D (Source / Drain) region of the transistor+layer
Form 29.

【0008】ついで、図6(c) に示したように、ゲート
電極25とサイドウォール28をマスクとしてpMOSトラ
ンジスタ形成領域22に加速エネルギー10 KeV、注入量5
×10 15cm-2の条件でBF2 を選択的にイオン注入しpMO
SトランジスタのS/D領域となるp+ 層30を形成す
る。
Next, as shown in FIG.
Using the electrode 25 and the sidewall 28 as a mask, the pMOS transistor
Acceleration energy 10 KeV, implantation amount 5 in transistor formation region 22
× 10 15cm-2BF under the conditionTwoIs selectively ion-implanted and pMO
P serving as the S / D region of the S transistor+Form layer 30
You.

【0009】最後に、1000℃、10秒間の熱処理(RTA
処理)を行ってイオン注入した不純物を活性化させ、こ
れによりゲート電極25、n- 層26、n+ 層29、p- 層2
7、p + 層30を低抵抗化する。
Finally, heat treatment at 1000 ° C. for 10 seconds (RTA)
Treatment) to activate the ion-implanted impurities.
As a result, the gate electrode 25, n-Layer 26, n+Layer 29, p-Tier 2
7, p +The resistance of the layer 30 is reduced.

【0010】[0010]

【発明が解決しようとする課題】上述したCMOSトラ
ンジスタの製造工程において、サイドウォールはS/D
領域にイオン注入された不純物のチャネルへの広がりを
抑えるために設けられるものである。サイドウォール幅
を必要以上に狭く設定するとショートチャネル効果の抑
制が不十分となり、逆に、必要以上に広く設定するとS
/D領域の寄生抵抗が増大して電流駆動能力が低下す
る。
In the above-described process of manufacturing the CMOS transistor, the sidewall is formed of S / D.
This is provided in order to suppress the diffusion of the impurity ion-implanted into the region into the channel. If the sidewall width is set to be narrower than necessary, the suppression of the short channel effect becomes insufficient.
The parasitic resistance in the / D region increases, and the current driving capability decreases.

【0011】従って、サイドウォール幅はショートチャ
ネル効果の抑制と電流駆動能力の向上とのトレードオフ
によって決められる値に設定する必要があるが、サイド
ウォール幅の最適値は不純物の拡散係数に依存している
ため、nMOSトランジスタとpMOSトランジスタの
双方に対して同時に最適な値に設定することは一般には
困難である。たとえば、上述したCMOSトランジスタ
の製造工程では、ボロン(B)の拡散係数がAsの拡散係
数より大きいため、同一の熱処理条件下ではp + 層30の
広がりはn+ 層29の広がりより大きくなる。従って、p
MOSトランジスタに対して最適なサイドウォール幅は
nMOSトランジスタに対しては広くなり過ぎ、その結
果、nMOSトランジスタの寄生抵抗が増大してその電
流駆動能力が低下することになる。逆に、nMOSトラ
ンジスタに対して最適なサイドウォール幅はpMOSト
ランジスタに対しては狭すぎてショートチャネル効果の
抑制が不十分となる恐れがある。
Therefore, the side wall width is short.
Trade-off between suppression of tunnel effect and improvement of current drive capability
Must be set to a value determined by the
Optimum value of wall width depends on diffusion coefficient of impurity
Therefore, the nMOS transistor and the pMOS transistor
Setting the optimal value for both at the same time is generally
Have difficulty. For example, the aforementioned CMOS transistor
In the manufacturing process, the diffusion coefficient of boron (B) is
Therefore, under the same heat treatment conditions, p +Layer 30
The spread is n+It is larger than the extent of layer 29. Therefore, p
The optimal sidewall width for MOS transistors
It becomes too wide for nMOS transistors,
As a result, the parasitic resistance of the nMOS transistor increases,
The current driving capability is reduced. Conversely, nMOS transistors
The optimum sidewall width for the transistor is the pMOS transistor.
Too short for the transistor
The suppression may be insufficient.

【0012】以上のように、従来のCMOSトランジス
タ製造工程ではnMOSトランジスタとpMOSトラン
ジスタの双方に対しともにサイドウォール幅を最適値に
設定することはできず、それぞれ個別に製造する場合に
比べてショートチャネル効果の抑制が不十分となり且つ
電流駆動能力も低下するという問題があった。
As described above, in the conventional CMOS transistor manufacturing process, it is impossible to set the sidewall width to the optimum value for both the nMOS transistor and the pMOS transistor. There has been a problem that the suppression of the effect becomes insufficient and the current driving capability also decreases.

【0013】さらに、このようにして実際に設定される
サイドウォール幅は、一般にnMOSトランジスタに対
しては必要以上に大きな値となってしまい、その結果、
nMOSトランジスタの占有面積が増大しCMOSトラ
ンジスタの高密度化を妨げるという問題があった。
Further, the sidewall width actually set in this manner generally becomes an unnecessarily large value for an nMOS transistor.
There is a problem that the area occupied by the nMOS transistor increases and hinders the increase in the density of the CMOS transistor.

【0014】また、一般に、電流駆動能力の向上のため
にはS/D領域の不純物を充分に活性化して寄生抵抗を
下げる必要のあることから高温の熱処理を行うことが望
ましく、一方、ショートチャネル効果の抑制のためには
LDD領域をできるだけ浅くする必要のあることから低
温の熱処理を行うことが望ましい。
In general, it is necessary to sufficiently activate the impurities in the S / D region to lower the parasitic resistance in order to improve the current driving capability. Therefore, it is desirable to perform a high-temperature heat treatment. Since it is necessary to make the LDD region as shallow as possible to suppress the effect, it is desirable to perform a low-temperature heat treatment.

【0015】ところが、上述した従来のCMOSトラン
ジスタ製造工程では、LDD領域形成のための不純物の
イオン注入がS/D領域形成のための不純物のイオン注
入より前に行われ、従って、S/D領域活性化のための
熱処理の影響は必然的にLDD領域にも及ぶことにな
り、その結果、S/D領域を低抵抗化するため熱処理温
度を高くすると、電流駆動能力は向上するもののLDD
領域が深くなってショートチャネル効果の抑制が不十分
になる。このことは、nMOSトランジスタに比べて拡
散係数の大きな不純物を用いるpMOSトランジスタに
対して特に大きな問題となる。
However, in the above-described conventional CMOS transistor manufacturing process, the impurity ion implantation for forming the LDD region is performed before the impurity ion implantation for forming the S / D region. The effect of the heat treatment for activation naturally affects the LDD region. As a result, when the heat treatment temperature is increased to lower the resistance of the S / D region, the current driving capability is improved, but the LDD region is improved.
As the region becomes deeper, suppression of the short channel effect becomes insufficient. This is a particularly serious problem for a pMOS transistor using an impurity having a larger diffusion coefficient than an nMOS transistor.

【0016】pMOSトランジスタ形成のためのp型不
純物として通常はBが用いられる。ガリウム(Ga)やイ
ンジウム(In)はBに比べて拡散係数が小さいため浅い
LDD領域を形成する上では有利となるものの、活性化
率が低くS/D領域が高抵抗化してトランジスタ特性を
劣化させるという問題があった。
B is usually used as a p-type impurity for forming a pMOS transistor. Gallium (Ga) and indium (In) have a small diffusion coefficient as compared with B, which is advantageous in forming a shallow LDD region, but have a low activation rate and a high resistance in the S / D region, deteriorating transistor characteristics. There was a problem of letting it.

【0017】そこで、本発明は、ショートチャネル効果
の抑制と電流駆動能力の向上を共に図るとともに高密度
化をも可能とするCMOSトランジスタの製造方法を提
供することを目的とする。
SUMMARY OF THE INVENTION An object of the present invention is to provide a method of manufacturing a CMOS transistor capable of both suppressing the short channel effect and improving the current driving capability and increasing the density.

【0018】[0018]

【課題を解決するための手段】上記課題の解決は、nM
OSトランジスタ形成領域とpMOSトランジスタ形成
領域にゲート電極を形成する工程と、該ゲート電極をマ
スクとして該nMOSトランジスタ形成領域にn型不純
物を選択的に導入しn- 層を形成する工程と、該ゲート
電極の側面に第1のサイドウォールを形成する工程と、
該ゲート電極と該第1のサイドウォールをマスクとして
該pMOSトランジスタ形成領域にp型不純物を選択的
に導入しp+ 層を形成する工程と、該第1のサイドウォ
ールを除去した後、該ゲート電極の側面に該第1のサイ
ドウォールより幅の狭い第2のサイドウォールを形成す
る工程と、該ゲート電極と該第2のサイドウォールをマ
スクとして該nMOSトランジスタ形成領域にn型不純
物を選択的に導入しn+ 層を形成する工程と、該ゲート
電極と該第2のサイドウォールをマスクとして該pMO
Sトランジスタ形成領域にp型不純物を選択的に導入し
- 層を形成する工程を含むことを特徴とする半導体装
置の製造方法、あるいは、該p+ 層を形成した後該p-
層を形成する前に第1の熱処理を行う工程と、該p-
を形成した後該第1の熱処理より低い温度で第2の熱処
理を行う工程を含むことを特徴とする上記半導体装置の
製造方法、あるいはnMOSトランジスタ形成領域とp
MOSトランジスタ形成領域にゲート電極を形成する工
程と、該ゲート電極をマスクとして該nMOSトランジ
スタ形成領域にn型不純物を選択的に導入しn- 層を形
成する工程と、該ゲート電極をマスクとして該pMOS
トランジスタ形成領域にp型不純物を選択的に導入しp
- 層を形成する工程と、該ゲート電極の側面に第1のサ
イドウォールを形成する工程と、該ゲート電極と該第1
のサイドウォールをマスクとして該pMOSトランジス
タ形成領域にp型不純物を選択的に導入しp+ 層を形成
する工程と、該第1のサイドウォールを除去した後、該
ゲート電極の側面に該第1のサイドウォールより幅の狭
い第2のサイドウォールを形成する工程と、該ゲート電
極と該第2のサイドウォールをマスクとして該nMOS
トランジスタ形成領域にn型不純物を選択的に導入しn
+ 層を形成する工程を含むことを特徴とする半導体装置
の製造方法、あるいは、該p- 層を形成するためのp型
不純物としてインジウム又はガリウムを用いることを特
徴とする上記半導体装置の製造方法、あるいは、インジ
ウム又はガリウムを導入する際、同時にゲルマニウムを
導入することを特徴とする上記半導体装置の製造方法に
よって達成される。
Means for Solving the Problems The above problems can be solved by nM
Forming a gate electrode in the OS transistor formation region and the pMOS transistor formation region, forming an n layer by selectively introducing an n-type impurity into the nMOS transistor formation region using the gate electrode as a mask; Forming a first sidewall on a side surface of the electrode;
Forming a p + layer by selectively introducing a p-type impurity into the pMOS transistor formation region using the gate electrode and the first sidewall as a mask; and removing the first sidewall to remove the gate. Forming a second sidewall narrower than the first sidewall on the side surface of the electrode; and selectively using an n-type impurity in the nMOS transistor formation region using the gate electrode and the second sidewall as a mask. To form an n + layer, and using the gate electrode and the second side wall as a mask to form the n + layer.
Selectively introducing a p-type impurity S transistor forming region p - method of manufacturing a semiconductor device which comprises forming a layer or, the p after forming the p + layer -
A step of performing a first heat treatment before forming a layer, and a step of performing a second heat treatment at a lower temperature than the first heat treatment after forming the p layer. Manufacturing method, or nMOS transistor formation region and p
A step of forming a gate electrode in the MOS transistor formation region, a step of selectively introducing an n-type impurity into the nMOS transistor formation region using the gate electrode as a mask to form an n layer, and a step of forming the n layer using the gate electrode as a mask. pMOS
By selectively introducing p-type impurities into the transistor
- forming a layer, and forming a first side wall on the side surfaces of the gate electrode, the gate electrode and the first
Forming ap + layer by selectively introducing a p-type impurity into the pMOS transistor formation region using the side wall of the gate as a mask; and, after removing the first side wall, forming the first side wall on the side surface of the gate electrode. Forming a second side wall narrower than the side wall of the nMOS; and forming the nMOS using the gate electrode and the second side wall as a mask.
An n-type impurity is selectively introduced into the transistor
A method of manufacturing a semiconductor device, including a step of forming a + layer, or a method of manufacturing the semiconductor device, wherein indium or gallium is used as a p-type impurity for forming the p layer. Alternatively, it is achieved by the above-described method for manufacturing a semiconductor device, wherein germanium is introduced at the same time as indium or gallium is introduced.

【0019】nMOSトランジスタの形成に用いる不純
物がpMOSトランジスタの形成に用いる不純物に比べ
て拡散係数が小さい場合には、nMOSトランジスタの
形成に用いるサイドウォール幅の最適値はpMOSトラ
ンジスタの形成に用いるサイドウォール幅の最適値より
小さくなる。
When the impurity used for forming the nMOS transistor has a smaller diffusion coefficient than the impurity used for forming the pMOS transistor, the optimum value of the sidewall width used for forming the nMOS transistor is determined by the side wall used for forming the pMOS transistor. The width is smaller than the optimum value.

【0020】請求項1によれば、第1のサイドウォール
をマスクにしてpMOSトランジスタのS/D領域とな
るp+ 層を形成するとともに、この第1のサイドウォー
ルより幅の狭い第2のサイドウォールをマスクにしてn
MOSトランジスタのS/D領域となるn+ 層を形成し
ているので、p+ 層の形成に用いる不純物がn+ 層の形
成に用いる不純物に比べて拡散係数が大きな場合におい
ても、pMOSトランジスタとnMOSトランジスタの
それぞれに対して最適なサイドウォール幅を設定するこ
とが可能となり、従来に比べてショートチャネル効果の
抑制及び電流駆動能力の向上を図ることができ、且つ製
造工程が完了した時点で幅の狭い第2のサイドウォール
のみが残されるので、従来に比べて半導体装置の占有面
積を小さくすることができる。
According to the first aspect, the p + layer serving as the S / D region of the pMOS transistor is formed using the first side wall as a mask, and the second side is narrower than the first side wall. N using the wall as a mask
Since the n + layer serving as the S / D region of the MOS transistor is formed, even if the impurity used for forming the p + layer has a larger diffusion coefficient than the impurity used for forming the n + layer, the p + The optimum sidewall width can be set for each of the nMOS transistors, the short channel effect can be suppressed and the current driving capability can be improved as compared with the conventional case, and the width can be reduced when the manufacturing process is completed. Since only the narrow second sidewall is left, the occupied area of the semiconductor device can be reduced as compared with the related art.

【0021】さらに、p+ 層をp- 層より前に形成する
ことができるので第1の熱処理によってp+ 層の不純物
を充分に活性化することによりその後に行われる第2の
熱処理の温度を第1の熱処理の温度より低くしてもp+
層の抵抗には影響を与えない。従って、請求項2に示し
たように第1の熱処理より低い温度で第2の熱処理を行
うことにより、p+ 層の高抵抗化をまねくことなくp-
層を浅くすることが可能となる。即ち、電流駆動能力を
低下させることなくpMOSトランジスタに対するショ
ートチャネル効果を抑制することができる。
Further, since the p + layer can be formed before the p layer, the temperature of the subsequent second heat treatment can be reduced by sufficiently activating the impurities of the p + layer by the first heat treatment. Even if the temperature is lower than the temperature of the first heat treatment, p +
It does not affect the resistance of the layer. Therefore, by performing the second heat treatment at a temperature lower than the first heat treatment as shown in claim 2, without causing the increase in resistance of the p + layer p -
It is possible to make the layer shallower. That is, the short channel effect on the pMOS transistor can be suppressed without lowering the current driving capability.

【0022】請求項3は、従来例と同様にp- 層をp+
層より前に形成したものであるが、請求項1記載の発明
と同様に第1のサイドウォールをマスクにしてpMOS
トランジスタのS/D領域となるp+ 層を形成するとと
もに、この第1のサイドウォールより幅の狭い第2のサ
イドウォールをマスクにしてnMOSトランジスタのS
/D領域となるn+ 層を形成しているので、p+ 層の形
成に用いる不純物がn + 層の形成に用いる不純物に比べ
て拡散係数が大きな場合においても、pMOSトランジ
スタとnMOSトランジスタのそれぞれに対して最適な
サイドウォール幅を設定することが可能となり従来例に
比べてショートチャネル効果の抑制及び電流駆動能力の
向上を図ることができ、且つ製造工程が完了した時点で
幅の狭い第2のサイドウォールのみが残されるので半導
体装置の占有面積を小さくすることができる。
The third aspect is the same as in the conventional example.-Layer p+
2. The invention according to claim 1, wherein the layer is formed before the layer.
PMOS using the first sidewall as a mask
P serving as the S / D region of the transistor+When the layer is formed
In addition, the second support, which is narrower than the first sidewall, is provided.
S of nMOS transistor using
/ D region n+Since a layer is formed, p+Layer shape
The impurity used for formation is n +Compared to the impurities used to form the layer
PMOS transistor even when the diffusion coefficient is large
The optimum for each of the
It is now possible to set the sidewall width
Compared to the short channel effect suppression and current drive capability
Can be improved and when the manufacturing process is completed
Semi-conductive because only the narrow second sidewall is left
The occupied area of the body device can be reduced.

【0023】また、請求項4に示したように、p- 層形
成のためのp型不純物として拡散係数の小さなGaあるい
はInを用いて浅いp- 層を形成することによりショート
チャネル効果をより一層抑えることができる。この場
合、p+ 層形成のための不純物として、たとえば活性化
率の高いBを用いると電流駆動能力の低下を抑えること
ができる。
Further, as shown in claim 4, p - shallow with small Ga or In of the diffusion coefficient as a p-type impurity for forming the layer p - even more short channel effect by forming a layer Can be suppressed. In this case, if, for example, B having a high activation rate is used as an impurity for forming the p + layer, a decrease in current driving capability can be suppressed.

【0024】また、GaまたはInを導入する際、同時にGe
を導入するとSiGe混晶が形成され実質的な固溶限を大き
くすることができるので、GaまたはInを単独で導入する
場合に比べてp- 層を低抵抗化することができる。
When Ga or In is introduced, Ge and In
When Si is introduced, a SiGe mixed crystal is formed and the solid solubility limit can be substantially increased, so that the resistance of the p layer can be reduced as compared with the case where Ga or In is introduced alone.

【0025】[0025]

【発明の実施の形態】図1及び図2は本発明の第1の実
施例に係るCMOSトランジスタ製造工程の要部を示す
断面図である。まず、図1(a) に示したように、nMO
Sトランジスタ形成領域1とpMOSトランジスタ形成
領域2及び素子分離領域3を形成し、nMOSトランジ
スタ形成領域1とpMOSトランジスタ形成領域2上に
はゲート酸化膜4とゲート電極5を形成する。ゲート酸
化膜4の膜厚は 5 nm とし、ゲート電極5は膜厚100 nm
のシリコン酸化膜をキャップ層とする膜厚150 nmの多結
晶シリコン膜で構成する。あるいは、ゲート電極5は上
記シリコン酸化膜と多結晶シリコンの間にTiN を挟んだ
構造としてもよい。
1 and 2 are sectional views showing a main part of a CMOS transistor manufacturing process according to a first embodiment of the present invention. First, as shown in FIG.
An S transistor formation region 1, a pMOS transistor formation region 2, and an element isolation region 3 are formed, and a gate oxide film 4 and a gate electrode 5 are formed on the nMOS transistor formation region 1 and the pMOS transistor formation region 2. The thickness of the gate oxide film 4 is 5 nm, and the thickness of the gate electrode 5 is 100 nm.
A 150 nm-thick polycrystalline silicon film using the silicon oxide film as a cap layer. Alternatively, the gate electrode 5 may have a structure in which TiN is sandwiched between the silicon oxide film and polycrystalline silicon.

【0026】ついで、図1(b) に示したように、ゲート
電極5をマスクとしてnMOSトランジスタ形成領域1
に加速エネルギー10 KeV、注入量1×1014cm-2の条件で
Asイオンを選択的に注入しnMOSトランジスタのLD
D領域となるn- 層6を形成する。
Next, as shown in FIG. 1B, the nMOS transistor forming region 1 is formed using the gate electrode 5 as a mask.
At an acceleration energy of 10 KeV and a dose of 1 × 10 14 cm -2
As ion is selectively implanted and nMOS transistor LD
An n layer 6 serving as a D region is formed.

【0027】ついで、図1(c) に示したように、シリコ
ン酸化膜を全面に堆積した後異方性ドライエッチングに
よりゲート電極5の側面に幅160 nmの第1のサイドウォ
ール7を形成する。シリコン酸化膜は低温熱CVD法、
プラズマCVD法、高温熱CVD法等の良く知られた膜
形成法により堆積することができる。あるいは、シリコ
ン酸化膜に代えてBSG膜、PSG膜、BPSG膜、シ
リコン窒化膜等を用いることもできる。
Then, as shown in FIG. 1C, a first sidewall 7 having a width of 160 nm is formed on the side surface of the gate electrode 5 by anisotropic dry etching after depositing a silicon oxide film on the entire surface. . Silicon oxide film is low temperature thermal CVD method,
It can be deposited by a well-known film forming method such as a plasma CVD method or a high-temperature thermal CVD method. Alternatively, a BSG film, a PSG film, a BPSG film, a silicon nitride film, or the like can be used instead of the silicon oxide film.

【0028】ついで、図1(d) に示したように、ゲート
電極5をマスクとしてpMOSトランジスタ形成領域2
に加速エネルギー10 KeV、注入量1×1015cm-2の条件で
BF2イオンを選択的に注入しpMOSトランジスタのS
/D領域となるp+ 層8を形成する。
Next, as shown in FIG. 1D, the pMOS transistor formation region 2 is formed using the gate electrode 5 as a mask.
At an acceleration energy of 10 KeV and a dose of 1 × 10 15 cm -2
BF 2 ions are selectively implanted and the S
A p + layer 8 serving as a / D region is formed.

【0029】続いて、第1のサイドウォール7を除去す
る。ここで、図1(c) に示した工程においてシリコン酸
化膜を低温熱CVD法あるいはプラズマCVD法により
形成しておけば、HF系の処理液を用いたウェットエッ
チングにより第1のサイドウォール7の除去がより容易
となる。
Subsequently, the first sidewall 7 is removed. Here, if the silicon oxide film is formed by the low-temperature thermal CVD method or the plasma CVD method in the step shown in FIG. 1C, the first sidewall 7 is formed by wet etching using an HF-based processing solution. Removal is easier.

【0030】ついで、図2(a) に示したように、シリコ
ン酸化膜を堆積し異方性ドライエッチングによりゲート
電極5の側面に幅40 nm の第2のサイドウォール9を形
成する。このときのシリコン酸化膜も低温熱CVD法、
プラズマCVD法、高温熱CVD法等の良く知られた膜
形成法により堆積することができる。あるいは、シリコ
ン酸化膜に代えてBSG膜、PSG膜、BPSG膜、シ
リコン窒化膜等を用いることもできる。
Then, as shown in FIG. 2A, a silicon oxide film is deposited and a second sidewall 9 having a width of 40 nm is formed on the side surface of the gate electrode 5 by anisotropic dry etching. At this time, the silicon oxide film is also formed by a low-temperature thermal CVD method,
It can be deposited by a well-known film forming method such as a plasma CVD method or a high-temperature thermal CVD method. Alternatively, a BSG film, a PSG film, a BPSG film, a silicon nitride film, or the like can be used instead of the silicon oxide film.

【0031】ついで、図2(b) に示したように、ゲート
電極5と第2のサイドウォール9をマスクとしてnMO
Sトランジスタ形成領域1に加速エネルギー10 KeV、注
入量4×1015cm-2の条件でAsイオンを選択的に注入しn
MOSトランジスタのS/D領域となるn+ 層10を形成
する。
Then, as shown in FIG. 2B, the nMO is performed using the gate electrode 5 and the second side wall 9 as a mask.
As ions are selectively implanted into the S transistor formation region 1 under the conditions of an acceleration energy of 10 KeV and an implantation amount of 4 × 10 15 cm −2.
An n.sup. + Layer 10 serving as an S / D region of a MOS transistor is formed.

【0032】ついで、これまでの工程でイオン注入され
た不純物の活性化のための熱処理を行う。この段階で
は、未だpMOSトランジスタのLDD領域形成のため
の不純物のイオン注入は行われていないので、pMOS
トランジスタのLDD領域の広がりを考慮することな
く、ゲート電極5、p+ 層8、n+ 層10を低抵抗化する
のに最も有利な熱処理条件を設定することができる。こ
こでは、1000℃、10秒程度の高温での熱処理を行う。
Next, a heat treatment for activating the impurities implanted in the above steps is performed. At this stage, since ion implantation of impurities for forming the LDD region of the pMOS transistor has not been performed yet, pMOS
The most advantageous heat treatment conditions for reducing the resistance of the gate electrode 5, the p + layer 8, and the n + layer 10 can be set without considering the expansion of the LDD region of the transistor. Here, heat treatment is performed at a high temperature of about 1000 ° C. for about 10 seconds.

【0033】なお、上記高温での熱処理により、nMO
SトランジスタのLDD領域となるn- 層6の活性化も
同時に行われるが、Asの拡散係数はBに比べて充分小さ
いので後の工程で形成するp- 層より深くなることはな
く、従って、nMOSトランジスタに対するショートチ
ャネル効果の抑制に問題は生じない。
It should be noted that the heat treatment at a high temperature makes the nMO
The activation of the n layer 6 which becomes the LDD region of the S transistor is also performed at the same time, but since the diffusion coefficient of As is sufficiently smaller than that of B, it does not become deeper than the p layer formed in a later step. There is no problem in suppressing the short channel effect on the nMOS transistor.

【0034】ついで、図2(c) に示したように、ゲート
電極5と第2のサイドウォール9をマスクとしてpMO
Sトランジスタ形成領域2に加速エネルギー10 KeV、注
入量1×1014cm-2の条件でBF2 イオンを選択的に注入し
pMOSトランジスタのLDD領域となるp- 層11を形
成する。
Then, as shown in FIG. 2C, the pMO is formed using the gate electrode 5 and the second side wall 9 as a mask.
BF 2 ions are selectively implanted into the S transistor formation region 2 under the conditions of an acceleration energy of 10 KeV and an implantation amount of 1 × 10 14 cm −2 to form a p layer 11 which becomes an LDD region of a pMOS transistor.

【0035】ついで、p- 層11にイオン注入された不純
物を活性化するための熱処理を行う。前述のように、ゲ
ート電極5、p+ 層8、n+ 層10は高温の熱処理によっ
て既に充分に活性化されているので、p- 層11の活性化
のための熱処理温度を先の熱処理温度より低く設定する
ことができる。ここでは、熱処理温度を900 ℃程度に抑
え、これによりゲート電極5、p+ 層8、n+ 層10を高
抵抗化することなくp - 層11を浅くすることができる。
Then, p-Impurities implanted in layer 11
A heat treatment for activating the object is performed. As mentioned earlier,
Port electrode 5, p+Layer 8, n+Layer 10 is heat treated at high temperature.
Already fully activated, p-Activation of layer 11
Heat treatment temperature lower than previous heat treatment temperature
be able to. Here, the heat treatment temperature is suppressed to about 900 ° C.
For this reason, the gate electrode 5, p+Layer 8, n+Layer 10 high
P without resistance -Layer 11 can be shallow.

【0036】続いて、層間絶縁膜形成工程、金属配線形
成工程等を経てCMOSトランジスタが完成される。本
発明では、工程途中で第1のサイドウォールは除去され
るので、デバイスのレイアウト時には幅の狭い第2のサ
イドウォールのみを考慮すればよく、その分CMOSト
ランジスタの占有面積を小さくすることができる。
Subsequently, a CMOS transistor is completed through an interlayer insulating film forming step, a metal wiring forming step, and the like. In the present invention, since the first sidewall is removed during the process, only the narrow second sidewall needs to be considered when laying out the device, and the area occupied by the CMOS transistor can be reduced accordingly. .

【0037】次に、本発明の第2の実施例に係るCMO
Sトランジスタ製造工程を図3及び図4を用いて説明す
る。まず、図3(a) に示したように、nMOSトランジ
スタ形成領域1とpMOSトランジスタ形成領域2及び
素子分離領域3を形成し、nMOSトランジスタ形成領
域1とpMOSトランジスタ形成領域2上には膜厚 5nm
のゲート酸化膜4と膜厚100 nmのシリコン酸化膜をキ
ャップ層とする膜厚150 nmの多結晶シリコン膜から成る
ゲート電極5を形成する。あるいは、上記シリコン酸化
膜と多結晶シリコンの間にTiN を挟んだ構造としてもよ
い。
Next, the CMO according to the second embodiment of the present invention will be described.
An S transistor manufacturing process will be described with reference to FIGS. First, as shown in FIG. 3A, an nMOS transistor formation region 1, a pMOS transistor formation region 2, and an element isolation region 3 are formed, and a film thickness of 5 nm is formed on the nMOS transistor formation region 1 and the pMOS transistor formation region 2.
And a gate electrode 5 made of a 150 nm-thick polycrystalline silicon film using a 100 nm-thick silicon oxide film as a cap layer. Alternatively, a structure in which TiN is interposed between the silicon oxide film and polycrystalline silicon may be employed.

【0038】ついで、図3(b) に示したように、ゲート
電極5をマスクとしてnMOSトランジスタ形成領域1
に加速エネルギー10 KeV、注入量1×1014cm-2の条件で
Asイオンを選択的に注入しnMOSトランジスタのLD
D領域となるn- 層6を形成する。
Next, as shown in FIG. 3B, the nMOS transistor forming region 1 is formed using the gate electrode 5 as a mask.
At an acceleration energy of 10 KeV and a dose of 1 × 10 14 cm -2
As ion is selectively implanted and nMOS transistor LD
An n layer 6 serving as a D region is formed.

【0039】ついで、図3(c) に示したように、ゲート
電極5をマスクとしてpMOSトランジスタ形成領域2
に加速エネルギー10 KeV、注入量4×1014cm-2の条件で
InイオンあるいはGaイオンを選択的に注入しpMOSト
ランジスタのLDD領域となるp- 層11を形成する。
Next, as shown in FIG. 3C, the pMOS transistor formation region 2 is formed using the gate electrode 5 as a mask.
At an acceleration energy of 10 KeV and an injection amount of 4 × 10 14 cm -2
In ions or Ga ions are selectively implanted to form ap layer 11 which becomes an LDD region of the pMOS transistor.

【0040】ついで、図3(d) に示したように、シリコ
ン酸化膜を全面に堆積した後異方性ドライエッチングに
よりゲート電極5の側面に幅160 nmの第1のサイドウォ
ール7を形成する。シリコン酸化膜は低温熱CVD法、
プラズマCVD法、高温熱CVD法等の良く知られた膜
形成法により堆積することができる。あるいは、シリコ
ン酸化膜に代えてBSG膜、PSG膜、BPSG膜、シ
リコン窒化膜等を用いることもできる。
Then, as shown in FIG. 3D, a first sidewall 7 having a width of 160 nm is formed on the side surface of the gate electrode 5 by anisotropic dry etching after depositing a silicon oxide film on the entire surface. . Silicon oxide film is low temperature thermal CVD method,
It can be deposited by a well-known film forming method such as a plasma CVD method or a high-temperature thermal CVD method. Alternatively, a BSG film, a PSG film, a BPSG film, a silicon nitride film, or the like can be used instead of the silicon oxide film.

【0041】ついで、図4(a) に示したように、ゲート
電極5をマスクとしてpMOSトランジスタ形成領域2
に加速エネルギー10 KeV、注入量1×1015cm-2の条件で
BF2イオンを選択的に注入しpMOSトランジスタのS
/D領域となるp+ 層8を形成する。
Then, as shown in FIG. 4A, the pMOS transistor formation region 2 is formed using the gate electrode 5 as a mask.
At an acceleration energy of 10 KeV and a dose of 1 × 10 15 cm -2
BF 2 ions are selectively implanted and the S
A p + layer 8 serving as a / D region is formed.

【0042】続いて、第1のサイドウォール7を除去す
る。ここで、図3(d) に示した工程においてシリコン酸
化膜を低温熱CVD法あるいはプラズマCVD法により
形成しておけば、HF系の処理液を用いたウェットエッ
チングにより第1のサイドウォール7の除去がより容易
となる。
Subsequently, the first sidewall 7 is removed. Here, if the silicon oxide film is formed by the low-temperature thermal CVD method or the plasma CVD method in the step shown in FIG. 3D, the first sidewall 7 is formed by wet etching using an HF-based processing solution. Removal is easier.

【0043】ついで、図4(b) に示したように、シリコ
ン酸化膜を堆積し異方性ドライエッチングによりゲート
電極5の側面に幅40 nm の第2のサイドウォール9を形
成する。このときのシリコン酸化膜も低温熱CVD法、
プラズマCVD法、高温熱CVD法等の良く知られた膜
形成法により堆積することができる。あるいは、シリコ
ン酸化膜に代えてBSG膜、PSG膜、BPSG膜、シ
リコン窒化膜等を用いることもできる。
Next, as shown in FIG. 4B, a silicon oxide film is deposited and a second sidewall 9 having a width of 40 nm is formed on the side surface of the gate electrode 5 by anisotropic dry etching. At this time, the silicon oxide film is also formed by a low-temperature thermal CVD method,
It can be deposited by a well-known film forming method such as a plasma CVD method or a high-temperature thermal CVD method. Alternatively, a BSG film, a PSG film, a BPSG film, a silicon nitride film, or the like can be used instead of the silicon oxide film.

【0044】ついで、図4(c) に示したように、ゲート
電極5と第2のサイドウォール9をマスクとしてnMO
Sトランジスタ形成領域1に加速エネルギー10 KeV、注
入量4×1015cm-2の条件でAsイオンを選択的に注入しn
MOSトランジスタのS/D領域となるn+ 層10を形成
する。
Next, as shown in FIG. 4C, the nMO is performed using the gate electrode 5 and the second sidewall 9 as a mask.
As ions are selectively implanted into the S transistor formation region 1 under the conditions of an acceleration energy of 10 KeV and an implantation amount of 4 × 10 15 cm −2.
An n.sup. + Layer 10 serving as an S / D region of a MOS transistor is formed.

【0045】ついで、1000℃、10秒間の熱処理(RTA
処理)を行ってイオン注入した不純物を活性化させる。
Next, a heat treatment (RTA) at 1000 ° C. for 10 seconds
Process) to activate the ion-implanted impurities.

【0046】以上のように、第2の実施例では、Gaある
いはInを用いることによって浅いp - 層11を形成するこ
とができ、且つp+ 層8の形成にはBを用いることによ
って低抵抗のS/D領域を形成しているので、第1の実
施例と同様に従来に比べてショートチャネル効果の抑制
及び電流駆動能力の向上を図る上で効果がある。
As described above, in the second embodiment, Ga exists.
Or shallow p by using In -Forming layer 11
And p+B is used for forming the layer 8.
To form a low-resistance S / D region.
Suppresses the short channel effect compared to the conventional as in the embodiment
In addition, this is effective in improving the current driving capability.

【0047】また、第2の実施例では、第1の実施例と
異なりp- 層11をp+ 層8より前に形成しているためp
+ 層8に対する熱処理の影響がp- 層11にも及ぶことに
なる。しかし、p- 層11には拡散係数の小さなInあるい
はGaが注入されているので高温熱処理によるp- 層11の
広がりはBを用いた場合に比べて小さくショートチャネ
ル効果の抑制の効果は従来に比べて大きい。
In the second embodiment, unlike the first embodiment, the p - layer 11 is formed before the p +
The effect of the heat treatment on + layer 8 also affects p layer 11. However, p - Since the layer 11 small In or Ga diffusion coefficient is injected by the high-temperature heat treatment p - spreading the effect of suppression of small short-channel effect in comparison with the case of using B layer 11 in the prior art Larger than compared.

【0048】さらに、第2の実施例では、第1の実施例
と同様に工程途中で第1のサイドウォールは除去される
ので、デバイスのレイアウト時には幅の狭い第2のサイ
ドウォールのみを考慮すればよく、その分CMOSトラ
ンジスタの占有面積を小さくすることができる。
Furthermore, in the second embodiment, since the first sidewall is removed during the process as in the first embodiment, only the narrow second sidewall is taken into account during device layout. It suffices to reduce the area occupied by the CMOS transistors.

【0049】また、Ga、Inのイオン注入に際してゲルマ
ニウム(Ge)を同時にイオン注入することもできる。Ge
のイオン注入によってSiGe混晶を形成し、これによりG
a、Inの固溶限を大きくすることができるので、GaやIn
を単独で用いる場合に比べてp - 層11をより低抵抗化す
ることができる。
Also, when implanting Ga and In ions,
Ion can be simultaneously implanted with Ge. Ge
Of SiGe mixed crystal is formed by ion implantation of
Since a and In can increase the solid solubility limit, Ga and In
Compared to the case of using -Lower resistance of layer 11
Can be

【0050】GaとGeを用いる場合、Geについて加速エネ
ルギー15 KeV、注入量1×1016cm-2の注入条件、Gaにつ
いて加速エネルギー10 KeV、注入量4×1014cm-2の注入
条件を用いる。この際、Geを先にイオン注入し、続いて
Gaをイオン注入するとGaの分布をより浅くすることがで
きる。
In the case of using Ga and Ge, the implantation conditions of Ge at an acceleration energy of 15 KeV and an implantation amount of 1 × 10 16 cm −2 , and the implantation conditions of Ga at an acceleration energy of 10 KeV and an implantation amount of 4 × 10 14 cm −2 are used. Used. At this time, Ge is ion-implanted first, and then
When Ga is ion-implanted, the distribution of Ga can be made shallower.

【0051】InとGeを用いる場合、Geについて加速エネ
ルギー15 KeV、注入量1×1016cm-2の注入条件、Inにつ
いて加速エネルギー10 KeV、注入量4×1014cm-2の注入
条件を用いる。この際、Geを先にイオン注入し、続いて
Inをイオン注入するとInの分布をより浅くすることがで
きる。
In the case of using In and Ge, the implantation conditions of Ge at an acceleration energy of 15 KeV and an implantation amount of 1 × 10 16 cm −2 and the implantation conditions of In at an acceleration energy of 10 KeV and an implantation amount of 4 × 10 14 cm −2 are used. Used. At this time, Ge is ion-implanted first, and then
By implanting In, the distribution of In can be made shallower.

【0052】[0052]

【発明の効果】以上のように、本発明では、第1のサイ
ドウォールと第2のサイドウォールを形成することによ
りCMOSトランジスタのショートチャネル効果の抑
制、電流駆動能力の向上をともに図ることが可能とな
り、また、製造工程完了後に幅の狭い第2のサイドウォ
ールのみが残されるのでCMOSトランジスタの占有面
積が低減されてチップ面積を従来に比べて縮小すること
が可能となる。また、pMOSトランジスタのLDD領
域の形成にGaやInを用いることによりショートチャネル
効果の抑制をより効果的に行うことが可能となり、CM
OSトランジスタを含む半導体装置の高速化、チップ面
積の縮小を図る上で有益である。
As described above, according to the present invention, by forming the first sidewall and the second sidewall, it is possible to both suppress the short channel effect of the CMOS transistor and improve the current driving capability. Further, only the narrow second sidewall is left after the completion of the manufacturing process, so that the area occupied by the CMOS transistor is reduced, and the chip area can be reduced as compared with the conventional case. Further, by using Ga or In for forming the LDD region of the pMOS transistor, the short channel effect can be more effectively suppressed, and the CM
This is advantageous in increasing the speed of a semiconductor device including an OS transistor and reducing the chip area.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の第1の実施例を示す工程断面図(そ
の1)
FIG. 1 is a process cross-sectional view showing a first embodiment of the present invention (part 1).

【図2】 本発明の第1の実施例を示す工程断面図(そ
の2)
FIG. 2 is a process sectional view showing the first embodiment of the present invention (part 2).

【図3】 本発明の第2の実施例を示す工程断面図(そ
の1)
FIG. 3 is a process sectional view showing a second embodiment of the present invention (part 1).

【図4】 本発明の第2の実施例を示す工程断面図(そ
の2)
FIG. 4 is a process sectional view showing a second embodiment of the present invention (part 2).

【図5】 従来例を示す工程断面図(その1)FIG. 5 is a process sectional view showing a conventional example (part 1).

【図6】 従来例を示す工程断面図(その2)FIG. 6 is a process sectional view showing a conventional example (part 2).

【符号の説明】[Explanation of symbols]

1、21 nMOSトランジスタ形成領域 7 第1
のサイドウォール 2、22 pMOSトランジスタ形成領域 8、30
+ 層 3、23 素子分離領域 9 第2
のサイドウォール 4、24 ゲート酸化膜 10、29
+ 層 5、25 ゲート電極 11、27
- 層 6、26 n- 層 28 サ
イドウォール
1, 21 nMOS transistor formation region 7 first
Side wall 2, 22 pMOS transistor formation region 8, 30
p + layer 3, 23 element isolation region 9 second
Side wall 4, 24 Gate oxide film 10, 29
n + layer 5, 25 Gate electrode 11, 27
p - layer 6, 26 n - layer 28 sidewall

フロントページの続き Fターム(参考) 5F048 AA01 AC03 BA01 BB05 BB09 BB12 BC06 BC15 BG12 DA25 DA27 DA29 DA30 Continued on the front page F term (reference) 5F048 AA01 AC03 BA01 BB05 BB09 BB12 BC06 BC15 BG12 DA25 DA27 DA29 DA30

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】 nMOSトランジスタ形成領域とpMO
Sトランジスタ形成領域にゲート電極を形成する工程
と、 該ゲート電極をマスクとして該nMOSトランジスタ形
成領域にn型不純物を選択的に導入しn- 層を形成する
工程と、 該ゲート電極の側面に第1のサイドウォールを形成する
工程と、 該ゲート電極と該第1のサイドウォールをマスクとして
該pMOSトランジスタ形成領域にp型不純物を選択的
に導入しp+ 層を形成する工程と、 該第1のサイドウォールを除去した後、該ゲート電極の
側面に該第1のサイドウォールより幅の狭い第2のサイ
ドウォールを形成する工程と、 該ゲート電極と該第2のサイドウォールをマスクとして
該nMOSトランジスタ形成領域にn型不純物を選択的
に導入しn+ 層を形成する工程と、 該ゲート電極と該第2のサイドウォールをマスクとして
該pMOSトランジスタ形成領域にp型不純物を選択的
に導入しp- 層を形成する工程を含むことを特徴とする
半導体装置の製造方法。
1. An nMOS transistor forming region and a pMO
Forming a gate electrode in the S transistor formation region, selectively introducing an n-type impurity into the nMOS transistor formation region using the gate electrode as a mask, and forming an n layer; Forming a p + layer by selectively introducing a p-type impurity into the pMOS transistor formation region using the gate electrode and the first side wall as a mask; Forming a second sidewall narrower than the first sidewall on a side surface of the gate electrode after removing the sidewall of the nMOS; and using the gate electrode and the second sidewall as a mask to form the nMOS. the p forming a selectively introducing an n-type impurity into the transistor forming region n + layer, the gate electrode and the second side wall as a mask The method of manufacturing a semiconductor device which comprises forming a layer - OS transistor forming region selectively introducing a p-type impurity into the p.
【請求項2】 該p+ 層を形成した後該p- 層を形成す
る前に第1の熱処理を行う工程と、 該p- 層を形成した後該第1の熱処理より低い温度で第
2の熱処理を行う工程を含むことを特徴とする請求項1
記載の半導体装置の製造方法。
2. A step of performing a first heat treatment after forming the p + layer and before forming the p - layer; and forming a second heat treatment at a lower temperature than the first heat treatment after forming the p - layer. 2. The method according to claim 1, further comprising the step of:
The manufacturing method of the semiconductor device described in the above.
【請求項3】 nMOSトランジスタ形成領域とpMO
Sトランジスタ形成領域にゲート電極を形成する工程
と、 該ゲート電極をマスクとして該nMOSトランジスタ形
成領域にn型不純物を選択的に導入しn- 層を形成する
工程と、 該ゲート電極をマスクとして該pMOSトランジスタ形
成領域にp型不純物を選択的に導入しp- 層を形成する
工程と、 該ゲート電極の側面に第1のサイドウォールを形成する
工程と、 該ゲート電極と該第1のサイドウォールをマスクとして
該pMOSトランジスタ形成領域にp型不純物を選択的
に導入しp+ 層を形成する工程と、 該第1のサイドウォールを除去した後、該ゲート電極の
側面に該第1のサイドウォールより幅の狭い第2のサイ
ドウォールを形成する工程と、 該ゲート電極と該第2のサイドウォールをマスクとして
該nMOSトランジスタ形成領域にn型不純物を選択的
に導入しn+ 層を形成する工程を含むことを特徴とする
半導体装置の製造方法。
3. An nMOS transistor forming region and a pMO
Forming a gate electrode in the S transistor formation region, selectively introducing an n-type impurity into the nMOS transistor formation region using the gate electrode as a mask to form an n layer, using the gate electrode as a mask, forming a p layer by selectively introducing a p-type impurity into a pMOS transistor formation region; forming a first sidewall on a side surface of the gate electrode; the gate electrode and the first sidewall Forming ap + layer by selectively introducing a p-type impurity into the pMOS transistor formation region using the mask as a mask; and, after removing the first sidewall, forming a first sidewall on a side surface of the gate electrode. Forming a second sidewall having a smaller width; and forming the nMOS transistor using the gate electrode and the second sidewall as a mask. The method of manufacturing a semiconductor device characterized by comprising the steps of forming a selectively introduced n + layer with an n-type impurity.
【請求項4】 該p- 層を形成するためのp型不純物と
してインジウム又はガリウムを用いることを特徴とする
請求項3記載の半導体装置の製造方法。
4. The method according to claim 3, wherein indium or gallium is used as a p-type impurity for forming the p layer.
【請求項5】 インジウム又はガリウムを導入する際、
同時にゲルマニウムを導入することを特徴とする請求項
4記載の半導体装置の製造方法。
5. When introducing indium or gallium,
5. The method according to claim 4, wherein germanium is introduced at the same time.
JP11033092A 1999-02-10 1999-02-10 Manufacture of semiconductor device Withdrawn JP2000232166A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11033092A JP2000232166A (en) 1999-02-10 1999-02-10 Manufacture of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11033092A JP2000232166A (en) 1999-02-10 1999-02-10 Manufacture of semiconductor device

Publications (1)

Publication Number Publication Date
JP2000232166A true JP2000232166A (en) 2000-08-22

Family

ID=12377038

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11033092A Withdrawn JP2000232166A (en) 1999-02-10 1999-02-10 Manufacture of semiconductor device

Country Status (1)

Country Link
JP (1) JP2000232166A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020535632A (en) * 2017-09-25 2020-12-03 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation Reduction of series resistance between source and / or drain regions and channel regions

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020535632A (en) * 2017-09-25 2020-12-03 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation Reduction of series resistance between source and / or drain regions and channel regions
JP7324192B2 (en) 2017-09-25 2023-08-09 インターナショナル・ビジネス・マシーンズ・コーポレーション Reduced series resistance between source and/or drain regions and channel region

Similar Documents

Publication Publication Date Title
JP2002334938A (en) Semiconductor device and method of manufacturing the same
US20060292799A1 (en) Memory embedded semiconductor device and method for fabricating the same
JPH09135025A (en) Fabrication of semiconductor device
US6734109B2 (en) Method of building a CMOS structure on thin SOI with source/drain electrodes formed by in situ doped selective amorphous silicon
US20060189066A1 (en) Semiconductor device having optimized shallow junction geometries and method for fabrication thereof
JP2003188373A (en) Semiconductor device and method of manufacturing the same
US20080242039A1 (en) Method of enhancing dopant activation without suffering additional dopant diffusion
JP2004282068A (en) Method for forming semiconductor device
US20040207024A1 (en) Semiconductor device with an STI structure which is capable of suppressing inverse narrow channel effect, and method of manufacturing the same
KR100574172B1 (en) Method for fabricating semiconductor device
JP2002353449A (en) Method of manufacturing semiconductor element
JP2003203927A (en) Method of manufacturing semiconductor device
JP5060002B2 (en) Manufacturing method of semiconductor device
JP3371875B2 (en) Method for manufacturing semiconductor device
JP3963023B2 (en) Manufacturing method of semiconductor integrated device
JP2000232166A (en) Manufacture of semiconductor device
JP3036964B2 (en) Method for manufacturing semiconductor device
KR20050009482A (en) Method of manufacturing a semiconductor device
JP2004214387A (en) Method for manufacturing cmos semiconductor device
JPH0722623A (en) Manufacture of semiconductor device
JPH10223887A (en) Manufacture of semiconductor device
JP2003179158A (en) Semiconductor device and manufacturing method therefor
JP2001044437A (en) Mos transistor and manufacture thereof
KR100604044B1 (en) Method for fabricating the semiconductor device
KR100588784B1 (en) Fabricating method of semiconductor device

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060509