JP2000106436A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JP2000106436A
JP2000106436A JP11208553A JP20855399A JP2000106436A JP 2000106436 A JP2000106436 A JP 2000106436A JP 11208553 A JP11208553 A JP 11208553A JP 20855399 A JP20855399 A JP 20855399A JP 2000106436 A JP2000106436 A JP 2000106436A
Authority
JP
Japan
Prior art keywords
film
sidewall
insulating film
gate
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11208553A
Other languages
Japanese (ja)
Other versions
JP3301994B2 (en
Inventor
Susumu Akamatsu
晋 赤松
Noritaka Hibi
紀孝 日比
Akihiko Ueda
壮彦 上田
Tadayoshi Shimizu
但美 清水
Yoshiaki Kato
義明 加藤
Tatsuya Obata
辰也 小畑
Toyoyuki Shimazaki
豊幸 嶋崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electronics Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electronics Corp, Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electronics Corp
Priority to JP20855399A priority Critical patent/JP3301994B2/en
Publication of JP2000106436A publication Critical patent/JP2000106436A/en
Application granted granted Critical
Publication of JP3301994B2 publication Critical patent/JP3301994B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method of manufacturing a semiconductor device, which causes no short-circuit between a gate electrode and a contact member and can also meet to miniaturization of the device. SOLUTION: A gate insulating film 2, a gate electrode 3a and a protective layer 4a on a gate are formed on an Si substrate 1 and thereafter, lightly doped source and drain regions 6 are formed on the substrate 1. A first sidewall 15a and a second sidewall are respectively formed on the side surfaces of the electrode 3a and after that, heavily doped source and drain regions 9 are formed on the substrate 1 by an ion implantation method using these sidewalls as masks. After the second sidewall is selectively removed, pocket implanted regions Rpo are formed in the substrate 1 and an entire surface protective film 12 is deposited. After that, deposition of an interlayer insulating film 10, formation of a contact hole Hct to reach the drain region 9 and formation of a plug electrode 11 are conducted. As the second sidewall is removed at the time of deposition of the film 12, the part between the electrode 3a and the film 12 is not filled with the film 12.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、セルフアラインコ
ンタクトを有する半導体装置の製造方法に関するもので
ある。
The present invention relates to a method for manufacturing a semiconductor device having a self-aligned contact.

【0002】[0002]

【従来の技術】最近、MOSトランジスタのソース・ド
レイン領域へのコンタクト部材を形成するに際し、素子
の高密度化に対応して、セルフアラインコンタクト構造
いわゆるSAC構造が使用され始めている。これは、L
DD構造の形成に用いたサイドウォールを、コンタクト
をセルフアラインで形成する時のエッチングストッパー
としても用いようとするものである。
2. Description of the Related Art Recently, when forming a contact member to a source / drain region of a MOS transistor, a self-aligned contact structure, a so-called SAC structure, has begun to be used in order to increase the density of elements. This is L
The sidewall used to form the DD structure is also used as an etching stopper when forming a contact in a self-aligned manner.

【0003】図7a〜図7dは、従来の一般的なセルフ
アラインコンタクト構造を有するMOSトランジスタの
製造方法を示す断面図である。最近のようにトランジス
タの微細化が進んでくると、トランジスタの構造として
はいわゆるLDD構造が用いられ、その製造方法として
は、ゲート電極の側面上にサイドウォールを形成し、さ
らに、このサイドウォールをマスクとするイオン注入に
より高濃度のソース・ドレイン領域を形成するのが一般
的である。
FIGS. 7A to 7D are cross-sectional views showing a conventional method of manufacturing a MOS transistor having a general self-aligned contact structure. With the recent miniaturization of transistors, a so-called LDD structure is used as a transistor structure. As a method of manufacturing the transistor, a sidewall is formed on a side surface of a gate electrode, and the sidewall is further formed. Generally, a high concentration source / drain region is formed by ion implantation as a mask.

【0004】まず、図7aに示す工程で、半導体基板1
01上に、熱酸化膜からなるゲート酸化膜102,ゲー
ト電極用ポリシリコン膜103及びシリコン窒化膜10
4を順次形成した後、ゲートパターニング用のレジスト
膜105を形成する。
First, in a step shown in FIG.
01, a gate oxide film 102 made of a thermal oxide film, a polysilicon film 103 for a gate electrode, and a silicon nitride film 10
After sequentially forming the resists 4, a resist film 105 for gate patterning is formed.

【0005】次に、図7bに示す工程で、レジスト膜1
05をエッチングマスクとして用い、シリコン窒化膜1
04及びポリシリコン膜103を順次エッチングして、
ゲート上保護層104a及びゲート電極103aを形成
した後、このゲート電極103aなどをマスクとして半
導体基板101内に不純物(nチャネル型MOSトラン
ジスタにおいてはリン又は砒素,pチャネル型MOSト
ランジスタにおいてはボロン)のイオン注入を行なっ
て、低濃度ソース・ドレイン領域106を形成する。そ
の後、基板上にCVD酸化膜107及びCVD窒化膜1
08を堆積する。
Next, in the step shown in FIG.
05 as an etching mask, silicon nitride film 1
04 and the polysilicon film 103 are sequentially etched,
After the over-gate protection layer 104a and the gate electrode 103a are formed, impurities (phosphor or arsenic in an n-channel MOS transistor, and boron in a p-channel MOS transistor) are introduced into the semiconductor substrate 101 using the gate electrode 103a and the like as a mask. By performing ion implantation, a low concentration source / drain region 106 is formed. Thereafter, the CVD oxide film 107 and the CVD nitride film 1 are formed on the substrate.
08 is deposited.

【0006】次に、図7cに示す工程で、CVD酸化膜
107及びCVD窒化膜108の異方性ドライエッチン
グを行うことにより、ゲート電極103aの側面上に酸
化膜サイドウォール107aと、窒化膜サイドウォール
108aとを形成する。
Next, in the step shown in FIG. 7C, by performing anisotropic dry etching of the CVD oxide film 107 and the CVD nitride film 108, an oxide film sidewall 107a and a nitride film side surface are formed on the side surfaces of the gate electrode 103a. A wall 108a is formed.

【0007】次に、図7dに示す工程で、ゲート電極1
03a,酸化膜サイドウォール107a及び窒化膜サイ
ドウォール108aをマスクとして、不純物(nチャネ
ル型MOSトランジスタにおいてはリン又は砒素,pチ
ャネル型MOSトランジスタにおいてはボロン)のイオ
ン注入を行なって、高濃度ソース・ドレイン領域109
を形成し、これによりLDD構造のMOSトランジスタ
が完成する。
Next, in the step shown in FIG.
03a, the oxide film sidewall 107a and the nitride film sidewall 108a are used as a mask, and impurities (phosphor or arsenic in an n-channel MOS transistor, and boron in a p-channel MOS transistor) are ion-implanted to obtain a high-concentration source. Drain region 109
Is formed, thereby completing the MOS transistor having the LDD structure.

【0008】セルフアラインコンタクト技術とは、以上
の工程で形成された窒化膜サイドウォール108aをコ
ンタクトホール形成時のエッチングストッパーとして用
いることにより、ゲート電極とコンタクト部材との接触
を防止するものである。
In the self-aligned contact technique, the contact between the gate electrode and the contact member is prevented by using the nitride film sidewall 108a formed in the above process as an etching stopper when forming a contact hole.

【0009】図8は、コンタクト部材を形成したときの
MOSトランジスタの断面状態の例を示す断面図であ
る。図8に示すように、図7dで形成されたLDD構造
のMOSトランジスタの上に、シリコン酸化膜からなる
層間絶縁膜110を堆積した後、層間絶縁膜110の平
坦化を行なう。そして、フォトリソグラフィー及びエッ
チングを行なって、層間絶縁膜110に高濃度ソース・
ドレイン領域109に到達するコンタクトホールを形成
する。この時、コンタクトホールの一部がゲート上保護
層104aや窒化膜サイドウォール108aに干渉して
も、シリコン酸化膜からなる層間絶縁膜110と、シリ
コン窒化膜からなるゲート上保護層104aや窒化膜サ
イドウォール108aとのエッチング選択比が高いの
で、ゲート上保護層104aや窒化膜サイドウォール1
08aはほとんどエッチングされない。つまり、これら
がコンタクトホール形成時におけるエッチングストッパ
ーとして機能することで、ゲート電極103aが保護さ
れる。その後、コンタクトホールにプラグ電極111を
埋め込んで、さらに配線層(図示せず)をその上に形成
する。
FIG. 8 is a sectional view showing an example of a sectional state of a MOS transistor when a contact member is formed. As shown in FIG. 8, after an interlayer insulating film 110 made of a silicon oxide film is deposited on the MOS transistor having the LDD structure formed in FIG. 7D, the interlayer insulating film 110 is flattened. Then, photolithography and etching are performed to form a high concentration source
A contact hole reaching the drain region 109 is formed. At this time, even if a part of the contact hole interferes with the on-gate protective layer 104a and the nitride film sidewall 108a, the interlayer insulating film 110 made of a silicon oxide film and the on-gate protective layer 104a and nitride film made of a silicon nitride film Since the etching selectivity with respect to the sidewall 108a is high, the protection layer 104a on the gate and the nitride
08a is hardly etched. That is, these functions as an etching stopper at the time of forming a contact hole, thereby protecting the gate electrode 103a. Thereafter, the plug electrode 111 is buried in the contact hole, and a wiring layer (not shown) is further formed thereon.

【0010】このように、窒化膜サイドウォール108
aは、SAC構造及びLDD構造を有するMOSトラン
ジスタの製造工程において、高濃度ソース・ドレイン領
域109を形成するためのイオン注入のマスクとして用
いられるとともに、セルフアラインコンタクト形成時の
ゲート電極103aを保護するエッチングストッパーと
しても用いられている。ただし、窒化膜サイドウォール
108aをゲート電極103aの側面に直接接触させる
とトランジスタの特性を劣化させることが分かっている
ので、ゲート電極103aと窒化膜サイドウォール10
8aとの間に薄い酸化膜サイドウォール107aを介在
させている。
As described above, the nitride film sidewall 108
a is used as a mask for ion implantation for forming the high-concentration source / drain regions 109 in the manufacturing process of the MOS transistor having the SAC structure and the LDD structure, and protects the gate electrode 103a at the time of forming the self-aligned contact. Also used as an etching stopper. However, it is known that direct contact between the nitride film sidewall 108a and the side surface of the gate electrode 103a deteriorates the characteristics of the transistor.
A thin oxide film sidewall 107a is interposed between the gate electrode 8a and the thin oxide film sidewall 107a.

【0011】ところで、図8に示すようにコンタクトホ
ールがゲート電極103aの上方にまで達するような位
置に形成される場合、酸化膜サイドウォール107aの
上端面がコンタクトホール内に露出する。そして、この
酸化膜サイドウォール107aがエッチングされると、
ゲート上保護層104aと窒化膜サイドウォール108
aとの間隙部が掘り進められてコンタクトホールがゲー
ト電極103aに達するおそれがある。これは、コンタ
クト部材であるプラグ電極111とゲート電極103a
とが電気的に短絡することを意味する。
When the contact hole is formed so as to reach above the gate electrode 103a as shown in FIG. 8, the upper end surface of the oxide film sidewall 107a is exposed in the contact hole. When the oxide film sidewall 107a is etched,
On-gate protection layer 104a and nitride film sidewall 108
There is a possibility that the contact hole may reach the gate electrode 103a due to the digging of the gap with the gate electrode 103a. This is because the plug electrode 111 and the gate electrode 103a are contact members.
Means that there is an electrical short circuit.

【0012】その対策としては、図9a,図9bに示す
ように、図7a〜図7dに示す工程によってMOSトラ
ンジスタを形成した後、MOSトランジスタを厚めのC
VD窒化膜112によって覆い、基板の全面上に層間絶
縁膜110を形成してからこの層間絶縁膜110にコン
タクトホールを形成することが行なわれている。この場
合には、図9bに示す状態で、コンタクトホールが層間
絶縁膜110を貫通するまではCVD窒化膜112によ
ってエッチングの進行が阻止されているので、コンタク
トホールがゲート電極103aに達するのを確実に防止
できる。
As a countermeasure, as shown in FIGS. 9A and 9B, after forming a MOS transistor by the steps shown in FIGS.
After covering with a VD nitride film 112 and forming an interlayer insulating film 110 on the entire surface of the substrate, a contact hole is formed in the interlayer insulating film 110. In this case, in the state shown in FIG. 9B, the progress of etching is prevented by the CVD nitride film 112 until the contact hole penetrates the interlayer insulating film 110, so that it is ensured that the contact hole reaches the gate electrode 103a. Can be prevented.

【0013】[0013]

【発明が解決しようとする課題】しかしながら、上記従
来のSAC構造を有する半導体装置の製造工程において
は、以下のような不具合があった。
However, in the manufacturing process of the above-described conventional semiconductor device having the SAC structure, there are the following problems.

【0014】すなわち、図10に示すように、比較的厚
めのCVD窒化膜112を堆積することにより、相隣接
するMOSトランジスタのゲート電極103a同士の間
隙がCVD窒化膜112によって埋められてしまうおそ
れがあった。特に、最近のように、ゲート長が0.15
μm程度で、ゲートのピッチが0.4μm程度になる
と、ゲート間の間隔は0.25μm程度になり、酸化膜
サイドウォールの厚みと窒化膜サイドウォールの厚みと
を考慮すると、両者間の間隙はきわめて小さくなるの
で、この不具合が生じる確率が高くなる傾向にある。そ
して、図10に示すようにCVD窒化膜112によって
埋められたゲート間の間隙の下方にあるソース・ドレイ
ン領域109に到達するコンタクトホールを開口するの
は、他の部材への悪影響を考慮すると実質上困難であっ
た。
That is, as shown in FIG. 10, by depositing a relatively thick CVD nitride film 112, the gap between the gate electrodes 103a of adjacent MOS transistors may be filled with the CVD nitride film 112. there were. In particular, as recently, when the gate length is 0.15
When the gate pitch is about 0.4 μm, the gap between the gates is about 0.25 μm. Considering the thickness of the oxide film sidewall and the thickness of the nitride film sidewall, the gap between them is Since it is extremely small, the probability of occurrence of this problem tends to increase. Opening a contact hole reaching the source / drain region 109 below the gap between the gates filled with the CVD nitride film 112 as shown in FIG. Was difficult.

【0015】本発明の目的は、いわゆるSAC構造を有
するMISトランジスタとして機能する半導体装置にお
いて、信頼性を維持しつつセルフアラインコンタクトの
形成を確保しうる手段を講ずることにより、微細化に適
したSAC構造の半導体装置の製造方法の提供を図るこ
とにある。
An object of the present invention is to provide a semiconductor device which functions as a MIS transistor having a so-called SAC structure, by taking measures for ensuring the formation of a self-aligned contact while maintaining reliability, thereby achieving an SAC suitable for miniaturization. An object of the present invention is to provide a method for manufacturing a semiconductor device having a structure.

【0016】[0016]

【課題を解決するための手段】本発明の半導体装置の製
造方法は、半導体基板上に、ゲート絶縁膜,ゲート電極
及びゲート上保護層を形成する工程(a)と、上記ゲー
ト電極及びゲート上保護層をマスクとして、上記半導体
基板内に低濃度不純物拡散領域を形成するためのイオン
注入を行なう工程(b)と、基板上に、第1の絶縁膜と
該第1の絶縁膜に対して選択エッチングが可能なマスク
用膜とを堆積する工程(c)と、上記第1の絶縁膜及び
マスク用膜の異方性エッチングを行なって、上記ゲート
電極及びゲート上保護層の側面上に上記第1の絶縁膜の
一部を残して第1のサイドウォールを形成するととも
に、上記第1のサイドウォールの側面上に上記マスク用
膜の一部を残して第2のサイドウォールを形成する工程
(d)と、上記ゲート上保護層,ゲート電極及び各サイ
ドウォールをマスクとして、上記半導体基板内に高濃度
不純物拡散領域を形成するためのイオン注入を行なう工
程(e)と、上記工程(e)の後、上記第1のサイドウ
ォールを残して第2のサイドウォールを選択的に除去す
る工程(f)と、上記工程(f)の後、基板上に、少な
くとも上記ゲート上保護層及び第1サイドウォールを覆
う第2の絶縁膜を堆積する工程(g)と、上記工程
(g)の後、基板上に、上記第2の絶縁膜に対して選択
エッチングが可能な材料からなる層間絶縁膜を堆積する
工程(h)と、エッチングにより、上記層間絶縁膜に、
上記高濃度不純物拡散領域に達する開口を形成する工程
(i)と、上記開口に導電性材料からなるプラグ電極を
埋め込む工程(j)とを備えている。
According to the present invention, there is provided a method of manufacturing a semiconductor device, comprising the steps of: (a) forming a gate insulating film, a gate electrode, and a protective layer on a gate on a semiconductor substrate; (B) performing ion implantation for forming a low-concentration impurity diffusion region in the semiconductor substrate using the protective layer as a mask; and forming a first insulating film and a first insulating film on the substrate. A step (c) of depositing a mask film that can be selectively etched, and anisotropically etching the first insulating film and the mask film to form a film on the side surfaces of the gate electrode and the over-gate protection layer. Forming a first sidewall while leaving a part of the first insulating film, and forming a second sidewall while leaving a part of the mask film on a side surface of the first sidewall; (D) and the game A step (e) of performing ion implantation for forming a high-concentration impurity diffusion region in the semiconductor substrate using the upper protective layer, the gate electrode, and each side wall as a mask, and after the step (e), (F) for selectively removing the second sidewall while leaving the side wall of (a), and after the step (f), a second layer covering at least the above-gate protection layer and the first sidewall on the substrate. (G) depositing an insulating film of the above, and after the step (g), depositing an interlayer insulating film made of a material that can be selectively etched with respect to the second insulating film on the substrate (h) ) And by etching,
The method includes a step (i) of forming an opening reaching the high-concentration impurity diffusion region, and a step (j) of embedding a plug electrode made of a conductive material in the opening.

【0017】この方法により、工程(e)においては、
第2のサイドウォールが存在しているので、低濃度不純
物拡散領域とはオフセットした位置に高濃度不純物拡散
領域を形成でき、いわゆるLDD構造のMISトランジ
スタが得られる。一方、工程(g)においては、第2の
サイドウォールが存在していないので、相隣接するトラ
ンジスタのゲート電極同士の間隙が十分広く確保されて
おり、その間隙が第2の絶縁膜によって埋められるのを
抑制することができる。よって、半導体装置が微細化さ
れた場合でも、いわゆるSAC構造を有しつつ、第2の
絶縁膜の存在による信頼性の高い半導体装置を形成する
ことができる。
According to this method, in the step (e),
Since the second sidewall exists, the high-concentration impurity diffusion region can be formed at a position offset from the low-concentration impurity diffusion region, and a MIS transistor having a so-called LDD structure can be obtained. On the other hand, in the step (g), the gap between the gate electrodes of the adjacent transistors is sufficiently large because the second sidewall is not present, and the gap is filled with the second insulating film. Can be suppressed. Therefore, even when the semiconductor device is miniaturized, a highly reliable semiconductor device having a so-called SAC structure and the presence of the second insulating film can be formed.

【0018】上記半導体装置の製造方法において、上記
工程(c)では、上記第1の絶縁膜としてNSG膜を、
上記マスク用膜としてPSG膜またはBPSG膜をそれ
ぞれ形成することにより、半導体装置の動作領域に応力
を生ぜしめるなどの悪影響を及ぼすことなく、第1のサ
イドウォールと第2のサイドウォールとのエッチング選
択比を確保することができる。
In the method of manufacturing a semiconductor device, in the step (c), an NSG film is formed as the first insulating film.
By forming a PSG film or a BPSG film as the mask film, respectively, the etching selection of the first sidewall and the second sidewall can be performed without adverse effects such as generation of stress in the operation region of the semiconductor device. The ratio can be secured.

【0019】その場合には、上記工程(f)を、HF気
相エッチングにより行なうことにより、高いエッチング
選択比によって第2のサイドウォールのみを確実に除去
することができる。
In this case, by performing the step (f) by HF vapor phase etching, only the second sidewall can be reliably removed with a high etching selectivity.

【0020】上記半導体装置の製造方法において、上記
工程(f)の後で上記工程(g)の前に、ゲート上保護
層,ゲート電極及び第1のサイドウォールをマスクとし
て、上記半導体基板内にポケット注入領域を形成するた
めのイオン注入を行なう工程をさらに備えることによ
り、パンチスルー防止機能の高い半導体装置を形成する
ことができる。
In the method of manufacturing a semiconductor device, after the step (f) and before the step (g), the semiconductor substrate is formed in the semiconductor substrate by using the over-gate protective layer, the gate electrode and the first sidewall as a mask. By further providing a step of performing ion implantation for forming a pocket implantation region, a semiconductor device having a high punch-through prevention function can be formed.

【0021】上記半導体装置の製造方法において、上記
工程(e)の後で上記工程(f)の前に、基板上に、非
シリサイド化領域のマスクとなる保護膜を形成する工程
と、上記保護膜をマスクとして、上記高濃度不純物拡散
領域の下方にリーク防止用低濃度不純物拡散領域を形成
する工程と、上記保護膜のうち非シリサイド化領域を覆
う部分を残して、シリサイド化領域を覆う部分を選択的
に除去する工程と、上記高濃度不純物拡散領域の表面部
にシリサイド層を形成する工程とを行なうことにより、
シリサイド工程を行なうときのマスクとなる保護膜を利
用して、リーク防止用低濃度不純物拡散領域を形成する
ことができる。そして、このリーク防止用低濃度不純物
拡散領域により、リーク電流が低減されるだけでなく、
接合容量も低減されるので、シリサイド層によるシート
抵抗の低減と相俟って、動作速度の高い半導体装置が得
られることになる。
In the method of manufacturing a semiconductor device, after the step (e) and before the step (f), a step of forming a protective film on the substrate as a mask for the non-silicided region; Forming a low-concentration impurity diffusion region for preventing leakage below the high-concentration impurity diffusion region using the film as a mask; and covering the silicidation region except for a portion of the protective film covering the non-silicidation region. And a step of forming a silicide layer on the surface of the high-concentration impurity diffusion region.
Using the protective film serving as a mask when performing the silicide process, a low-concentration impurity diffusion region for preventing leakage can be formed. The leak preventing low-concentration impurity diffusion region not only reduces the leak current, but also
Since the junction capacitance is also reduced, a semiconductor device having a high operation speed can be obtained in combination with the reduction of the sheet resistance by the silicide layer.

【0022】本発明の第2の半導体装置の製造方法は、
半導体基板上に、ゲート絶縁膜,ゲート電極及びゲート
上保護層を形成する工程(a)と、基板上に、第1の絶
縁膜と該第1の絶縁膜に対して選択エッチングが可能な
マスク用膜とを堆積する工程(b)と、上記第1の絶縁
膜及びマスク用膜の異方性エッチングを行なって、上記
ゲート電極及びゲート上保護層の側面上に上記第1の絶
縁膜の一部を残して第1のサイドウォールを形成すると
ともに、上記第1のサイドウォールの側面上に上記マス
ク用膜の一部を残して第2のサイドウォールを形成する
工程(c)と、上記ゲート上保護層,ゲート電極及び各
サイドウォールをマスクとして、上記半導体基板内に高
濃度不純物拡散領域を形成するためのイオン注入を行な
う工程(d)と、上記工程(d)の後、上記第1のサイ
ドウォールを残して第2のサイドウォールを選択的に除
去する工程(e)と、上記ゲート電極,ゲート上保護層
及び上記第1のサイドウォールをマスクとして、上記半
導体基板内に低濃度不純物拡散領域を形成するためのイ
オン注入を行なう工程(f)と、上記工程(f)の後、
基板上に、少なくとも上記ゲート上保護層及び第1サイ
ドウォールを覆う第2の絶縁膜を堆積する工程(g)
と、上記工程(g)の後、基板上に、上記第2の絶縁膜
に対して選択エッチングが可能な材料からなる層間絶縁
膜を堆積する工程(h)と、エッチングにより、上記層
間絶縁膜に、上記高濃度不純物拡散領域に達する開口を
形成する工程(i)と、上記開口に導電性材料からなる
プラグ電極を埋め込む工程(j)とを備えている。
According to a second method of manufacturing a semiconductor device of the present invention,
Step (a) of forming a gate insulating film, a gate electrode and a protective layer on a gate on a semiconductor substrate, and a first insulating film and a mask capable of selectively etching the first insulating film on the substrate (B) depositing a first insulating film and an anisotropic etching of the first insulating film and the mask film to form a first insulating film on the side surfaces of the gate electrode and the over-gate protective layer. (C) forming a first sidewall while leaving a part thereof, and forming a second sidewall while leaving a part of the mask film on a side surface of the first sidewall; A step (d) of performing ion implantation for forming a high-concentration impurity diffusion region in the semiconductor substrate using the over-gate protective layer, the gate electrode, and the respective sidewalls as a mask; and, after the step (d), Leaving one side wall (E) selectively removing the second sidewall, and forming a low-concentration impurity diffusion region in the semiconductor substrate using the gate electrode, the protective layer on the gate and the first sidewall as a mask. After the step (f) of performing the ion implantation of the above and the above step (f),
Depositing a second insulating film covering at least the above-mentioned protective layer on the gate and the first sidewall on the substrate (g).
Depositing an interlayer insulating film made of a material that can be selectively etched with respect to the second insulating film on the substrate after the step (g); and etching the interlayer insulating film by etching. (I) forming an opening reaching the high-concentration impurity diffusion region; and (j) embedding a plug electrode made of a conductive material into the opening.

【0023】この方法によっても、第1の半導体装置の
製造方法と基本的に同じ作用効果が得られる。加えて、
この方法の場合には、高濃度不純物拡散領域を形成して
から低濃度不純物拡散領域を形成するので、高濃度不純
物拡散領域の不純物活性化のための熱処理を高温条件で
行なって高濃度不純物拡散領域を十分深くして接合容量
を低減しつつ、低濃度拡散領域の不純物活性化のための
熱処理を低温条件又は高温であっても短時間処理で行な
って、短チャネル効果の抑制を図ることが可能となる。
According to this method, the same operation and effect as those of the first method for manufacturing a semiconductor device can be obtained. in addition,
In this method, the high-concentration impurity diffusion region is formed first, and then the low-concentration impurity diffusion region is formed. It is possible to suppress the short channel effect by performing a heat treatment for activating the impurity in the low concentration diffusion region in a short time even at a low temperature condition or a high temperature while reducing the junction capacitance by sufficiently deepening the region. It becomes possible.

【0024】第2の半導体装置の製造方法においても、
第1の半導体装置の製造方法と同様の付加的事項を設け
ることができる。
In the second method for manufacturing a semiconductor device,
Additional items similar to those of the first method for manufacturing a semiconductor device can be provided.

【0025】[0025]

【発明の実施の形態】以下、本発明の実施の形態につい
て、図面を参照しつつ説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0026】(第1の実施形態)図1a〜図1dは、本
発明の第1の実施形態における半導体装置であるNチャ
ネル型MISトランジスタの製造工程を示す断面図であ
る。なお、Pチャネル型MISトランジスタにおいて
も、MISトランジスタの各部にドープされる不純物の
導電型が異なるだけであり、基本的には図1a〜図1d
に示す工程と同じ工程を行なう。
(First Embodiment) FIGS. 1A to 1D are cross-sectional views showing steps of manufacturing an N-channel MIS transistor which is a semiconductor device according to a first embodiment of the present invention. Note that the P-channel MIS transistor also differs only in the conductivity type of the impurity doped into each part of the MIS transistor.
Are performed in the same manner as the process shown in FIG.

【0027】まず、図1aに示す工程で、Si基板1上
に、素子分離領域(図示せず)を形成した後、以下の工
程によって、素子分離領域によって囲まれる活性領域に
MISトランジスタを形成するための工程を行なう。ま
ず、熱酸化工程を行なってSi基板1の主面上に厚みが
約3nmのシリコン酸化膜を形成した後、窒化処理を行
なって酸窒化シリコンからなるゲート絶縁膜2を形成す
る。そして、ゲート絶縁膜2の上に、ポリシリコンから
なるゲート電極3aと、窒化シリコンからなるゲート上
保護層4aとを形成する。このとき、図示しないが、上
記従来の技術において説明した図7aに示す工程と同じ
工程を行なう。すなわち、ポリシリコン膜及びシリコン
窒化膜を堆積した後に、フォトリソグラフィー及びドラ
イエッチングを行なうことにより、ポリシリコン膜及び
シリコン窒化膜をパターニングしてゲート電極3a及び
ゲート上保護層4aを形成する。
First, in the step shown in FIG. 1A, after forming an element isolation region (not shown) on the Si substrate 1, an MIS transistor is formed in an active region surrounded by the element isolation region by the following steps. For the process. First, a silicon oxide film having a thickness of about 3 nm is formed on the main surface of the Si substrate 1 by performing a thermal oxidation process, and then a gate insulating film 2 made of silicon oxynitride is formed by performing a nitriding process. Then, a gate electrode 3a made of polysilicon and an over-gate protection layer 4a made of silicon nitride are formed on the gate insulating film 2. At this time, although not shown, the same steps as those shown in FIG. That is, after depositing the polysilicon film and the silicon nitride film, photolithography and dry etching are performed to pattern the polysilicon film and the silicon nitride film to form the gate electrode 3a and the over-gate protection layer 4a.

【0028】なお、ゲート電極3aには、N型不純物
(Pチャネル型MISトランジスタにはP型不純物)が
ドープされている。
The gate electrode 3a is doped with an N-type impurity (a P-type impurity for a P-channel MIS transistor).

【0029】その後、ゲート電極3a及びゲート上保護
層4aをマスクとして、リンイオン(P+ )を、傾き角
7〜40°,注入エネルギー20〜30keV,ドーズ
量約5×1013/cm2 の条件で注入して、低濃度ソー
ス・ドレイン領域6を形成する。なお、低濃度ソース・
ドレイン領域6に代えてエクステンション領域を形成し
てもよく、その場合には、砒素イオン(As)を、注入
エネルギー10〜20keV,ドーズ量5×1014/c
2 の条件で注入する。
Then, using the gate electrode 3a and the over-gate protective layer 4a as a mask, phosphorus ions (P + ) are implanted under the conditions of an inclination angle of 7 to 40 °, an implantation energy of 20 to 30 keV, and a dose of about 5 × 10 13 / cm 2 . To form low-concentration source / drain regions 6. In addition, low concentration source
An extension region may be formed instead of the drain region 6, in which case, arsenic ions (As) are implanted with an implantation energy of 10 to 20 keV and a dose of 5 × 10 14 / c.
Inject under the condition of m 2 .

【0030】次に、図1bに示す工程で、基板の全面上
に、厚みが10〜20nmの薄いノンドープ酸化膜15
(例えばNSG膜)と、厚みが40〜60nmのドープ
ト酸化膜16(BPSG膜,PSG膜,BSG膜など)
とを順に堆積する。
Next, in the step shown in FIG. 1B, a thin non-doped oxide film 15 having a thickness of 10 to 20 nm is formed on the entire surface of the substrate.
(For example, NSG film) and a doped oxide film 16 (BPSG film, PSG film, BSG film, etc.) having a thickness of 40 to 60 nm.
Are sequentially deposited.

【0031】次に、図1cに示す工程で、異方性エッチ
ングを行って、ゲート電極3aの側面上に第1サイドウ
ォール15aと、第2サイドウォール16aとを形成す
る。そして、ゲート電極3a,ゲート上保護層4a及び
各サイドウォール15a,16aをマスクとして、砒素
イオンを、傾き角7°,注入エネルギー30〜50ke
V,ドーズ量3〜5×1015/cm2 の条件で注入し
て、高濃度ソース・ドレイン領域9を形成する。
Next, in the step shown in FIG. 1C, anisotropic etching is performed to form a first sidewall 15a and a second sidewall 16a on the side surface of the gate electrode 3a. Then, using the gate electrode 3a, the over-gate protective layer 4a and the side walls 15a and 16a as masks, arsenic ions are implanted at an inclination angle of 7 ° and an implantation energy of 30 to 50 ke.
V is implanted under the conditions of a dose of 3 to 5 × 10 15 / cm 2 to form a high concentration source / drain region 9.

【0032】なお、第1サイドウォール15aと第2サ
イドウォール16aとの間に薄い窒化膜を介在させても
よい。また、第1サイドウォール15a及び第2サイド
ウォール16aの材質は、本実施形態の材質に限定され
るものではなく、次の工程で第2のサイドウォール16
aを選択的に除去できるものであればよい。
Note that a thin nitride film may be interposed between the first sidewall 15a and the second sidewall 16a. In addition, the material of the first sidewall 15a and the second sidewall 16a is not limited to the material of the present embodiment, and the second sidewall 16a is formed in the next step.
What is necessary is just to be able to remove a selectively.

【0033】次に、図1dに示す工程で、第1サイドウ
ォール15aを残して、第2サイドウォール16aのみ
を選択的に除去する。その際、HFの気相エッチングを
行なうことにより、選択エッチングを容易に行なうこと
ができる。すなわち、HFの気相エッチングにおいて
は、BPSG膜等のドープト酸化膜に対するエッチング
速度がNSG膜等のノンドープ酸化膜に対するエッチン
グ速度よりも2桁程度速いので、ドープト酸化膜を選択
的にエッチング除去することが可能となる。なお、この
とき、オーバーエッチング量によっても異なるが、ゲー
ト絶縁膜2のうち基板上に露出している部分は除去され
ることが多い。
Next, in the step shown in FIG. 1D, only the second sidewall 16a is selectively removed while leaving the first sidewall 15a. At this time, selective etching can be easily performed by performing HF vapor phase etching. That is, in the gas phase etching of HF, the etching rate for a doped oxide film such as a BPSG film is about two orders of magnitude higher than the etching rate for a non-doped oxide film such as an NSG film. Becomes possible. At this time, the portion of the gate insulating film 2 that is exposed on the substrate is often removed, although it depends on the amount of over-etching.

【0034】その後、ゲート電極3a,ゲート上保護層
4a及び第1サイドウォール15aをマスクとして、ボ
ロンイオン(又はフッ化ボロンイオン)を、傾き角20
〜40°,注入エネルギー10〜50keV,ドーズ量
1〜5×1013/cm2 の条件で注入し、パンチスルー
ストッパー用のポケット注入領域Rpoを形成する。な
お、このポケット注入領域Rpoは、必ずしも必要ではな
い。
Thereafter, using the gate electrode 3a, the over-gate protection layer 4a and the first side wall 15a as a mask, boron ions (or boron fluoride ions) are tilted at an angle of 20 degrees.
The implantation is performed under conditions of about 40 °, implantation energy of 10 to 50 keV, and dose of 1 to 5 × 10 13 / cm 2 to form a pocket implantation region Rpo for a punch-through stopper. The pocket injection region Rpo is not always necessary.

【0035】その後、各領域6,9,Rpoにドープされ
た不純物を活性化するために、900〜1000℃,1
0〜30secの条件でRTA処理を行なう。
Thereafter, in order to activate the impurities doped in each of the regions 6, 9 and Rpo, at 900-1000.degree.
The RTA process is performed under the condition of 0 to 30 sec.

【0036】その後、図2a〜図2cに示す手順によっ
てセルフアラインコンタクトの工程を行なう。なお、こ
こにいう“セルフアラインコンタクト”とは、ゲート電
極形成用マスクと、コンタクトホールを形成するための
マスクとの位置ズレを考慮したマージンを設けないこと
をいう。
Thereafter, a self-align contact step is performed according to the procedure shown in FIGS. 2A to 2C. Note that “self-aligned contact” here means that a margin is not provided in consideration of a positional shift between a mask for forming a gate electrode and a mask for forming a contact hole.

【0037】まず、図2aに示す工程で、CVD法によ
り、基板の全面上に窒化シリコンからなる厚みが30〜
50nmの全面保護膜12を堆積する。このとき、全面
保護膜12の材料として、窒化シリコンに代えて、後に
形成される層間絶縁膜とのエッチング選択比の高い他の
絶縁性材料を用いることもできる。
First, in the step shown in FIG. 2A, a thickness of 30 to 30
A 50 nm overall protective film 12 is deposited. At this time, as the material of the entire surface protective film 12, instead of silicon nitride, another insulating material having a high etching selectivity with respect to an interlayer insulating film to be formed later can be used.

【0038】次に、図2bに示す工程で、基板の全面上
に層間絶縁膜10を堆積して、層間絶縁膜10の平坦化
を行った後、フォトリソグラフィー及びドライエッチン
グにより、層間絶縁膜10及び全面保護膜12を貫通し
て高濃度ソース・ドレイン領域9に到達するコンタクト
ホールHctを形成する。この時、コンタクトホールHct
が全面保護膜12の一部に達して当該一部が露出する
と、層間絶縁膜10とはエッチング選択比の高い全面保
護膜12がエッチングストッパーの機能を果たす。層間
絶縁膜10の除去が終了すると、エッチングガスを切り
替えて全面保護膜12の除去を行なう。これにより、ゲ
ート電極3aに対してセルフアラインのコンタクトホー
ルHctを形成することができる。なお、図2bは、マス
クのズレが大きい場合にコンタクトホールHctがゲート
上保護層4aに接触した状態を示している。なお、第1
サイドウォール15aのうちコンタクトホールHct内に
さらされた部分の側面上には、全面保護膜12の一部が
残存してサイドウォール12aとなっている。
Next, in a step shown in FIG. 2B, an interlayer insulating film 10 is deposited on the entire surface of the substrate, and the interlayer insulating film 10 is planarized. Then, the interlayer insulating film 10 is formed by photolithography and dry etching. Then, a contact hole Hct which penetrates the entire surface protective film 12 and reaches the high concentration source / drain region 9 is formed. At this time, contact hole Hct
Reaches a part of the entire surface protective film 12 and the part is exposed, the entire surface protective film 12 having a high etching selectivity with respect to the interlayer insulating film 10 functions as an etching stopper. When the removal of the interlayer insulating film 10 is completed, the entire surface protective film 12 is removed by switching the etching gas. Thereby, a self-aligned contact hole Hct can be formed for gate electrode 3a. Note that FIG. 2B shows a state where the contact hole Hct is in contact with the on-gate protection layer 4a when the displacement of the mask is large. The first
On the side surface of the portion of the sidewall 15a exposed to the inside of the contact hole Hct, a part of the entire protective film 12 remains to form the sidewall 12a.

【0039】その後、図2cに示す工程で、コンタクト
ホールHct内にW,Al等の金属を埋め込んで、プラグ
電極11を形成する。
Thereafter, in a step shown in FIG. 2C, a metal such as W or Al is buried in the contact hole Hct to form the plug electrode 11.

【0040】その後の工程の図示は省略するが、層間絶
縁膜10及びプラグ電極11の上に金属配線層を形成
し、さらに、必要に応じて第2層目の層間絶縁膜,第2
配線層,…などを形成して、多層配線層を設けるのが一
般的である。
Although illustration of the subsequent steps is omitted, a metal wiring layer is formed on the interlayer insulating film 10 and the plug electrode 11 and, if necessary, a second interlayer insulating film,
It is general to form a wiring layer,..., And provide a multilayer wiring layer.

【0041】本実施形態の製造方法によると、図2bに
示す工程において、層間絶縁膜10を貫通してソース・
ドレイン領域に到達するコンタクトホールHctを形成す
る際に、全面保護膜12がゲート電極3a及び第1サイ
ドウォール15aの上方を完全に覆っているので、第1
サイドウォール15aが除去されてコンタクトホールH
ctがゲート電極3aに達することはない。すなわち、コ
ンタクトホールHctが層間絶縁膜10を貫通して全面保
護膜12の一部に達すると、層間絶縁膜10とのエッチ
ング選択比の高い全面保護膜12がエッチングストッパ
ーとして機能する。したがって、層間絶縁膜10の除去
が終了するまでに、全面保護膜12のうちすでに露出し
ている部分は多少エッチングされるが、そのエッチング
量はわずかであるために、コンタクトホールHctが全面
保護膜12を貫通してゲート上保護層4aや第1サイド
ウォール15aに到達することはない。また、コンタク
トホールHctが全面保護膜12を貫通した後は、オーバ
ーエッチングの分だけゲート上保護層4aや第1サイド
ウォール15aが部分的に除去されるがその除去量はわ
ずかである。
According to the manufacturing method of the present embodiment, in the step shown in FIG.
When the contact hole Hct reaching the drain region is formed, the first passivation film 12 completely covers the upper part of the gate electrode 3a and the first sidewall 15a.
The side wall 15a is removed and the contact hole H is removed.
ct does not reach the gate electrode 3a. That is, when the contact hole Hct reaches a part of the entire surface protective film 12 through the interlayer insulating film 10, the entire surface protective film 12 having a high etching selectivity with respect to the interlayer insulating film 10 functions as an etching stopper. Therefore, by the time the removal of the interlayer insulating film 10 is completed, the already exposed portion of the entire surface protective film 12 is slightly etched. However, since the etching amount is small, the contact hole Hct is not completely removed. 12 does not reach the over-gate protection layer 4a or the first sidewall 15a. After the contact hole Hct has penetrated the entire surface protective film 12, the over-gate protective layer 4a and the first sidewall 15a are partially removed by the amount of the overetching, but the removal amount is small.

【0042】上述の効果は、図9a,図9bに示す従来
の半導体装置の製造方法とほぼ同様である。しかし、本
実施形態では、従来の半導体装置の製造方法とは異な
り、相隣接するゲート電極間の間隙を全面保護膜が埋め
るのを有効に防止することがでいる。
The above effects are almost the same as those of the conventional method for manufacturing a semiconductor device shown in FIGS. 9A and 9B. However, in the present embodiment, unlike the conventional method of manufacturing a semiconductor device, it is possible to effectively prevent the entire surface of the protective film from filling the gap between the adjacent gate electrodes.

【0043】図3は、2つのMISトランジスタのゲー
ト電極3a間の間隙がきわめて小さい場合の状態を示す
断面図である。本実施形態においては、図10に示す窒
化膜サイドウォール108aに相当する第2サイドウォ
ール16aが除去されているので、ゲート電極3a間の
間隙はその分広くなっている。従って、この間隙が全面
保護膜12によって埋められてしまうことはない。たと
えば、ゲート長が0.15μmでゲート電極のピッチが
0.4μmである場合、相隣接するゲート電極3a同士
の間隙は、0.25μmである。この条件で、第1サイ
ドウォール15aの厚みが高々20nmであると、この
間隙は0.21μmであるので、厚みが高々50nmで
ある全面保護膜12を堆積しても、相隣接するゲート電
極3a同士の間隙が全面保護膜12によって埋められて
しまうことはない。
FIG. 3 is a sectional view showing a state where the gap between the gate electrodes 3a of two MIS transistors is extremely small. In the present embodiment, since the second sidewall 16a corresponding to the nitride film sidewall 108a shown in FIG. 10 is removed, the gap between the gate electrodes 3a is widened accordingly. Therefore, this gap is not filled with the entire surface protective film 12. For example, when the gate length is 0.15 μm and the pitch between the gate electrodes is 0.4 μm, the gap between adjacent gate electrodes 3a is 0.25 μm. Under this condition, if the thickness of the first sidewall 15a is at most 20 nm, the gap is 0.21 μm. Therefore, even if the entire surface protective film 12 having the thickness of at most 50 nm is deposited, the adjacent gate electrode 3a The gap between them is not filled with the entire surface protective film 12.

【0044】すなわち、相隣接するMISトランジスタ
のゲート電極3a同士の間に存在する共通のソース・ド
レイン領域9に対しても、それ以外の部分に存在するソ
ース・ドレイン領域9へのコンタクトホールと同様に、
確実にコンタクトホールを形成することができる。
That is, the common source / drain region 9 existing between the gate electrodes 3a of the adjacent MIS transistors is the same as the contact hole to the source / drain region 9 existing in other portions. To
A contact hole can be reliably formed.

【0045】なお、ポケット注入領域Rpoは必ずしも必
要ではない。しかし、ポケット注入領域Rpoを設けるこ
とによってパンチスルーを効果的に抑制できるために、
一般にLDD構造のMISトランジスタにはポケット注
入領域を設けることが多い。その場合、従来の製造工程
では、図7b又は図7dに示す工程でポケット注入領域
形成のためのイオン注入を行なうことになるが、イオン
注入の角度と注入エネルギーの差だけで、低濃度ソース
・ドレイン領域や高濃度ソース・ドレイン領域との区分
けを行なう必要がある。それに対し、本実施形態では、
第1サイドウォール15aのみをマスクとすることで、
低濃度ソース・ドレイン領域6(又はエクステンション
領域)や高濃度ソース・ドレイン領域9との区分けをす
ることが容易となる利点がある。
The pocket injection region Rpo is not always necessary. However, punch-through can be effectively suppressed by providing the pocket injection region Rpo.
Generally, a pocket injection region is often provided in an MIS transistor having an LDD structure. In this case, in the conventional manufacturing process, ion implantation for forming a pocket implantation region is performed in the process shown in FIG. 7B or FIG. 7D. It is necessary to distinguish between the drain region and the high concentration source / drain region. In contrast, in the present embodiment,
By using only the first sidewall 15a as a mask,
There is an advantage that it can be easily distinguished from the low-concentration source / drain region 6 (or the extension region) and the high-concentration source / drain region 9.

【0046】なお、本発明において、全面保護膜12
は、層間絶縁膜10に対するエッチング選択比の高い材
料により構成されている必要がある。一般に、層間絶縁
膜には不純物がドープされたシリコン酸化膜,たとえば
BPSG膜が使用される。したがって、本発明における
全面保護膜12としては、BPSG膜とのエッチング選
択比の高いシリコン窒化膜を用いることが好ましい。た
だし、層間絶縁膜10とのエッチング選択比を確保でき
る限り、NSG膜,シリコン酸窒化膜など,他の絶縁膜
の使用も可能である。後述する第2,第3の実施形態に
おいても同様である。
In the present invention, the entire surface protective film 12 is formed.
Must be made of a material having a high etching selectivity to the interlayer insulating film 10. Generally, a silicon oxide film doped with an impurity, for example, a BPSG film is used for the interlayer insulating film. Therefore, it is preferable to use a silicon nitride film having a high etching selectivity with respect to the BPSG film as the entire surface protective film 12 in the present invention. However, other insulating films such as an NSG film and a silicon oxynitride film can be used as long as the etching selectivity with the interlayer insulating film 10 can be ensured. The same applies to the second and third embodiments described later.

【0047】また、第1サイドウォール15aは、ゲー
ト電極3aやSi基板1に対して応力を生ぜしめない材
料によって構成されている必要があり、第2サイドウォ
ール16aは、第1サイドウォール15aや、ゲート絶
縁膜2又はSi基板1とのエッチングの選択比の高い材
料によって構成されている必要がある。
The first sidewall 15a must be made of a material that does not generate stress on the gate electrode 3a or the Si substrate 1, and the second sidewall 16a is formed of the first sidewall 15a or the first sidewall 15a. , The gate insulating film 2 or the Si substrate 1 must be made of a material having a high etching selectivity.

【0048】従って、本実施形態における組み合わせの
ほかに、第1サイドウォール15aをシリコン酸化膜
(ノンドープ酸化膜又はドープト酸化膜のいずれでもよ
い)から形成し、第2サイドウォールをシリコン窒化
膜,ポリシリコン膜,金属膜,有機膜,カーボン膜など
から形成する組み合わせも採用することができる。ま
た、第1,第2サイドウォール15a,16aの双方を
シリコン酸化膜から形成する場合でも、不純物の濃度が
一方が高くて一方が低ければエッチング選択比を大きく
確保することができる。ただし、第1サイドウォール1
5aがゲート絶縁膜2に接することを考慮すると、第1
サイドウォール15aのほうが不純物濃度の低いシリコ
ン酸化膜から形成されていることが好ましい。後述する
第2,第3の実施形態においても同様である。
Therefore, in addition to the combination in this embodiment, the first side wall 15a is formed from a silicon oxide film (either a non-doped oxide film or a doped oxide film), and the second side wall 15a is formed from a silicon nitride film and a poly-silicon film. A combination formed from a silicon film, a metal film, an organic film, a carbon film, or the like can also be employed. Even when both the first and second sidewalls 15a and 16a are formed of a silicon oxide film, a high etching selectivity can be ensured if one of the impurity concentrations is high and the other is low. However, the first sidewall 1
Considering that the gate electrode 5a contacts the gate insulating film 2, the first
It is preferable that the side wall 15a is formed of a silicon oxide film having a lower impurity concentration. The same applies to the second and third embodiments described later.

【0049】また、ゲート上保護層4aは、絶縁性材料
であればよく、層間絶縁膜10とのエッチング選択比が
高いことは必ずしも必要でない。ただし、全面保護膜1
2と層間絶縁膜10とのエッチング選択比が高くても、
厚い層間絶縁膜10を貫通し終わるまでに、全面保護膜
12のうちゲート上保護層4aの直上部分が除去されて
しまうこともあり得ることを考慮すると、ゲート上保護
層4aは層間絶縁膜10とのエッチング選択比が高い材
料で構成されていることが好ましい。したがって、ゲー
ト上保護層の材質としては窒化シリコンが好ましい。後
述する第2,第3の実施形態においても同様である。
The protective layer 4a on the gate may be made of an insulating material, and it is not always necessary that the etching selectivity with the interlayer insulating film 10 is high. However, the entire protective film 1
2 and the interlayer insulating film 10 have a high etching selectivity,
Considering that the portion directly above the on-gate protection layer 4a of the entire surface protection film 12 may be removed before the penetration of the thick interlayer insulation film 10 is completed, the on-gate protection layer 4a is formed of the interlayer insulation film 10a. It is preferable to be made of a material having a high etching selectivity with respect to. Therefore, silicon nitride is preferable as the material of the protective layer on the gate. The same applies to the second and third embodiments described later.

【0050】(第2の実施形態)図4a〜図4dは、本
発明の第2の実施形態における半導体装置であるNチャ
ネル型MISトランジスタの製造工程を示す断面図であ
る。なお、Pチャネル型MISトランジスタにおいて
も、MISトランジスタの各部にドープされる不純物の
導電型が異なるだけであり、基本的には図4a〜図4d
に示す工程と同じ工程を行なう。
(Second Embodiment) FIGS. 4A to 4D are cross-sectional views showing steps of manufacturing an N-channel MIS transistor as a semiconductor device according to a second embodiment of the present invention. The P-channel MIS transistor also differs only in the conductivity type of the impurity doped into each part of the MIS transistor.
Are performed in the same manner as the process shown in FIG.

【0051】まず、図4aに示す工程で、Si基板1上
に、素子分離領域(図示せず)を形成した後、以下の工
程によって、素子分離領域によって囲まれる活性領域に
MISトランジスタを形成するための工程を行なう。ま
ず、熱酸化工程を行なってSi基板1の主面上に厚みが
約3nmのシリコン酸化膜を形成した後、窒化処理を行
なって酸窒化シリコンからなるゲート絶縁膜2を形成す
る。そして、ゲート絶縁膜2の上に、ポリシリコンから
なるゲート電極3aと、窒化シリコンからなるゲート上
保護層4aとを形成する。このとき、図示しないが、上
記従来の技術において説明した図7aに示す工程と同じ
工程を行なう。すなわち、ポリシリコン膜及びシリコン
窒化膜を堆積した後に、フォトリソグラフィー及びドラ
イエッチングを行なうことにより、ポリシリコン膜及び
シリコン窒化膜をパターニングしてゲート電極3a及び
ゲート上保護層4aを形成する。なお、ゲート電極3a
には、N型不純物(Pチャネル型MISトランジスタに
はP型不純物)がドープされている。
First, in a step shown in FIG. 4A, after forming an element isolation region (not shown) on the Si substrate 1, an MIS transistor is formed in an active region surrounded by the element isolation region by the following steps. For the process. First, a silicon oxide film having a thickness of about 3 nm is formed on the main surface of the Si substrate 1 by performing a thermal oxidation process, and then a gate insulating film 2 made of silicon oxynitride is formed by performing a nitriding process. Then, a gate electrode 3a made of polysilicon and an over-gate protection layer 4a made of silicon nitride are formed on the gate insulating film 2. At this time, although not shown, the same steps as those shown in FIG. That is, after depositing the polysilicon film and the silicon nitride film, photolithography and dry etching are performed to pattern the polysilicon film and the silicon nitride film to form the gate electrode 3a and the over-gate protection layer 4a. The gate electrode 3a
Is doped with an N-type impurity (a P-type impurity for a P-channel MIS transistor).

【0052】次に、図4bに示す工程で、基板の全面上
に、厚みが10〜20nmの薄いノンドープ酸化膜15
(例えばNSG膜)と、厚みが40〜60nmのドープ
ト酸化膜16(BPSG膜,PSG膜,BSG膜など)
とを順に堆積する。
Next, in a step shown in FIG. 4B, a thin non-doped oxide film 15 having a thickness of 10 to 20 nm is formed on the entire surface of the substrate.
(For example, NSG film) and a doped oxide film 16 (BPSG film, PSG film, BSG film, etc.) having a thickness of 40 to 60 nm.
Are sequentially deposited.

【0053】次に、図4cに示す工程で、異方性エッチ
ングを行って、ゲート電極3aの側面上に第1サイドウ
ォール15aと、第2サイドウォール16aとを形成す
る。そして、ゲート電極3a,ゲート上保護層4a及び
各サイドウォール15a,16aをマスクとして、砒素
イオンを、傾き角7°,注入エネルギー30〜50ke
V,ドーズ量3〜5×1015/cm2 の条件で注入し
て、高濃度ソース・ドレイン領域9を形成する。その
後、高濃度ソース・ドレイン領域9にドープされた不純
物を活性化するために、900〜1000℃,10〜3
0secの条件で第1回目のRTAを行なう。
Next, in the step shown in FIG. 4C, anisotropic etching is performed to form a first sidewall 15a and a second sidewall 16a on the side surface of the gate electrode 3a. Then, using the gate electrode 3a, the over-gate protective layer 4a and the side walls 15a and 16a as masks, arsenic ions are implanted at an inclination angle of 7 ° and an implantation energy of 30 to 50 ke.
V is implanted under the conditions of a dose of 3 to 5 × 10 15 / cm 2 to form a high concentration source / drain region 9. Thereafter, in order to activate the impurities doped in the high concentration source / drain regions 9, 900 to 1000 ° C., 10 to 3
The first RTA is performed under the condition of 0 sec.

【0054】なお、第1サイドウォール15aと第2サ
イドウォール16aとの間に薄い窒化膜を介在させても
よい。
Note that a thin nitride film may be interposed between the first side wall 15a and the second side wall 16a.

【0055】次に、図4dに示す工程で、第1サイドウ
ォール15aを残して、第2サイドウォール16aのみ
を選択的に除去する。その際、HFの気相エッチングを
行なうことにより、選択エッチングを容易に行なうこと
ができる。すなわち、HFの気相エッチングにおいて
は、BPSG膜等のドープト酸化膜に対するエッチング
速度がNSG膜等のノンドープ酸化膜に対するエッチン
グ速度よりも2桁程度速いので、ドープト酸化膜を選択
的にエッチング除去することが可能となる。なお、この
とき、オーバーエッチング量によっても異なるが、ゲー
ト絶縁膜2のうち基板上に露出している部分は除去され
ることが多い。
Next, in the step shown in FIG. 4D, only the second sidewall 16a is selectively removed while leaving the first sidewall 15a. At this time, selective etching can be easily performed by performing HF vapor phase etching. That is, in the gas phase etching of HF, the etching rate for a doped oxide film such as a BPSG film is about two orders of magnitude higher than the etching rate for a non-doped oxide film such as an NSG film. Becomes possible. At this time, the portion of the gate insulating film 2 that is exposed on the substrate is often removed, although it depends on the amount of over-etching.

【0056】その後、ゲート電極3a,ゲート上保護層
4a及び第1サイドウォール15aをマスクとして、リ
ンイオン(P+ )を、傾き角7〜40°,注入エネルギ
ー20〜30keV,ドーズ量約5×1013/cm2
条件で注入して、低濃度ソース・ドレイン領域6を形成
する。なお、低濃度ソース・ドレイン領域6に代えてエ
クステンション領域を形成してもよく、その場合には、
砒素イオン(As)を、注入エネルギー10〜20ke
V,ドーズ量5×1014/cm2 の条件で注入する。
Then, using the gate electrode 3a, the over-gate protective layer 4a and the first sidewall 15a as a mask, phosphorus ions (P + ) are implanted at an inclination angle of 7 to 40 °, an implantation energy of 20 to 30 keV, and a dose of about 5 × 10 5. The low-concentration source / drain region 6 is formed by implanting under the condition of 13 / cm 2 . Note that an extension region may be formed instead of the low-concentration source / drain region 6, in which case,
Arsenic ions (As) are implanted at an energy of 10 to 20 ke.
V is implanted under the conditions of a dose of 5 × 10 14 / cm 2 .

【0057】さらに、ゲート電極3,ゲート上保護層4
a及び第1サイドウォール15aをマスクとして、ボロ
ンイオン(又はフッ化ボロンイオン)を、傾き角20〜
40°,注入エネルギー10〜50keV,ドーズ量1
〜5×1013/cm2 の条件で注入し、パンチスルース
トッパー用のポケット注入領域Rpoを形成する。なお、
このポケット注入領域Rpoは、必ずしも必要ではない。
Further, the gate electrode 3 and the protective layer 4 on the gate 4
a and the first sidewall 15a as masks, boron ions (or boron fluoride ions)
40 °, implantation energy 10 to 50 keV, dose 1
Implantation is performed under a condition of about 5 × 10 13 / cm 2 to form a pocket injection region Rpo for a punch-through stopper. In addition,
This pocket injection region Rpo is not always necessary.

【0058】そして、低濃度ソース・ドレイン領域6及
びポケット注入領域Rpoにドープされた不純物を活性化
するために、850〜900℃,10〜30secの条
件で第2回目のRTAを行なう。
Then, in order to activate the impurities doped in the low concentration source / drain region 6 and the pocket injection region Rpo, a second RTA is performed at 850 to 900 ° C. for 10 to 30 seconds.

【0059】その後、本実施形態においても、第1の実
施形態と同様に、図2a〜図2cに示すセルフアライン
コンタクト形成工程を行なう。
Thereafter, also in the present embodiment, the self-aligned contact forming step shown in FIGS. 2A to 2C is performed as in the first embodiment.

【0060】本実施形態においても、第2サイドウォー
ル16aを除去してから全面保護膜12を堆積している
ので、第1の実施形態と同様の効果を発揮することがで
きる。すなわち、相隣接するゲート電極3a同士の間隙
を全面保護膜12で埋めることなくSAC構造の半導体
装置を形成することができる。
Also in this embodiment, since the entire surface protective film 12 is deposited after removing the second side wall 16a, the same effect as in the first embodiment can be exhibited. That is, a semiconductor device having a SAC structure can be formed without filling the gap between the adjacent gate electrodes 3a with the entire surface protective film 12.

【0061】加えて、本実施形態では、高濃度ソース・
ドレイン領域9を形成してから第1回目のRTAを行な
い、低濃度ソース・ドレイン領域6を形成してから第2
回目のRTAを第1回目のRTAによりも低温で行なう
ので、第1の実施形態に比べて低濃度ソース・ドレイン
領域6の拡散範囲を狭めて、短チャネル効果に対する耐
性の高いMISトランジスタを形成することができる。
すなわち、サリサイド構造を有するMISトランジスタ
のように高濃度ソース・ドレイン領域9の表面部をシリ
サイド化したときのリークを抑制し,かつ,接合容量を
低減するためには、高濃度ソース・ドレイン領域9の拡
散深さをある程度深くすることが好ましい。しかし、そ
のために活性化のための熱処理を高温で行なうと、低濃
度ソース・ドレイン領域6(又はエクステンション領
域)が広がりすぎて、短チャネル効果が助長されること
になる。それに対し、本実施形態のように、高濃度ソー
ス・ドレイン領域9の活性化のための熱処理を先に行な
うことで、低濃度ソース・ドレイン領域6(又はエクス
テンション領域),ポケット注入領域Rpo及び高濃度ソ
ース・ドレイン領域9の不純物濃度プロファイルを所望
の状態に制御することが容易となり、パンチスルーなど
の短チャネル効果を確実に抑制することができる。
In addition, in this embodiment, the high-density source
The first RTA is performed after the drain region 9 is formed, and the second RTA is performed after the low concentration source / drain region 6 is formed.
Since the second RTA is performed at a lower temperature than the first RTA, the diffusion range of the low-concentration source / drain region 6 is narrowed as compared with the first embodiment, and an MIS transistor having high resistance to the short channel effect is formed. be able to.
That is, in order to suppress the leakage when the surface portion of the high-concentration source / drain region 9 is silicided as in the MIS transistor having a salicide structure and to reduce the junction capacitance, the high-concentration source / drain region 9 is required. Is preferably increased to some extent. However, if the heat treatment for activation is performed at a high temperature, the low-concentration source / drain region 6 (or the extension region) becomes too wide, and the short channel effect is promoted. On the other hand, as in the present embodiment, by performing heat treatment for activating the high-concentration source / drain regions 9 first, the low-concentration source / drain regions 6 (or extension regions), the pocket injection regions Rpo and the high It becomes easy to control the impurity concentration profile of the concentration source / drain region 9 to a desired state, and short channel effects such as punch-through can be reliably suppressed.

【0062】(第3の実施形態)図5a〜図6bは、本
発明の第3の実施形態における半導体装置であるNチャ
ネル型MISトランジスタの製造工程を示す断面図であ
る。なお、Pチャネル型MISトランジスタにおいて
も、MISトランジスタの各部にドープされる不純物の
導電型が異なるだけであり、基本的には図5a〜図6b
に示す工程と同じ工程を行なう。本実施形態において
は、上記第2の実施形態の製造工程に、高濃度ソース・
ドレイン領域の表面部をシリサイド化する工程を付加し
た場合について説明する。そして、基板上にはシリサイ
ド化工程において基板上に露出するシリサイド化されな
いシリコン層(非シリサイド化領域)がある場合(たと
えばメモリセルトランジスタのソース・ドレイン領域)
について説明し、そのような場合におけるシリサイド化
領域に本発明を適用したときの製造工程について説明す
るものとする。
(Third Embodiment) FIGS. 5A to 6B are cross-sectional views showing steps of manufacturing an N-channel MIS transistor as a semiconductor device according to a third embodiment of the present invention. The P-channel MIS transistor also differs from the P-channel MIS transistor only in the conductivity type of the impurity doped into each part of the MIS transistor.
Are performed in the same manner as the process shown in FIG. In the present embodiment, the high concentration source
A case where a step of silicidation of the surface of the drain region is added will be described. Then, there is a silicon layer (non-silicided region) which is exposed on the substrate in the silicidation process and is not silicided on the substrate (for example, a source / drain region of a memory cell transistor).
And a manufacturing process when the present invention is applied to the silicidation region in such a case.

【0063】まず、図5aに示す工程で、Si基板1上
に、素子分離領域(図示せず)を形成した後、以下の工
程によって、素子分離領域によって囲まれる活性領域に
MISトランジスタを形成するための工程を行なう。ま
ず、熱酸化工程を行なってSi基板1の主面上に厚みが
約3nmのシリコン酸化膜を形成した後、窒化処理を行
なって酸窒化シリコンからなるゲート絶縁膜2を形成す
る。そして、ゲート絶縁膜2の上に、ポリシリコンから
なるゲート電極3aと、窒化シリコンからなるゲート上
保護層4aとを形成する。このとき、図示しないが、上
記従来の技術において説明した図7aに示す工程と同じ
工程を行なう。すなわち、ポリシリコン膜及びシリコン
窒化膜を堆積した後に、フォトリソグラフィー及びドラ
イエッチングを行なうことにより、ポリシリコン膜及び
シリコン窒化膜をパターニングしてゲート電極3a及び
ゲート上保護層4aを形成する。なお、ゲート電極3a
には、N型不純物(Pチャネル型MISトランジスタに
はP型不純物)がドープされている。
First, in the step shown in FIG. 5A, after forming an element isolation region (not shown) on the Si substrate 1, an MIS transistor is formed in an active region surrounded by the element isolation region by the following steps. For the process. First, a silicon oxide film having a thickness of about 3 nm is formed on the main surface of the Si substrate 1 by performing a thermal oxidation process, and then a gate insulating film 2 made of silicon oxynitride is formed by performing a nitriding process. Then, a gate electrode 3a made of polysilicon and an over-gate protection layer 4a made of silicon nitride are formed on the gate insulating film 2. At this time, although not shown, the same steps as those shown in FIG. That is, after depositing the polysilicon film and the silicon nitride film, photolithography and dry etching are performed to pattern the polysilicon film and the silicon nitride film to form the gate electrode 3a and the over-gate protection layer 4a. The gate electrode 3a
Is doped with an N-type impurity (a P-type impurity for a P-channel MIS transistor).

【0064】次に、図5bに示す工程で、基板の全面上
に、厚みが10〜20nmの薄いノンドープ酸化膜15
(例えばNSG膜)と、厚みが40〜60nmのドープ
ト酸化膜16(BPSG膜,PSG膜,BSG膜など)
とを順に堆積する。
Next, in the step shown in FIG. 5B, a thin non-doped oxide film 15 having a thickness of 10 to 20 nm is formed on the entire surface of the substrate.
(For example, NSG film) and a doped oxide film 16 (BPSG film, PSG film, BSG film, etc.) having a thickness of 40 to 60 nm.
Are sequentially deposited.

【0065】次に、図5cに示す工程で、異方性エッチ
ングを行って、ゲート電極3aの側面上に第1サイドウ
ォール15aと、第2サイドウォール16aとを形成す
る。そして、ゲート電極3a,ゲート上保護層4a及び
各サイドウォール15a,16aをマスクとして、砒素
イオンを、傾き角7°,注入エネルギー30〜50ke
V,ドーズ量3〜5×1015/cm2 の条件で注入し
て、高濃度ソース・ドレイン領域9を形成する。その
後、高濃度ソース・ドレイン領域9にドープされた不純
物を活性化するために、900〜1000℃,10〜3
0secの条件で第1回目のRTAを行なう。
Next, in the step shown in FIG. 5C, anisotropic etching is performed to form a first sidewall 15a and a second sidewall 16a on the side surface of the gate electrode 3a. Then, using the gate electrode 3a, the over-gate protective layer 4a and the side walls 15a and 16a as masks, arsenic ions are implanted at an inclination angle of 7 ° and an implantation energy of 30 to 50 ke.
V is implanted under the conditions of a dose of 3 to 5 × 10 15 / cm 2 to form a high concentration source / drain region 9. Thereafter, in order to activate the impurities doped in the high concentration source / drain regions 9, 900 to 1000 ° C., 10 to 3
The first RTA is performed under the condition of 0 sec.

【0066】なお、第1サイドウォール15aと第2サ
イドウォール16aとの間に薄い窒化膜を介在させても
よい。
Note that a thin nitride film may be interposed between the first sidewall 15a and the second sidewall 16a.

【0067】次に、図5dに示す工程で、基板の全面上
に、後のシリサイド化工程において非シリサイド化領域
(図示しないが、たとえばメモリセルアレイ領域)をマ
スクするための厚みが100nm程度のシリコン酸化膜
17を堆積する。そして、シリコン酸化膜17をパター
ニングする前に、リンイオンを、傾き角が7°,注入エ
ネルギーが150〜200keV,ドーズ量が1.0×
1014〜1.0×10 15/cm2 の条件でSi基板1内
に注入する。これにより、図5dに示すシリサイド化領
域においては、ゲート電極3a,ゲート上保護層4a及
び各サイドウォール15a,16aに加えて、シリコン
酸化膜17がマスクとして機能するので、高濃度ソース
・ドレイン領域9の下方で、ゲート電極3aから離れた
領域にN - 領域18が形成される。
Next, in the step shown in FIG.
In a later silicidation step, a non-silicided region
(Not shown, for example, a memory cell array region)
Silicon oxide film with a thickness of about 100 nm for masking
17 is deposited. Then, the silicon oxide film 17 is
Before performing polishing, phosphorus ions were implanted at an inclination angle of 7 ° and implanted.
Energy is 150-200 keV, dose is 1.0 ×
1014~ 1.0 × 10 Fifteen/ CmTwoUnder the condition of
Inject into Thereby, the silicidation region shown in FIG.
In the region, the gate electrode 3a, the protective layer 4a on the gate,
And silicon in addition to the sidewalls 15a and 16a.
Since the oxide film 17 functions as a mask, the high concentration source
・ Below the drain region 9 and away from the gate electrode 3a
N in area -A region 18 is formed.

【0068】このN- 領域18を設けることによって、
ソース・ドレインの接合容量やリーク電流を低減するこ
とができる。
By providing this N - region 18,
Source / drain junction capacitance and leakage current can be reduced.

【0069】次に、図6aに示す工程で、フォトレジス
ト膜をマスクとするエッチングにより、シリコン酸化膜
17をパターニングする。このとき、図示しないが非シ
リサイド化領域においてはシリコン酸化膜17が残存し
ているが、図6aに示すシリサイド化領域においては、
シリコン酸化膜17は除去される。
Next, in the step shown in FIG. 6A, the silicon oxide film 17 is patterned by etching using the photoresist film as a mask. At this time, although not shown, the silicon oxide film 17 remains in the non-silicided region, but in the silicided region shown in FIG.
Silicon oxide film 17 is removed.

【0070】その後、基板上に、Ti,W等のリフラク
トリ金属膜を形成した後、熱処理により、リフラクトリ
金属と高濃度ソース・ドレイン領域9の表面部のシリコ
ンとを反応させ、リフラクトリ金属の未反応部分を除去
した後、シリサイドの構造を安定な構造に変化させるた
めの熱処理を行なって、高濃度ソース・ドレイン領域9
の上にシリサイド膜19を形成する。
Then, after forming a refractory metal film of Ti, W, etc. on the substrate, the refractory metal is reacted with silicon on the surface portion of the high-concentration source / drain region 9 by heat treatment, and the unreacted refractory metal is reacted. After the portions are removed, heat treatment is performed to change the silicide structure to a stable structure, and the high-concentration source / drain regions 9 are formed.
A silicide film 19 is formed thereon.

【0071】次に、図6bに示す工程で、第1サイドウ
ォール15aを残して、第2サイドウォール16aのみ
を選択的に除去する。その際、HFの気相エッチングを
行なうことにより、選択エッチングを容易に行なうこと
ができる。すなわち、HFの気相エッチングにおいて
は、BPSG膜等のドープト酸化膜に対するエッチング
速度がNSG膜等のノンドープ酸化膜に対するエッチン
グ速度よりも2桁程度速いので、ドープト酸化膜を選択
的にエッチング除去することが可能となる。
Next, in the step shown in FIG. 6B, only the second sidewall 16a is selectively removed while leaving the first sidewall 15a. At this time, selective etching can be easily performed by performing HF vapor phase etching. That is, in the gas phase etching of HF, the etching rate for a doped oxide film such as a BPSG film is about two orders of magnitude higher than the etching rate for a non-doped oxide film such as an NSG film. Becomes possible.

【0072】その後、ゲート電極3a,ゲート上保護層
4a及び第1サイドウォール15aをマスクとして、リ
ンイオン(P+ )を、傾き角7〜40°,注入エネルギ
ー20〜30keV,ドーズ量約5×1013/cm2
条件で注入して、低濃度ソース・ドレイン領域6を形成
する。なお、低濃度ソース・ドレイン領域6に代えてエ
クステンション領域を形成してもよく、その場合には、
砒素イオン(As)を、注入エネルギー10〜20ke
V,ドーズ量5×1014/cm2 の条件で注入する。
After that, using the gate electrode 3a, the over-gate protective layer 4a and the first sidewall 15a as a mask, phosphorus ions (P + ) are implanted at an inclination angle of 7 to 40 °, an implantation energy of 20 to 30 keV, and a dose of about 5 × 10 5 The low-concentration source / drain region 6 is formed by implanting under the condition of 13 / cm 2 . Note that an extension region may be formed instead of the low-concentration source / drain region 6, in which case,
Arsenic ions (As) are implanted at an energy of 10 to 20 ke.
V is implanted under the conditions of a dose of 5 × 10 14 / cm 2 .

【0073】さらに、ゲート電極3,ゲート上保護層4
a及び第1サイドウォール15aをマスクとして、ボロ
ンイオン(又はフッ化ボロンイオン)を、傾き角20〜
40°,注入エネルギー10〜50keV,ドーズ量1
〜5×1013/cm2 の条件で注入し、パンチスルース
トッパー用のポケット注入領域Rpoを形成する。なお、
このポケット注入領域Rpoは、必ずしも必要ではない。
Further, the gate electrode 3 and the protective layer 4 on the gate
a and the first sidewall 15a as masks, boron ions (or boron fluoride ions)
40 °, implantation energy 10 to 50 keV, dose 1
Implantation is performed under a condition of about 5 × 10 13 / cm 2 to form a pocket injection region Rpo for a punch-through stopper. In addition,
This pocket injection region Rpo is not always necessary.

【0074】そして、低濃度ソース・ドレイン領域6及
びポケット注入領域Rpoにドープされた不純物を活性化
するために、850〜900℃,10〜30secの条
件で第2回目のRTAを行なう。
Then, in order to activate the impurities doped in the low concentration source / drain region 6 and the pocket injection region Rpo, a second RTA is performed at 850 to 900 ° C. for 10 to 30 seconds.

【0075】その後、本実施形態においても、第1の実
施形態と同様に、図2a〜図2cに示すセルフアライン
コンタクト形成工程を行なう。
Thereafter, also in the present embodiment, the self-aligned contact forming step shown in FIGS. 2A to 2C is performed as in the first embodiment.

【0076】なお、上述の説明においては、第2の実施
形態の製造工程にシリサイド化工程を付加した場合につ
いて説明したが、第1の実施形態の製造工程にシリサイ
ド化工程を付加することもできる。その場合には、図1
cに示す工程の後に、シリコン酸化膜17を堆積してか
らイオン注入によりN- 領域18を形成した後に、シリ
コン酸化膜17をパターニングする。その後、図6a,
図6bに示すようなシリサイド化工程を行なってから、
図1dに示す工程に進めばよい。
In the above description, the case where the silicidation process is added to the manufacturing process of the second embodiment has been described. However, the silicidation process can be added to the manufacturing process of the first embodiment. . In that case, FIG.
After the step (c), after depositing the silicon oxide film 17 and forming the N region 18 by ion implantation, the silicon oxide film 17 is patterned. Then, FIG.
After performing the silicidation process as shown in FIG.
The process shown in FIG.

【0077】また、図5dに示す工程を行なうタイミン
グは、非シリサイド化領域を覆うフォトレジスト膜を形
成した後であっても、フォトレジスト膜を形成する前で
あってもよいものとする。
The step shown in FIG. 5D may be performed after the formation of the photoresist film covering the non-silicided region or before the formation of the photoresist film.

【0078】本実施形態によると、上記第1,第2の実
施形態の効果に加えて、以下の効果を発揮することがで
きる。
According to the present embodiment, the following effects can be exhibited in addition to the effects of the first and second embodiments.

【0079】すなわち、非シリサイド化領域をマスクす
るためのシリコン酸化膜17をパターニングする直前の
状態を利用して、高濃度ソース・ドレイン領域9の下方
にN - 領域18を設けたので、高濃度ソース・ドレイン
領域9の表面部がシリサイド化されることによってリー
ク電流が増大するという不具合を抑制できる。また、高
濃度ソース・ドレイン領域9の接合容量を低減すること
で、MISトランジスタの動作の高速化をも実現するこ
とができる。
That is, the non-silicided region is masked.
Immediately before patterning the silicon oxide film 17 for
Utilizing the state, below the high concentration source / drain region 9
N -Since the region 18 is provided, the high concentration source / drain
The surface of the region 9 is silicided to form a lead.
It is possible to suppress the problem that the current increases. Also high
Reducing the junction capacitance of the source / drain region 9
In this way, the operation speed of the MIS transistor can be increased.
Can be.

【0080】[0080]

【発明の効果】本発明の半導体装置の製造方法によれ
ば、ゲート電極の側面上に互いにエッチング選択比の高
い材料からなる第1,第2のサイドウォールを積層して
おき、両者を付設した段階で高濃度不純物拡散領域を設
け、第2サイドウォールを除去した状態でSAC形成用
の全面保護膜を形成するようにしたので、LDD構造の
トランジスタが出来ると共に、ゲート電極間が狭くなっ
てもソース・ドレインのコンタクトが十分とれる半導体
装置が実現できる。
According to the method of manufacturing a semiconductor device of the present invention, the first and second sidewalls made of materials having a high etching selectivity are laminated on the side surface of the gate electrode, and both are provided. A high-concentration impurity diffusion region is provided at a stage, and the entire protective film for forming the SAC is formed in a state where the second sidewall is removed. Therefore, a transistor having an LDD structure can be formed, and even if the distance between gate electrodes is reduced. A semiconductor device with sufficient source / drain contact can be realized.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1の実施形態における半導体装置の
製造工程のうち低濃度ソース・ドレイン領域等を形成す
るまでの工程を示す断面図である。
FIG. 1 is a cross-sectional view illustrating a process of forming a low-concentration source / drain region and the like in a manufacturing process of a semiconductor device according to a first embodiment of the present invention.

【図2】本発明の第1の実施形態における半導体装置の
製造工程のうちセルフアラインコンタクトを形成する工
程を示す断面図である。
FIG. 2 is a cross-sectional view illustrating a step of forming a self-aligned contact in the manufacturing steps of the semiconductor device according to the first embodiment of the present invention.

【図3】本発明の第1の実施形態におけるゲート電極同
士が近接している場合の全面保護膜の形成状態を示す断
面図である。
FIG. 3 is a cross-sectional view showing a formation state of a whole surface protection film when gate electrodes are close to each other in the first embodiment of the present invention.

【図4】本発明の第2の実施形態における半導体装置の
製造工程のうち低濃度ソース・ドレイン領域等を形成す
るまでの工程を示す断面図である。
FIG. 4 is a cross-sectional view showing a process of forming a low-concentration source / drain region and the like in a manufacturing process of a semiconductor device according to a second embodiment of the present invention.

【図5】本発明の第3の実施形態における半導体装置の
製造工程のうちリーク防止用のN- 領域を形成するまで
の工程を示す断面図である。
FIG. 5 is a cross-sectional view showing a process of forming a semiconductor device according to a third embodiment of the present invention up to formation of an N region for preventing leakage;

【図6】本発明の第3の実施形態における半導体装置の
製造工程のうちシリサイド化工程を示す断面図である。
FIG. 6 is a cross-sectional view showing a silicidation process in a manufacturing process of a semiconductor device according to a third embodiment of the present invention.

【図7】従来の一般的なセルフアラインコンタクト構造
を有する半導体装置の製造工程を示す断面図である。
FIG. 7 is a cross-sectional view showing a manufacturing process of a conventional semiconductor device having a general self-aligned contact structure.

【図8】従来の一般的なセルフアラインコンタクト構造
を有する半導体装置の製造工程によって形成される半導
体装置の不具合を示す断面図である。
FIG. 8 is a cross-sectional view showing a defect of a semiconductor device formed by a conventional manufacturing process of a semiconductor device having a general self-aligned contact structure.

【図9】従来の全面被覆窒化膜を設けたときの半導体装
置の製造工程の一部を示す断面図である。
FIG. 9 is a cross-sectional view showing a part of a manufacturing process of a semiconductor device when a conventional entire surface nitride film is provided.

【図10】従来の半導体装置におけるゲート電極同士が
近接している場合の全面被覆窒化膜の形成状態を示す断
面図である。
FIG. 10 is a cross-sectional view showing a state of formation of a nitride film covering the entire surface when gate electrodes are close to each other in a conventional semiconductor device.

【符号の説明】[Explanation of symbols]

1 Si基板 2 ゲート酸化膜 3a ゲート電極 4a ゲート上保護層 6 低濃度ソース・ドレイン領域 9 高濃度ソース・ドレイン領域 10 層間絶縁膜 11 プラグ電極 12 全面保護膜 15 ノンドープ酸化膜 15a 第1サイドウォール 16 ドープト酸化膜 16a 第2サイドウォール 17 シリコン酸化膜 18 N- 領域 19 シリサイド膜DESCRIPTION OF SYMBOLS 1 Si substrate 2 Gate oxide film 3a Gate electrode 4a Protective layer on a gate 6 Low-concentration source / drain region 9 High-concentration source / drain region 10 Interlayer insulating film 11 Plug electrode 12 Full-surface protective film 15 Non-doped oxide film 15a First sidewall 16 Doped oxide film 16a Second sidewall 17 Silicon oxide film 18 N - region 19 Silicide film

───────────────────────────────────────────────────── フロントページの続き (72)発明者 上田 壮彦 大阪府高槻市幸町1番1号 松下電子工業 株式会社内 (72)発明者 清水 但美 大阪府高槻市幸町1番1号 松下電子工業 株式会社内 (72)発明者 加藤 義明 大阪府高槻市幸町1番1号 松下電子工業 株式会社内 (72)発明者 小畑 辰也 大阪府高槻市幸町1番1号 松下電子工業 株式会社内 (72)発明者 嶋崎 豊幸 大阪府高槻市幸町1番1号 松下電子工業 株式会社内 ──────────────────────────────────────────────────続 き Continuing on the front page (72) Inventor Takehiko Ueda 1-1, Sachimachi, Takatsuki-shi, Osaka Prefecture Matsushita Electronics Corporation Inside (72) Taimi Shimizu 1-1, Sachimachi, Takatsuki-shi, Osaka Matsushita Electronics Industry Co., Ltd. (72) Inventor Yoshiaki Kato 1-1, Yukicho, Takatsuki-shi, Osaka Prefecture Matsushita Electronics Co., Ltd. Inside (72) Inventor Tatsuya 1-1, Yukicho, Takatsuki-shi, Osaka Matsushita Electronics Co., Ltd. (72) Inventor Toyoyuki Shimazaki 1-1, Sachimachi, Takatsuki City, Osaka Prefecture Matsushita Electronics Corporation

Claims (10)

【特許請求の範囲】[Claims] 【請求項1】 半導体基板上に、ゲート絶縁膜,ゲート
電極及びゲート上保護層を形成する工程(a)と、 上記ゲート電極及びゲート上保護層をマスクとして、上
記半導体基板内に低濃度不純物拡散領域を形成するため
のイオン注入を行なう工程(b)と、 基板上に、第1の絶縁膜と、該第1の絶縁膜に対して選
択エッチングが可能なマスク用膜とを堆積する工程
(c)と、 上記第1の絶縁膜及びマスク用膜の異方性エッチングを
行なって、上記ゲート電極及びゲート上保護層の側面上
に上記第1の絶縁膜の一部を残して第1のサイドウォー
ルを形成するとともに、上記第1のサイドウォールの側
面上に上記マスク用膜の一部を残して第2のサイドウォ
ールを形成する工程(d)と、 上記ゲート上保護層,ゲート電極及び各サイドウォール
をマスクとして、上記半導体基板内に高濃度不純物拡散
領域を形成するためのイオン注入を行なう工程(e)
と、 上記工程(e)の後、上記第1のサイドウォールを残し
て第2のサイドウォールを選択的に除去する工程(f)
と、 上記工程(f)の後、基板上に、少なくとも上記ゲート
上保護層及び第1サイドウォールを覆う第2の絶縁膜を
堆積する工程(g)と、 上記工程(g)の後、基板上に、上記第2の絶縁膜に対
して選択エッチングが可能な材料からなる層間絶縁膜を
堆積する工程(h)と、 エッチングにより、上記層間絶縁膜に、上記高濃度不純
物拡散領域に達する開口を形成する工程(i)と、 上記開口に導電性材料からなるプラグ電極を埋め込む工
程(j)とを備えている半導体装置の製造方法。
A step of forming a gate insulating film, a gate electrode, and a protective layer on a gate on a semiconductor substrate; and a step of forming a low concentration impurity in the semiconductor substrate using the gate electrode and the protective layer on the gate as a mask. A step (b) of performing ion implantation for forming a diffusion region; and a step of depositing, on a substrate, a first insulating film and a mask film that can be selectively etched with respect to the first insulating film. (C) performing anisotropic etching of the first insulating film and the mask film to leave a portion of the first insulating film on the side surfaces of the gate electrode and the over-gate protective layer; (D) forming a second sidewall while leaving a portion of the mask film on the side surface of the first sidewall, and forming the second sidewall, And each sidewall As a mask, the step of performing the ion implantation for forming the high concentration impurity diffusion region in the semiconductor substrate (e)
And (f) after the step (e), selectively removing the second sidewall while leaving the first sidewall.
(G) depositing a second insulating film covering at least the protective layer on the gate and the first sidewall on the substrate after the step (f); (H) depositing an interlayer insulating film made of a material that can be selectively etched with respect to the second insulating film, and an opening reaching the high-concentration impurity diffusion region in the interlayer insulating film by etching. And a step (j) of embedding a plug electrode made of a conductive material in the opening.
【請求項2】 請求項1記載の半導体装置の製造方法に
おいて、 上記工程(c)では、上記第1の絶縁膜としてNSG膜
を、上記マスク用膜としてPSG膜またはBPSG膜を
それぞれ形成することを特徴とする半導体装置の製造方
法。
2. The method of manufacturing a semiconductor device according to claim 1, wherein in the step (c), an NSG film is formed as the first insulating film, and a PSG film or a BPSG film is formed as the mask film. A method for manufacturing a semiconductor device, comprising:
【請求項3】 請求項2記載の半導体装置の製造方法に
おいて、 上記工程(f)は、HF気相エッチングにより行なわれ
ることを特徴とする半導体装置の製造方法。
3. The method of manufacturing a semiconductor device according to claim 2, wherein the step (f) is performed by HF vapor phase etching.
【請求項4】 請求項1〜3のうちいずれ1つに記載の
半導体装置の製造方法において、 上記工程(f)の後で上記工程(g)の前に、ゲート上
保護層,ゲート電極及び第1のサイドウォールをマスク
として、上記半導体基板内にポケット注入領域を形成す
るためのイオン注入を行なう工程をさらに備えているこ
とを特徴とする半導体装置の製造方法。
4. The method of manufacturing a semiconductor device according to claim 1, wherein after the step (f) and before the step (g), the protective layer on the gate, the gate electrode, and A method of manufacturing a semiconductor device, further comprising a step of performing ion implantation for forming a pocket implantation region in the semiconductor substrate using the first sidewall as a mask.
【請求項5】 請求項1〜4のうちいずれか1つに記載
の半導体装置の製造方法において、 上記工程(e)の後で上記工程(f)の前に、 基板上に、非シリサイド化領域のマスクとなる保護膜を
形成する工程と、 上記保護膜をマスクとして、上記高濃度不純物拡散領域
の下方にリーク防止用低濃度不純物拡散領域を形成する
工程と、 上記保護膜のうち非シリサイド化領域を覆う部分を残し
て、シリサイド化領域を覆う部分を選択的に除去する工
程と、 上記高濃度不純物拡散領域の表面部にシリサイド層を形
成する工程とを行なうことを特徴とする半導体装置の製
造方法。
5. The method of manufacturing a semiconductor device according to claim 1, wherein after the step (e) and before the step (f), a non-silicide is formed on the substrate. Forming a protective film serving as a mask for the region; forming a low-concentration impurity diffusion region for preventing leakage below the high-concentration impurity diffusion region using the protective film as a mask; A step of selectively removing a portion covering the silicidation region while leaving a portion covering the silicidation region; and a step of forming a silicide layer on the surface of the high concentration impurity diffusion region. Manufacturing method.
【請求項6】 半導体基板上に、ゲート絶縁膜,ゲート
電極及びゲート上保護層を形成する工程(a)と、 基板上に、第1の絶縁膜と該第1の絶縁膜に対して選択
エッチングが可能なマスク用膜とを堆積する工程(b)
と、 上記第1の絶縁膜及びマスク用膜の異方性エッチングを
行なって、上記ゲート電極及びゲート上保護層の側面上
に上記第1の絶縁膜の一部を残して第1のサイドウォー
ルを形成するとともに、上記第1のサイドウォールの側
面上に上記マスク用膜の一部を残して第2のサイドウォ
ールを形成する工程(c)と、 上記ゲート上保護層,ゲート電極及び各サイドウォール
をマスクとして、上記半導体基板内に高濃度不純物拡散
領域を形成するためのイオン注入を行なう工程(d)
と、 上記工程(d)の後、上記第1のサイドウォールを残し
て第2のサイドウォールを選択的に除去する工程(e)
と、 上記ゲート電極,ゲート上保護層及び上記第1のサイド
ウォールをマスクとして、上記半導体基板内に低濃度不
純物拡散領域を形成するためのイオン注入を行なう工程
(f)と、 上記工程(f)の後、基板上に、少なくとも上記ゲート
上保護層及び第1サイドウォールを覆う第2の絶縁膜を
堆積する工程(g)と、 上記工程(g)の後、基板上に、上記第2の絶縁膜に対
して選択エッチングが可能な材料からなる層間絶縁膜を
堆積する工程(h)と、 エッチングにより、上記層間絶縁膜に、上記高濃度不純
物拡散領域に達する開口を形成する工程(i)と、 上記開口に導電性材料からなるプラグ電極を埋め込む工
程(j)とを備えている半導体装置の製造方法。
6. A step (a) of forming a gate insulating film, a gate electrode, and a protective layer on a gate on a semiconductor substrate, and selecting a first insulating film and the first insulating film on the substrate. Step (b) of depositing an etchable mask film
Performing anisotropic etching of the first insulating film and the masking film to leave a part of the first insulating film on the side surfaces of the gate electrode and the over-gate protective layer; (C) forming a second sidewall while leaving a part of the mask film on the side surface of the first sidewall; and forming the above-mentioned protective layer on the gate, a gate electrode and each side. Performing ion implantation for forming a high-concentration impurity diffusion region in the semiconductor substrate using the wall as a mask (d).
And (e) after the step (d), selectively removing the second sidewall while leaving the first sidewall.
(F) performing ion implantation for forming a low-concentration impurity diffusion region in the semiconductor substrate using the gate electrode, the over-gate protection layer, and the first sidewall as a mask; A) depositing a second insulating film covering at least the protective layer on the gate and the first sidewall on the substrate; and g) depositing the second insulating film on the substrate after the step (g). (H) depositing an interlayer insulating film made of a material that can be selectively etched with respect to the insulating film, and forming an opening in the interlayer insulating film by etching to reach the high concentration impurity diffusion region (i). ) And a step (j) of embedding a plug electrode made of a conductive material in the opening.
【請求項7】 請求項6記載の半導体装置の製造方法に
おいて、 上記工程(b)では、上記第1の絶縁膜としてNSG膜
を、上記マスク用膜としてPSG膜またはBPSG膜を
それぞれ形成することを特徴とする半導体装置の製造方
法。
7. The method of manufacturing a semiconductor device according to claim 6, wherein in the step (b), an NSG film is formed as the first insulating film, and a PSG film or a BPSG film is formed as the mask film. A method for manufacturing a semiconductor device, comprising:
【請求項8】 請求項7記載の半導体装置の製造方法に
おいて、 上記工程(e)は、HF気相エッチングにより行なわれ
ることを特徴とする半導体装置の製造方法。
8. The method for manufacturing a semiconductor device according to claim 7, wherein the step (e) is performed by HF vapor phase etching.
【請求項9】 請求項6〜8のうちいずれ1つに記載の
半導体装置の製造方法において、 上記工程(e)の後で上記工程(g)の前に、ゲート上
保護層,ゲート電極及び第1のサイドウォールをマスク
として、上記半導体基板内にポケット注入領域を形成す
るためのイオン注入を行なう工程をさらに備えているこ
とを特徴とする半導体装置の製造方法。
9. The method of manufacturing a semiconductor device according to claim 6, wherein after the step (e) and before the step (g), the protective layer on the gate, the gate electrode, and A method of manufacturing a semiconductor device, further comprising a step of performing ion implantation for forming a pocket implantation region in the semiconductor substrate using the first sidewall as a mask.
【請求項10】 請求項6〜9のうちいずれか1つに記
載の半導体装置の製造方法において、 上記工程(d)の後で上記工程(f)の前に、 基板上に、非シリサイド化領域のマスクとなる保護膜を
形成する工程と、 上記保護膜をマスクとして、上記高濃度不純物拡散領域
の下方にリーク防止用低濃度不純物拡散領域を形成する
工程と、 上記保護膜のうち非シリサイド化領域を覆う部分を残し
て、シリサイド化領域を覆う部分を選択的に除去する工
程と、 上記高濃度不純物拡散領域の表面部にシリサイド層を形
成する工程とを行なうことを特徴とする半導体装置の製
造方法。
10. The method of manufacturing a semiconductor device according to claim 6, wherein after the step (d) and before the step (f), a non-silicide is formed on the substrate. Forming a protective film serving as a mask for the region; forming a low-concentration impurity diffusion region for preventing leakage below the high-concentration impurity diffusion region using the protective film as a mask; A step of selectively removing a portion covering the silicidation region while leaving a portion covering the silicidation region; and a step of forming a silicide layer on the surface of the high concentration impurity diffusion region. Manufacturing method.
JP20855399A 1998-07-28 1999-07-23 Method for manufacturing semiconductor device Expired - Lifetime JP3301994B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP20855399A JP3301994B2 (en) 1998-07-28 1999-07-23 Method for manufacturing semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP21215698 1998-07-28
JP10-212156 1998-07-28
JP20855399A JP3301994B2 (en) 1998-07-28 1999-07-23 Method for manufacturing semiconductor device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2002061865A Division JP3820376B2 (en) 1998-07-28 2002-03-07 Semiconductor device

Publications (2)

Publication Number Publication Date
JP2000106436A true JP2000106436A (en) 2000-04-11
JP3301994B2 JP3301994B2 (en) 2002-07-15

Family

ID=26516900

Family Applications (1)

Application Number Title Priority Date Filing Date
JP20855399A Expired - Lifetime JP3301994B2 (en) 1998-07-28 1999-07-23 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3301994B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1168434A2 (en) * 2000-06-15 2002-01-02 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit
JP2002016237A (en) * 2000-06-27 2002-01-18 Hitachi Ltd Semiconductor ic device and method of manufacturing the same
JP2002353443A (en) * 2001-05-23 2002-12-06 Matsushita Electric Ind Co Ltd Method of manufacturing semiconductor device
WO2006117852A1 (en) * 2005-04-27 2006-11-09 Spansion Llc Semiconductor device and method for manufacturing same
CN100380628C (en) * 2005-04-18 2008-04-09 力晶半导体股份有限公司 Production of semiconductor component and plug
WO2009111669A2 (en) * 2008-03-05 2009-09-11 Varian Semiconductor Equipment Associates Maskless doping technique for solar cells

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1168434A2 (en) * 2000-06-15 2002-01-02 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit
EP1168434A3 (en) * 2000-06-15 2003-11-05 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit
JP2002016237A (en) * 2000-06-27 2002-01-18 Hitachi Ltd Semiconductor ic device and method of manufacturing the same
JP2002353443A (en) * 2001-05-23 2002-12-06 Matsushita Electric Ind Co Ltd Method of manufacturing semiconductor device
CN100380628C (en) * 2005-04-18 2008-04-09 力晶半导体股份有限公司 Production of semiconductor component and plug
WO2006117852A1 (en) * 2005-04-27 2006-11-09 Spansion Llc Semiconductor device and method for manufacturing same
US7825457B2 (en) 2005-04-27 2010-11-02 Spansion Llc Semiconductor device and manufacturing method therefor
US8097518B2 (en) 2005-04-27 2012-01-17 Spansion Llc Semiconductor device and manufacturing method therefor
JP4950036B2 (en) * 2005-04-27 2012-06-13 スパンション エルエルシー Manufacturing method of semiconductor device
TWI383499B (en) * 2005-04-27 2013-01-21 Spansion Llc Semiconductor device and manufacturing method therefor
WO2009111669A2 (en) * 2008-03-05 2009-09-11 Varian Semiconductor Equipment Associates Maskless doping technique for solar cells
WO2009111669A3 (en) * 2008-03-05 2009-12-17 Varian Semiconductor Equipment Associates Maskless doping technique for solar cells

Also Published As

Publication number Publication date
JP3301994B2 (en) 2002-07-15

Similar Documents

Publication Publication Date Title
US6180472B1 (en) Method for fabricating semiconductor device
US5162259A (en) Method for forming a buried contact in a semiconductor device
JP3239940B2 (en) Semiconductor device and manufacturing method thereof
US5933741A (en) Method of making titanium silicide source/drains and tungsten silicide gate electrodes for field effect transistors
US7446043B2 (en) Contact structure having silicide layers, semiconductor device employing the same, and methods of fabricating the contact structure and semiconductor device
US20020127791A1 (en) Semiconductor device and its manufacture method
JPH11214656A (en) Semiconductor device and manufacture thereof
US6242311B1 (en) Method of fabricating a semiconductor device with silicided gates and peripheral region
US5668051A (en) Method of forming poly plug to reduce buried contact series resistance
KR19990023828A (en) Integrated circuit manufacturing method
JP3762378B2 (en) Semiconductor device and manufacturing method thereof
JP3301994B2 (en) Method for manufacturing semiconductor device
US7427796B2 (en) Semiconductor device and method of manufacturing a semiconductor device
US7416934B2 (en) Semiconductor device
JP2001196549A (en) Semiconductor device and manufacturing method therefor
US6537884B1 (en) Semiconductor device and method of manufacturing the same including an offset-gate structure
JP2005277172A (en) Semiconductor device, and its manufacturing method
KR20070086148A (en) Semiconductor device and method for manufacturing same
JP3820376B2 (en) Semiconductor device
JP2842842B2 (en) MOS type semiconductor device and method of manufacturing the same
JP3050188B2 (en) Semiconductor device and manufacturing method thereof
JP2003332347A (en) Semiconductor device and manufacturing method thereof
JP3363802B2 (en) Method for manufacturing semiconductor device
JPH0964349A (en) Semiconductor device with high-melting-point silicide and its manufacture
JP3482201B2 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
R150 Certificate of patent or registration of utility model

Ref document number: 3301994

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080426

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090426

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100426

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110426

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120426

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130426

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130426

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140426

Year of fee payment: 12

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S131 Request for trust registration of transfer of right

Free format text: JAPANESE INTERMEDIATE CODE: R313135

SZ02 Written request for trust registration

Free format text: JAPANESE INTERMEDIATE CODE: R313Z02

SZ02 Written request for trust registration

Free format text: JAPANESE INTERMEDIATE CODE: R313Z02

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term