JP2000106340A - Aligner, scanning exposure method, and stage device - Google Patents

Aligner, scanning exposure method, and stage device

Info

Publication number
JP2000106340A
JP2000106340A JP10290053A JP29005398A JP2000106340A JP 2000106340 A JP2000106340 A JP 2000106340A JP 10290053 A JP10290053 A JP 10290053A JP 29005398 A JP29005398 A JP 29005398A JP 2000106340 A JP2000106340 A JP 2000106340A
Authority
JP
Japan
Prior art keywords
substrate
scanning exposure
exposure
mask
scanning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP10290053A
Other languages
Japanese (ja)
Other versions
JP2000106340A5 (en
Inventor
Takechika Nishi
健爾 西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Priority to JP10290053A priority Critical patent/JP2000106340A/en
Publication of JP2000106340A publication Critical patent/JP2000106340A/en
Publication of JP2000106340A5 publication Critical patent/JP2000106340A5/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70358Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages

Abstract

PROBLEM TO BE SOLVED: To improve the throughput of a scanning type exposure device. SOLUTION: When a mask pattern is transferred sequentially on a plurality of shot regions (S1 and S2, etc.), on a sensitized substrate, the substrate is controlled for moving so that a preliminary operation (pre-scan and over scan before/after a shot exposure time (t3)) for a next shot S2 exposure after the completion of a substrate exposure in the figure (B) and a stepping operation in non-scan direction for the next shot exposure in the figure (C) are performed at the same time in parallel after the scan exposure completion of a shot S1, while the stepping operation is completed before a synchronization settling time (t2) between a mask and a substrate before next shot exposure. As a result, the substrate is scanned relative to the center of a lighting slit ST along a path such as a curved line as in a solid line in the figure (A), resulting in shortened movement time between the exposures of the shot S1 and the shot S2 as compared to conventional examples with movement along the solid line curve path.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、露光装置及び走査
露光方法、並びにステージ装置に係り、更に詳しくは、
例えば半導体回路素子や液晶表示素子等の回路デバイス
をリソグラフィ工程で製造する際に用いられる露光装置
及び走査露光方法、並びに露光装置に好適なステージ装
置に関する。
[0001] The present invention relates to an exposure apparatus, a scanning exposure method, and a stage apparatus.
For example, the present invention relates to an exposure apparatus and a scanning exposure method used when a circuit device such as a semiconductor circuit element or a liquid crystal display element is manufactured by a lithography process, and a stage apparatus suitable for the exposure apparatus.

【0002】[0002]

【従来の技術】現在、半導体デバイスの製造現場では、
波長365nmの水銀ランプのi線を照明光とした縮小
投影露光装置、所謂ステッパを使って最小線幅が0.3
〜0.35μm程度の回路デバイス(64M(メガ)ビ
ットのD−RAM等)を量産製造している。同時に、2
56Mビット、1G(ギガ)ビットD−RAMクラスの
集積度を有し、最小線幅が0.25μm以下の次世代の
回路デバイスを量産製造するための露光装置の導入が始
まっている。
2. Description of the Related Art At present, at a semiconductor device manufacturing site,
The minimum line width is 0.3 using a reduction projection exposure apparatus, a so-called stepper, using i-line of a mercury lamp having a wavelength of 365 nm as illumination light.
Circuit devices of about 0.35 μm (64 M (mega) bit D-RAM and the like) are mass-produced. At the same time, 2
An exposure apparatus for mass-producing a next-generation circuit device having a 56 Mbit, 1G (giga) bit D-RAM class integration density and a minimum line width of 0.25 μm or less has begun to be introduced.

【0003】その次世代の回路デバイス製造用の露光装
置として、KrFエキシマレーザ光源からの波長248
nmの紫外パルスレーザ光、或いはArFエキシマレー
ザ光源からの波長193nmの紫外パルスレーザ光を照
明光とし、回路パターンが描画されたマスク又はレチク
ル(以下、「レチクル」と総称する)と感応基板として
のウエハを縮小投影光学系の投影視野に対して相対的に
1次元走査することで、ウエハ上の1つのショット領域
内にレチクルの回路パターン全体を転写する走査露光動
作とショット間ステッピング動作とを繰り返す、ステッ
プ・アンド・スキャン方式の走査型露光装置が有力視さ
れている。
As an exposure apparatus for manufacturing the next generation of circuit devices, a wavelength 248 from a KrF excimer laser light source is used.
An ultraviolet pulse laser beam having a wavelength of 193 nm or an ultraviolet pulse laser beam having a wavelength of 193 nm from an ArF excimer laser light source is used as illumination light. By scanning the wafer one-dimensionally relative to the projection field of view of the reduction projection optical system, a scanning exposure operation for transferring the entire reticle circuit pattern into one shot area on the wafer and an inter-shot stepping operation are repeated. The scanning exposure apparatus of the step-and-scan method is considered to be promising.

【0004】かかるステップ・アンド・スキャン方式の
走査型露光装置としては、屈折光学素子(レンズ素子)
と反射光学素子(凹面鏡等)とで構成される縮小投影光
学系を搭載したパーキンエルマー社のマイクラ・スキャ
ン露光装置が、最初に製品化され、市販されている。そ
のマイクラ・スキャン露光装置は、例えば1989年の
SPIE,Vol.1088のp424〜433に詳細
に説明されているように、円弧スリット状に制限された
実効投影領域を介してレチクルのパターンの一部をウエ
ハ上に投影しつつ、レチクルとウエハとを投影倍率(1
/4縮小)に応じた速度比で相対移動させることで、ウ
エハ上のショット領域を露光するものである。
[0004] Such a step-and-scan type scanning exposure apparatus includes a refractive optical element (lens element).
First, a PerkinElmer Micra Scan exposure apparatus equipped with a reduction projection optical system composed of a reflection optical element (such as a concave mirror) is commercialized and commercially available. The Micra scan exposure apparatus is described in, for example, SPIE, Vol. As described in detail in p. 424 to 433 of 1088, while projecting a part of the reticle pattern onto the wafer through the effective projection area limited in the shape of an arc slit, the projection magnification ( 1
By performing relative movement at a speed ratio corresponding to (/ 4 reduction), a shot area on a wafer is exposed.

【0005】また、ステップ・アンド・スキャン方式の
投影露光方式として、エキシマレーザ光を照明光とし、
円形の投影視野を有する縮小投影光学系の実効投影領域
を多角形(六角形)に制限し、その実効投影領域の非走
査方向の両端を部分的にオーバーラップさせる方法、所
謂スキャン&ステッチング法を組合わせたものが、例え
ば特開平2−229423号公報等に開示されている。
また、そのような走査露光方式を採用した投影露光装置
は、例えば特開平4−196513号公報、特開平4−
277612号公報、特開平4−307720号公報等
にも開示されている。
In a step-and-scan projection exposure method, an excimer laser beam is used as illumination light,
A method of limiting the effective projection area of a reduction projection optical system having a circular projection field of view to a polygon (hexagon) and partially overlapping both ends of the effective projection area in the non-scanning direction, a so-called scan & stitch method. Are disclosed in, for example, JP-A-2-229423.
A projection exposure apparatus employing such a scanning exposure method is disclosed in, for example, JP-A-4-196513 and JP-A-4-196513.
No. 277612, JP-A-4-307720 and the like.

【0006】ところで、ステップ・アンド・スキャン方
式の走査型露光装置では、ウエハ上の複数のショット領
域(以下、適宜「ショット」という)にレチクルのパタ
ーンを順次転写するに際し、スループット向上のため、
通常レチクルを交互スキャン(往復スキャン)させるこ
とで、順次次のショットに対する露光を行なう。このた
め、1つのショットに対するレチクルパターンの転写が
終了した後、露光開始前のプリスキャン時(目標速度
(露光時の走査速度)までの加速時間+加速終了後に速
度が所定の誤差範囲で目標速度に収束するまでの整定時
間)の移動距離と同じ距離だけ、露光終了時点から更に
レチクルを移動して、レチクルを次ショット露光のため
の走査開始位置まで戻す動作(オーバースキャン)が必
要であり、これに対応して、ウエハを次ショット(前記
1つのショットの非走査方向に隣接する別のショット)
へステッピングさせる動作に加えて走査方向に移動させ
る動作も必要となる。
In the step-and-scan type scanning exposure apparatus, when sequentially transferring a reticle pattern to a plurality of shot areas (hereinafter, appropriately referred to as "shots") on a wafer, the throughput is improved in order to improve the throughput.
Normally, the reticle is alternately scanned (reciprocally scanned) to sequentially expose the next shot. For this reason, after the transfer of the reticle pattern to one shot is completed, at the time of prescanning before the start of exposure (acceleration time up to the target speed (scanning speed at the time of exposure) + the speed after the end of acceleration, the target speed is within a predetermined error range). An operation (overscan) is required in which the reticle is further moved from the end of the exposure by the same distance as the settling time until the reticle converges, and the reticle is returned to the scanning start position for the next shot exposure. Correspondingly, the wafer is shot next (another shot adjacent to the one shot in the non-scanning direction).
In addition to the stepping operation, a movement in the scanning direction is also required.

【0007】かかるウエハのショット間の移動動作は、
従来は、次の〜の手順で行われていた。露光終了
後にウエハステージ(基板ステージ)を次ショットの走
査開始位置と同一の走査方向の座標位置に一旦移動後、
次ショットの走査開始位置まで非走査方向にステッピ
ングし、次ショットの露光のための走査を開始する。
従って、ウエハは、コの字の経路に沿って移動されてい
た。
The movement operation between shots of the wafer is as follows.
Conventionally, the following procedure has been performed. After the exposure is completed, the wafer stage (substrate stage) is once moved to the same coordinate position in the scanning direction as the scanning start position of the next shot,
Stepping is performed in the non-scanning direction up to the scanning start position of the next shot, and scanning for exposure of the next shot is started.
Therefore, the wafer was moved along the U-shaped path.

【0008】[0008]

【発明が解決しようとする課題】ところで、露光装置に
とってスループット(処理能力)の向上は最も重要な課
題の一つであり、これを達成する必要から走査露光時の
レチクルの加減速度が例えば0.5G→4G、最高速度
も350mm/s→1500mm/sのように大きくな
っており、これに伴ってウエハステージの走査露光時の
加減速度、最高速度も投影倍率1/nに比例した大きさ
となる。このため、露光の前後に必要となる、プリスキ
ャン時及びオーバースキャン時の移動距離もこれに応じ
て延ばす必要がある。
By the way, improving the throughput (processing capability) is one of the most important issues for an exposure apparatus, and since it is necessary to achieve this, the acceleration / deceleration of a reticle during scanning exposure is, for example, 0.1 mm. 5G → 4G, the maximum speed is also increased from 350 mm / s → 1500 mm / s, and accordingly, the acceleration / deceleration and the maximum speed at the time of scanning exposure of the wafer stage are also proportional to the projection magnification 1 / n. . For this reason, it is necessary to extend the movement distances required before and after exposure during prescanning and overscanning accordingly.

【0009】このため、本来スループットを向上させよ
うとの観点から加減速度、最高速度を増加したにもかか
わらず結果的に却ってスループットを悪化させるおそれ
があるという不都合があった。
For this reason, although the acceleration / deceleration and the maximum speed are increased from the viewpoint of originally improving the throughput, there is a disadvantage that the throughput may be rather deteriorated as a result.

【0010】また、ショット間ステッピング時間(移動
の際の位置決め整定時間を含む)の短縮によるスループ
ット向上は、ステッパ等の静止露光型の露光装置にも要
請される。
[0010] Further, the improvement of the throughput by shortening the stepping time between shots (including the positioning settling time at the time of movement) is also required for a static exposure type exposure apparatus such as a stepper.

【0011】本発明は、かかる事情を鑑みてなされたも
ので、その目的は、スループットの向上を図ることがで
きる露光装置を提供することにある。
The present invention has been made in view of such circumstances, and an object of the present invention is to provide an exposure apparatus capable of improving throughput.

【0012】また、本発明のさらに別の目的は、スルー
プットの向上を図ることができる走査露光方法を提供す
ることにある。
It is still another object of the present invention to provide a scanning exposure method capable of improving the throughput.

【0013】[0013]

【課題を解決するための手段】請求項1に記載の発明
は、マスク(R)と感応基板(W)とを同期移動させる
ことにより、前記感応基板(W)上の複数のショット領
域(S1、S2等)に前記マスクのパターンを順次転写
する露光装置であって、前記感応基板(W)を保持して
2次元平面内を移動する基板ステージ(WST)と;前
記マスクを保持して移動可能なマスクステージ(RS
T)と;前記基板ステージの露光終了後の次ショット露
光のための助走動作と次ショット露光のための非走査方
向へのステッピング動作とが同時並行的に行われ、かつ
前記非走査方向へのステッピング動作が次ショット露光
前の前記両ステージの同期整定期間の前に終了するよう
に、前記両ステージを制御するステージ制御系(33、
78、80)とを備えている。
According to the first aspect of the present invention, a plurality of shot areas (S1) on the sensitive substrate (W) are moved by synchronously moving the mask (R) and the sensitive substrate (W). , S2, etc.), an exposure apparatus for sequentially transferring the pattern of the mask, the substrate stage (WST) holding the sensitive substrate (W) and moving in a two-dimensional plane; and holding and moving the mask Possible mask stage (RS
T), a running operation for the next shot exposure after the exposure of the substrate stage and a stepping operation in the non-scanning direction for the next shot exposure are performed simultaneously in parallel, and A stage control system (33,
78, 80).

【0014】これによれば、感応基板上の複数のショッ
ト領域に順次マスクのパターンを転写するに際し、ステ
ージ制御系ではあるショットの走査露光終了後に、基板
ステージの露光終了後の次ショット露光のための助走動
作(ショットを走査露光する露光時間の前後のプリスキ
ャン,オーバースキャン)と次ショット露光のための非
走査方向へのステッピング動作とが同時並行的に行わ
れ、かつ非走査方向へのステッピング動作が次ショット
露光前の両ステージの同期整定期間の前に終了するよう
に、両ステージを制御する。このため、基板ステージの
走査方向についての助走動作と非走査方向についての次
ショット(非走査方向の隣接ショット)に対するステッ
ピング動作とが同時並行的に行われ、前記〜の動作
から成る従来の基板ステージのショット間の移動制御に
比べて移動時間が短くなる。勿論、従来においても走査
方向移動ステージと非走査方向移動ステージとから成る
2段構造の基板ステージであれば、上記のとの動作
は同時並行的に行うことは可能であるが、この場合、ス
テッピングが終了した時点では、未だ次ショットの走査
は開始されていないので、ステッピング動作が次ショッ
ト露光前の両ステージの同期整定期間の前に終了する、
換言すればステッピングが終了した時点では、既にの
動作が開始されて加速期間が終了している分、本発明の
方がスループットが向上することは明らかである。ま
た、本発明によれば、ステッピングが次ショット露光前
のマスクステージと基板ステージの同期整定期間の前に
終了するので、同期整定期間では両ステージの等速同期
制御のみに専念できるので、整定時間が長くなることも
ない。
According to this, when the mask pattern is sequentially transferred to a plurality of shot areas on the sensitive substrate, the stage control system performs the next shot exposure after the end of the exposure of the substrate stage after the end of the scanning exposure of a certain shot. (The prescan and overscan before and after the exposure time for scanning and exposing the shot) and the stepping operation in the non-scanning direction for the next shot exposure are performed simultaneously in parallel, and the stepping in the non-scanning direction is performed. Both stages are controlled so that the operation is completed before the synchronization period of both stages before the next shot exposure. For this reason, the approach operation of the substrate stage in the scanning direction and the stepping operation of the next shot in the non-scanning direction (adjacent shot in the non-scanning direction) are performed simultaneously and in parallel, and the conventional substrate stage comprising The movement time is shorter than the movement control between shots. Of course, in the related art, if the substrate stage has a two-stage structure including the scanning direction moving stage and the non-scanning direction moving stage, the above operations can be performed simultaneously and in parallel. Since the scanning of the next shot has not yet begun at the time when is completed, the stepping operation is completed before the synchronization period of both stages before the next shot exposure.
In other words, at the time when the stepping is completed, it is clear that the present invention improves the throughput because the operation has already been started and the acceleration period has been completed. Further, according to the present invention, since the stepping is completed before the synchronization stabilization period between the mask stage and the substrate stage before the next shot exposure, during the synchronization stabilization period, it is possible to concentrate on only constant-speed synchronization control of both stages. Does not become long.

【0015】上記請求項1に記載の発明において、請求
項2に記載の発明の如く、前記ステージ制御系(33、
78、80)は、前ショット露光後の前記マスクステー
ジ(RST)の等速移動時間と減速時間とから成るオー
バースキャン時に対応する前記基板ステージ(WST)
の非走査方向の加速度が、次ショットの露光開始前の前
記マスクステージ(マスクステージ)のプリスキャン時
に対応する部分の非走査方向の減速度より絶対値が大き
くなるように前記両ステージを制御することがより望ま
しい。かかる場合には、ステッピングの際の高加速時に
生じる露光装置本体の揺れ(振動)等が減速の間に減衰
するので、ステッピングが終了した時点、すなわちマス
クステージと基板ステージとの同期整定期間前には前記
振動を完全に減衰させることができ、その分制御性が改
善され、整定時間が短縮してスループットを向上させる
ことが可能になる。
According to the first aspect of the present invention, as in the second aspect, the stage control system (33,
78, 80) are the substrate stage (WST) corresponding to the overscan consisting of the constant speed movement time and the deceleration time of the mask stage (RST) after the previous shot exposure.
Are controlled such that the absolute value of the acceleration in the non-scanning direction becomes larger than the deceleration in the non-scanning direction of the portion corresponding to the pre-scanning of the mask stage (mask stage) before the start of the exposure of the next shot. It is more desirable. In such a case, the shaking (vibration) of the exposure apparatus main body that occurs at the time of high acceleration during stepping is attenuated during deceleration. Can completely attenuate the vibration, thereby improving the controllability, shortening the settling time and improving the throughput.

【0016】請求項3に記載の発明は、基板(W)上の
複数の区画領域(S1、S2)にマスク(R)のパター
ンを順次転写する走査露光方法において、前記マスクと
前記基板とを同期移動して、前記複数の区画領域の1つ
(S1)を走査露光し、前記基板が同期移動される第1
方向と直交する第2方向に関して前記1つの区画領域と
隣接する別の区画領域(S2)を走査露光するために、
前記1つの区画領域(S1)の走査露光終了後の前記基
板の前記第2方向へのステッピング動作が終了する前に
前記基板の前記第1方向への加速を開始することを特徴
とする。
According to a third aspect of the present invention, there is provided a scanning exposure method for sequentially transferring a pattern of a mask (R) to a plurality of divided areas (S1, S2) on a substrate (W). A first synchronous movement is performed to scan and expose one (S1) of the plurality of divided areas, and the first substrate is synchronously moved.
In order to scan and expose another partitioned area (S2) adjacent to the one partitioned area in a second direction orthogonal to the direction,
The acceleration of the substrate in the first direction is started before the stepping operation of the substrate in the second direction after the scanning exposure of the one divided area (S1) is completed.

【0017】これによれば、マスクと基板とを同期移動
して、複数の区画領域の1つが走査露光された後、第1
方向(走査方向)と直交する第2方向(非走査方向)に
関して1つの区画領域と隣接する別の区画領域を走査露
光するために、1つの区画領域の走査露光終了後の基板
の第2方向へのステッピング動作が行われるが、このス
テッピング動作が終了する前に基板の第1方向への加速
が開始される。すなわち、1つの区画領域の露光の終了
後に前記1つの区画領域に非走査方向に関して隣接する
別の区画領域の露光のための非走査方向移動(ステッピ
ング)動作が開始されるが、この動作の途中で走査方向
についての基板の加速が開始されるので、非走査方向の
ステッピング時間に隣接領域(別の区画領域)の露光の
ための走査方向加速時間を少なくとも一部オーバーラッ
プさせることができ、隣接する別の区画領域の露光のた
めの非走査方向へのステッピング動作が終了してから隣
接領域の露光のための走査方向の加速が開始される従来
例に比べてスループットを向上させることが可能であ
る。
According to this, the mask and the substrate are moved synchronously, and after one of the plurality of divided areas is scanned and exposed, the first
The second direction of the substrate after the scanning exposure of one partitioned area is performed in order to scan and expose another partitioned area adjacent to one partitioned area in a second direction (non-scanning direction) orthogonal to the direction (scanning direction). Is performed, but before the stepping operation is completed, the acceleration of the substrate in the first direction is started. That is, a non-scanning direction moving (stepping) operation for exposing another partitioned area adjacent to the one partitioned area in the non-scanning direction is started after the end of the exposure of one partitioned area. Since the acceleration of the substrate in the scanning direction is started in the step (b), the stepping time in the non-scanning direction can at least partially overlap the acceleration time in the scanning direction for exposure of the adjacent area (another divided area). It is possible to improve the throughput as compared with the conventional example in which the stepping operation in the non-scanning direction for exposing another partitioned area is completed and then the acceleration in the scanning direction for exposing the adjacent area is started. is there.

【0018】この場合において、請求項4に記載の発明
の如く、前記基板(W)は、前記別の区画領域(S2)
の走査露光前に、前記加速によって前記第1及び第2方
向に対して斜めに移動され、かつ前記第1方向の移動速
度が前記基板の感度特性に応じた速度に設定されること
が望ましい。かかる場合には、別の区画領域(S2)の
走査露光前に第1方向の移動速度が基板の感度特性に応
じた速度に設定されるので、露光中はその速度を維持し
マスクを同期制御すれば良いので、制御が容易になる。
[0018] In this case, as in the fourth aspect of the present invention, the substrate (W) is provided in the separate partition area (S2).
Prior to the scanning exposure, it is preferable that the substrate is moved obliquely with respect to the first and second directions by the acceleration, and the moving speed in the first direction is set to a speed according to the sensitivity characteristic of the substrate. In such a case, the moving speed in the first direction is set to a speed corresponding to the sensitivity characteristic of the substrate before the scanning exposure of another divided area (S2), so that the speed is maintained during the exposure and the mask is synchronously controlled. Control is easy.

【0019】上記請求項3又は4に記載の走査露光方法
において、請求項5に記載の発明の如く、前記1つの区
画領域(S1)の走査露光終了後に、前記別の区画領域
を走査露光するために必要な助走距離だけ前記基板が前
記第1方向に離れるまで、前記基板を第1方向について
は減速させつつ前記第2方向に移動させても良い。
In the scanning exposure method according to the third or fourth aspect, as in the invention according to the fifth aspect, after the scanning exposure of the one partitioned area (S1) is completed, the other partitioned area is scanned and exposed. The substrate may be moved in the second direction while decelerating in the first direction until the substrate separates in the first direction by a necessary approach distance.

【0020】また、上記請求項3〜5に記載の各発明に
おいて、請求項6に記載の発明の如く、前記基板(W)
は、前記1つの区画領域(S1)の走査露光と前記別の
区画領域(S2)の走査露光との間で、前記第1方向の
速度成分と前記第2方向の速度成分との少なくとも一方
が零とならないように移動されることが望ましい。かか
る場合には、1つの区画領域(S1)の走査露光と前記
別の区画領域(S2)の走査露光との間で、基板は停止
することなく移動が行われるので、その分スループット
が向上するからである。
In each of the third to fifth aspects of the present invention, as in the sixth aspect of the present invention, the substrate (W)
Is that at least one of the speed component in the first direction and the speed component in the second direction is between the scanning exposure of the one section area (S1) and the scanning exposure of the another section area (S2). It is desirable that the movement is performed so as not to be zero. In such a case, since the substrate is moved without stopping between the scanning exposure of one partitioned area (S1) and the scanning exposure of the other partitioned area (S2), the throughput is improved accordingly. Because.

【0021】また、請求項3〜6に記載の各発明におい
て、請求項7に記載の発明の如く、前記基板(W)は、
前記1つの区画領域(S1)の走査露光と前記別の区画
領域(S2)の走査露光との間で、前記第1方向の移動
速度が零となる前記第2方向の位置が前記1つの区画領
域よりも前記別の区画領域に近くなるように移動される
ようにしても良い。かかる場合には、1つの区画領域の
走査露光と別の区画領域の走査露光との間の基板の非走
査方向の加速度と減速度とが等しい場合であっても、必
ず別の区画領域の走査露光の開始前のある一定時間前に
は非走査方向の速度がゼロとなっているので、別の区画
領域の走査露光開始前一定時間の時点では非走査方向の
移動が終了する。従って、1つの区画領域の走査露光と
別の区画領域の走査露光との間の基板の非走査方向の加
速後の減速度を大きくする必要がなく、別の区画領域の
走査露光開始時点でこの影響が残ることがなく同期整定
時間が不要に長くなることがない。
In each of the third to sixth aspects of the present invention, as in the seventh aspect of the present invention, the substrate (W) is
Between the scanning exposure of the one partitioned area (S1) and the scanning exposure of the another partitioned area (S2), the position in the second direction at which the moving speed in the first direction becomes zero is the one partitioned area. The movement may be performed so as to be closer to the another divided area than the area. In such a case, even if the acceleration and deceleration of the substrate in the non-scanning direction between the scanning exposure of one partitioned area and the scanning exposure of another partitioned area are equal, the scanning of another partitioned area must be performed. Since the speed in the non-scanning direction has become zero a predetermined time before the start of the exposure, the movement in the non-scanning direction ends at a certain time before the start of the scanning exposure of another partitioned area. Therefore, it is not necessary to increase the deceleration of the substrate after the acceleration in the non-scanning direction between the scanning exposure of one partitioned area and the scanning exposure of another partitioned area. The effect does not remain, and the synchronization settling time does not become unnecessarily long.

【0022】請求項8に記載の発明は、マスク(R)と
基板(W)とを同期移動して、前記基板が同期移動され
る第1方向とほぼ直交する第2方向に沿って配列される
前記基板上の第1区画領域(S1)と第2区画領域(S
2)とをそれぞれ前記マスクのパターンで走査露光する
方法において、前記第1区画領域の走査露光終了後に、
前記基板の第1方向の移動速度が零となるまで、前記基
板を減速させつつ前記第2方向に移動し、かつ前記第2
区画領域の走査露光前に、前記基板を前記第1方向に加
速させつつ前記第2方向に移動することを特徴とする。
これによれば、前記第1区画領域の走査露光終了後に、
基板は方物線状の経路に沿って移動されるので、最短距
離に近い経路で基板が移動され、その分スループットの
向上が可能となる。
According to an eighth aspect of the present invention, the mask (R) and the substrate (W) are synchronously moved, and the substrates are arranged in a second direction substantially orthogonal to the first direction in which the substrate is synchronously moved. A first partitioned area (S1) and a second partitioned area (S1) on the substrate
2) wherein each of the first and second sections is scanned and exposed by the pattern of the mask.
Moving the substrate in the second direction while decelerating the substrate until the moving speed of the substrate in the first direction becomes zero;
The substrate is moved in the second direction while being accelerated in the first direction before scanning exposure of the partitioned area.
According to this, after the scanning exposure of the first sectioned area is completed,
Since the substrate is moved along a parabolic path, the substrate is moved along a path that is close to the shortest distance, and the throughput can be improved accordingly.

【0023】この場合において、請求項9に記載の発明
の如く、前記第1方向の移動速度が零となる前記基板
(W)の前記第2方向の位置を、前記第2方向における
前記第2区画領域(S2)の両端の間に設定しても良
い。かかる場合には、第1区画領域の走査露光と第2区
画領域の走査露光との間の基板の非走査方向の加速度と
減速度とが等しい場合であっても、必ず第2区画領域の
走査露光の開始前のある一定時間前には非走査方向の速
度がゼロとなっているので、第2区画領域の走査露光開
始前一定時間の時点では非走査方向の移動が終了する。
従って、第1区画領域の走査露光と第2区画領域の走査
露光との間の基板の非走査方向の加速後の減速度を大き
くする必要がなく、第2区画領域の走査露光開始時点で
この影響が残ることがなく同期整定時間が不要に長くな
ることがない。
In this case, as in the ninth aspect of the present invention, the position of the substrate (W) in the second direction at which the moving speed in the first direction becomes zero is determined by the second direction in the second direction. It may be set between both ends of the divided area (S2). In such a case, even if the acceleration and the deceleration of the substrate in the non-scanning direction between the scanning exposure of the first partitioned area and the scanning exposure of the second partitioned area are equal, the scanning of the second partitioned area must be performed. Since the speed in the non-scanning direction has become zero a predetermined time before the start of the exposure, the movement in the non-scanning direction ends at a certain time before the start of the scanning exposure of the second partitioned area.
Therefore, it is not necessary to increase the deceleration after the acceleration of the substrate in the non-scanning direction between the scanning exposure of the first partitioned area and the scanning exposure of the second partitioned area. The effect does not remain, and the synchronization settling time does not become unnecessarily long.

【0024】請求項10に記載の発明は、マスク(R)
と基板(W)とを同期移動して、前記基板(W)が同期
移動される第1方向とほぼ直交する第2方向に配列され
る前記基板上の第1区画領域(S1)と第2区画領域
(S2)とにそれぞれ前記マスクのパターンを転写する
走査露光方法において、前記第1区画領域(S1)の走
査露光後に、前記基板をその移動軌跡がほぼ放物線状に
なるように移動した後、前記マスクのパターンで前記第
2区画領域(S2)を走査露光することを特徴とする。
これによれば、第1区画領域の走査露光後、第2区画領
域の走査露光の開始前に、基板をその移動軌跡がほぼ放
物線状になるように移動するので、この移動の終了部分
では基板はほぼ第1方向に沿って移動され、走査露光開
始後に基板の非走査方向の速度成分が走査露光に影響を
与えることがない。
According to a tenth aspect of the present invention, a mask (R)
And the substrate (W) are synchronously moved, and the first partitioned area (S1) on the substrate is arranged in a second direction substantially orthogonal to the first direction in which the substrate (W) is synchronously moved. In the scanning exposure method for transferring the pattern of the mask to each of the divided areas (S2), after the scanning exposure of the first divided area (S1), the substrate is moved so that its movement locus becomes substantially parabolic. And scanning and exposing the second divided area (S2) with the pattern of the mask.
According to this, after the scanning exposure of the first sectioned area and before the start of the scanning exposure of the second sectioned area, the substrate is moved so that its movement trajectory is substantially parabolic. Is moved substantially in the first direction, and the speed component of the substrate in the non-scanning direction does not affect the scanning exposure after the start of the scanning exposure.

【0025】この場合、請求項11に記載の発明の如
く、前記放物線の頂点における前記基板の前記第2方向
の位置を、前記第1区画領域よりも前記第2区画領域側
に設定することが望ましい。
In this case, the position of the substrate in the second direction at the vertex of the parabola may be set closer to the second section area than the first section area. desirable.

【0026】請求項12に記載の発明は、マスク(R)
と基板(W)とを同期移動して、前記基板が同期移動さ
れる第1方向とほぼ直交する第2方向に配列される前記
基板上の第1区画領域(S1)と第2区画領域(S2)
とにそれぞれ前記マスクのパターンを転写する走査露光
方法において、前記第1区画領域(S1)の走査露光終
了後の前記基板の減速中、及び前記第2区画領域の走査
露光前の前記基板の加速中に、前記基板を前記第1及び
第2方向と交差する方向に移動することを特徴とする。
これによれば、第1区画領域の走査露光終了後の基板の
減速中、及び第2区画領域の走査露光前の基板の加速中
に、基板を第1及び第2方向と交差する方向に移動する
ので、結果的に基板の移動軌跡は従来のコ字経路に比べ
て短くなり、最短距離に近い経路で基板が移動され、そ
の分スループットの向上が可能となる。
According to a twelfth aspect of the present invention, a mask (R)
And the substrate (W) are synchronously moved, and a first partitioned area (S1) and a second partitioned area (S1) on the substrate are arranged in a second direction substantially orthogonal to a first direction in which the substrate is synchronously moved. S2)
In the scanning exposure method of transferring the pattern of the mask, the deceleration of the substrate after the scanning exposure of the first partitioned area (S1) and the acceleration of the substrate before the scanning exposure of the second partitioned area are performed. In the method, the substrate may be moved in a direction intersecting the first and second directions.
According to this, the substrate is moved in a direction intersecting the first and second directions during the deceleration of the substrate after the scanning exposure in the first partitioned area and during the acceleration of the substrate before the scanning exposure in the second partitioned area. As a result, the movement trajectory of the substrate is shorter than that of the conventional U-shaped path, and the substrate is moved along a path close to the shortest distance, so that the throughput can be improved accordingly.

【0027】なお、この場合、基板の移動軌跡はV字状
であっても良いが、第1区画領域の走査露光と第2区画
領域の走査露光との間で基板を停止することなく移動し
て、その軌跡を放物線状(又はU字状)とすることが望
ましい。この場合、基板の移動軌跡は最短とはならない
が、基板が停止することがないので、オーバースキャ
ン、ステッピング、及びプリスキャンの総所要時間(シ
ョット間での基板の移動時間)は最も短くなる。
In this case, the moving locus of the substrate may be V-shaped, but the substrate moves without stopping between the scanning exposure of the first partitioned area and the scanning exposure of the second partitioned area. It is desirable that the locus be parabolic (or U-shaped). In this case, the movement locus of the substrate is not the shortest, but since the substrate does not stop, the total time required for overscan, stepping, and prescan (the time required for the substrate to move between shots) is the shortest.

【0028】請求項13に記載の発明は、マスク(R)
と基板(W)とを同期移動して、前記基板(W)が同期
移動される第1方向と直交する第2方向に沿って配列さ
れる前記基板上の第1区画領域(S1)と第2区画領域
(S2)とに前記マスクのパターンを順次転写する走査
露光方法において、前記第1区画領域(S1)の走査露
光終了後、前記基板の前記第2方向の位置が前記第2区
画領域(S2)の前記第2方向の位置と一致する前に、
前記第2区画領域の走査露光のための前記基板の加速を
開始することを特徴とする。これによれば、第1区画領
域の走査露光の終了後、第2区画領域の走査露光のため
第2方向についての基板の移動が開始されるが、この途
中で第2区画領域の走査露光のための基板の第1方向に
ついての基板の加速が開始されるので、第2区画領域の
走査露光のための第2方向の基板の移動が終了してから
第2区画領域の走査露光のための加速が開始される場合
に比べてスループットを向上させることが可能である。
According to a thirteenth aspect of the present invention, a mask (R)
And the substrate (W) are synchronously moved, and the first partitioned area (S1) on the substrate is arranged along a second direction orthogonal to the first direction in which the substrate (W) is synchronously moved. In the scanning exposure method for sequentially transferring the pattern of the mask to two divided areas (S2), after the scanning exposure of the first divided area (S1) is completed, the position of the substrate in the second direction is changed to the second divided area. Before matching the position in the second direction of (S2),
The acceleration of the substrate for scanning exposure of the second partitioned area is started. According to this, after the scanning exposure of the first partitioned area is completed, the movement of the substrate in the second direction is started for the scanning exposure of the second partitioned area. Acceleration of the substrate in the first direction of the substrate is started, and after the movement of the substrate in the second direction for the scanning exposure of the second divided area is completed, the acceleration for the scanning exposure of the second divided area is completed. Throughput can be improved as compared with the case where acceleration is started.

【0029】この場合において、請求項14に記載の発
明の如く、前記第1区画領域(S1)の走査露光終了
後、前記基板(W)の前記第1方向の速度成分が零とな
る前に前記基板を前記第1方向に対して斜めに移動し、
かつ前記基板の加速開始直後は、前記第1及び第2方向
の各速度成分が零とならないように前記基板を移動する
ことが望ましい。
In this case, after the scanning exposure of the first partitioned area (S1) is completed and before the velocity component of the substrate (W) in the first direction becomes zero, as in the fourteenth aspect of the present invention. Moving the substrate obliquely with respect to the first direction,
It is desirable that the substrate is moved immediately after the start of acceleration of the substrate so that the velocity components in the first and second directions do not become zero.

【0030】請求項15に記載の発明は、マスク(R)
と基板(W)とを同期移動して、前記基板が同期移動さ
れる第1方向と直交する第2方向に沿って配列される前
記基板上の第1区画領域(S1)と第2区画領域(S
2)とに前記マスクのパターンを順次転写する走査露光
方法において、前記第1区画領域(S1)の走査露光終
了後の前記基板(W)の前記第2方向の速度成分が零と
なる前に、前記第2区画領域の走査露光のための前記基
板の加速を開始することを特徴とする。これによれば、
第1区画領域の走査露光の終了後、第2区画領域へ向け
て第2方向についての基板の移動が行われるが、この移
動が終了して基板の第2方向の速度成分が零となる前
に、第2区画領域の走査露光のための基板の加速が開始
されるので、第2区画領域の走査露光のための第2方向
の基板の移動が終了してから第2区画領域の走査露光の
ための加速が開始される場合に比べてスループットを向
上させることが可能である。
According to a fifteenth aspect of the present invention, a mask (R)
And the substrate (W) are synchronously moved, and a first partitioned area (S1) and a second partitioned area on the substrate are arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved. (S
2) in which the pattern of the mask is sequentially transferred, before the speed component of the substrate (W) in the second direction after the scanning exposure of the first partitioned area (S1) becomes zero becomes zero. And starting acceleration of the substrate for scanning exposure of the second partitioned area. According to this,
After the scanning exposure of the first sectioned area is completed, the substrate is moved in the second direction toward the second sectioned area. Before the movement is completed and the velocity component of the substrate in the second direction becomes zero. Then, since the acceleration of the substrate for the scanning exposure of the second partitioned area is started, the scanning exposure of the second partitioned area is completed after the movement of the substrate in the second direction for the scanning exposure of the second partitioned area is completed. It is possible to improve the throughput as compared with the case where the acceleration for the start is started.

【0031】この場合において、請求項16に記載の発
明の如く、前記基板(W)は、前記第1方向に関して加
速され、かつ前記第2方向に関して減速されること、す
なわち、基板の第2方向への減速中に第1方向への加速
が第2区画領域の走査露光前に行われることが望まし
い。
In this case, the substrate (W) is accelerated in the first direction and decelerated in the second direction, that is, in the second direction of the substrate. It is desirable that the acceleration in the first direction be performed before the scanning exposure of the second partitioned area during the deceleration to the second direction.

【0032】上記請求項15及び16に記載の各発明に
おいて、請求項17に記載の発明の如く、前記第1区画
領域の走査露光終了後の前記基板の前記第1方向の速度
成分が零となる前に、前記基板の前記第2方向への加速
を開始することが望ましい。
In each of the inventions described in claims 15 and 16, as in the invention described in claim 17, the velocity component of the substrate in the first direction after the completion of the scanning exposure of the first partitioned area is zero. It is preferable that the acceleration of the substrate in the second direction is started before the acceleration.

【0033】請求項18に記載の発明は、マスク(R)
と基板(W)とを同期移動して、前記基板が同期移動さ
れる第1方向と直交する第2方向に沿って配列される前
記基板上の第1区画領域(S1)と第2区画領域(S
2)とに前記マスクのパターンを順次転写する走査露光
方法において、前記第1区画領域(S1)の走査露光終
了後に前記基板の前記第1方向の速度成分が零となる前
記基板の前記第2方向の位置を、前記第2区画領域の前
記第2方向の位置よりも前記第1区画領域側とし、かつ
前記第2区画領域を走査露光するために、前記第1及び
第2方向に対して斜めに前記基板を移動することを特徴
とする。これによれば、前記第1区画領域の走査露光終
了後の基板の移動軌跡は従来のコ字経路に比べて短くな
り、最短距離に近い経路で基板が移動され、その分スル
ープットの向上が可能となる。なお、この場合、基板の
移動軌跡はV字状であっても良いが、第1区画領域の走
査露光と第2区画領域の走査露光との間で基板を停止す
ることなく移動して、その軌跡を放物線状(又はU字
状)とすることが望ましい。
The invention according to claim 18 is a mask (R)
And the substrate (W) are synchronously moved, and a first partitioned area (S1) and a second partitioned area on the substrate are arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved. (S
2) in the scanning exposure method of sequentially transferring the pattern of the mask, wherein after the scanning exposure of the first partitioned area (S1) is completed, the second component of the substrate, in which the velocity component of the substrate in the first direction becomes zero. The position in the direction is set to be closer to the first section area than the position of the second section area in the second direction, and in order to scan and expose the second section area with respect to the first and second directions. The method is characterized in that the substrate is moved obliquely. According to this, the moving trajectory of the substrate after the scanning exposure of the first partitioned area is shorter than that of the conventional U-shaped path, and the substrate is moved along the path closest to the shortest distance, thereby improving the throughput. Becomes In this case, the moving locus of the substrate may be V-shaped, but the substrate moves without stopping between the scanning exposure of the first partitioned area and the scanning exposure of the second partitioned area. It is desirable that the locus be parabolic (or U-shaped).

【0034】請求項19に記載の発明は、マスク(R)
と基板(W)とを同期移動して、前記基板が同期移動さ
れる第1方向と直交する第2方向に沿って配列される前
記基板上の第1区画領域(S1)と第2区画領域(S
2)とに前記マスクのパターンを順次転写する走査露光
方法において、前記第1区画領域(S1)の第1走査露
光と前記第2区画領域(S2)の第2走査露光とで前記
基板を逆向きに移動するために、前記第1走査露光終了
後に前記基板の前記第1方向の速度成分を零とし、かつ
前記第2走査露光に先立って前記第1及び第2方向の各
速度成分が零とならないように前記基板を加速すること
を特徴とする。これによれば、基板は第2走査露光に先
立って曲線状(又は直線状)の経路に沿って第1及び第
2方向に対し斜めに移動されることとなる。
The invention according to claim 19 is a mask (R)
And the substrate (W) are synchronously moved, and a first partitioned area (S1) and a second partitioned area on the substrate are arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved. (S
2) In the scanning exposure method of sequentially transferring the pattern of the mask, the substrate is reversed by the first scanning exposure of the first partitioned area (S1) and the second scanning exposure of the second partitioned area (S2). In order to move in the direction, the speed component of the substrate in the first direction is set to zero after the end of the first scanning exposure, and the speed components of the first and second directions are set to zero before the second scanning exposure. The substrate is accelerated so as not to be caused. According to this, the substrate is moved obliquely to the first and second directions along a curved (or straight) path prior to the second scanning exposure.

【0035】請求項20に記載の発明は、マスク(R)
と基板(W)とを同期移動して、前記基板が同期移動さ
れる第1方向と直交する第2方向に沿って配列される前
記基板上の第1区画領域(S1)と第2区画領域(S
2)とに前記マスクのパターンを順次転写する走査露光
方法において、前記第1区画領域(S1)の第1走査露
光と前記第2区画領域(S2)の第2走査露光との間、
前記第1走査露光終了後の前記第1方向の速度成分が零
となる前記基板の前記第2方向の位置が、前記第1区画
領域の前記第2方向の位置と前記第2区画領域の前記第
2方向の位置との間になるように前記基板を移動するこ
とを特徴とする。これによれば、第1走査露光が終了す
ると、基板の第1方向の速度を減速しつつ第2方向への
移動が行われ、この際、基板の第1方向の速度成分が零
となる基板の第2方向の位置が、第1区画領域の第2方
向の位置と第2区画領域の第2方向の位置との間になる
ように基板が移動される。従って、第1走査露光が終了
すると、基板は曲線状(又は直線状)の経路に沿って第
1及び第2方向に対し斜めに移動されることとなる。
According to a twentieth aspect of the present invention, a mask (R)
And the substrate (W) are synchronously moved, and a first partitioned area (S1) and a second partitioned area on the substrate are arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved. (S
2) and a scanning exposure method for sequentially transferring the pattern of the mask between the first scanning exposure of the first partitioned area (S1) and the second scanning exposure of the second partitioned area (S2).
The position in the second direction of the substrate at which the velocity component in the first direction after the first scanning exposure is zero is the position of the first divided region in the second direction and the position of the second divided region in the second direction. The method is characterized in that the substrate is moved so as to be between the position in the second direction. According to this, when the first scanning exposure is completed, the substrate is moved in the second direction while reducing the speed of the substrate in the first direction, and at this time, the speed component of the substrate in the first direction becomes zero. The substrate is moved such that the position in the second direction is between the position in the second direction of the first partitioned area and the position in the second direction of the second partitioned area. Therefore, when the first scanning exposure is completed, the substrate is moved obliquely with respect to the first and second directions along a curved (or straight) path.

【0036】請求項21に記載の発明は、マスク(R)
と基板(W)とを同期移動して、前記基板が同期移動さ
れる第1方向と直交する第2方向に沿って配列される前
記基板上の第1区画領域(S1)と第2区画領域(S
2)とに前記マスクのパターンを順次転写する走査露光
方法において、前記第1区画領域(S1)の第1走査露
光と前記第2区画領域(S2)の第2走査露光との間の
前記基板の移動軌跡がほぼ放物線状となるように、前記
第1走査露光後の前記基板の減速中、及び前記第2走査
露光前の前記基板の加速中、前記第2方向の速度成分を
零とすることなく前記基板を移動することを特徴とす
る。これによれば、第1区画領域の第1走査露光と前記
第2区画領域の第2走査露光との間の基板の移動軌跡が
ほぼ放物線状となり、しかも第2方向の速度成分を零と
することなく基板が移動されるので、基板が停止するこ
とがなく、オーバースキャン、ステッピング、及びプリ
スキャンの総所要時間(ショット間での基板の移動時
間)はほぼ最短となる。
According to a twenty-first aspect of the present invention, a mask (R)
And the substrate (W) are synchronously moved, and a first partitioned area (S1) and a second partitioned area on the substrate are arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved. (S
2) in the scanning exposure method of sequentially transferring the pattern of the mask to the substrate between the first scanning exposure of the first partitioned area (S1) and the second scanning exposure of the second partitioned area (S2). During the deceleration of the substrate after the first scanning exposure and during the acceleration of the substrate before the second scanning exposure, the velocity component in the second direction is set to zero so that the movement trajectory becomes substantially parabolic. The method is characterized in that the substrate is moved without the need. According to this, the movement trajectory of the substrate between the first scanning exposure of the first partitioned area and the second scanning exposure of the second partitioned area becomes substantially parabolic, and the velocity component in the second direction is set to zero. Since the substrate is moved without any trouble, the substrate does not stop, and the total time required for overscan, stepping, and prescan (the time required to move the substrate between shots) is almost the shortest.

【0037】この場合において、請求項22に記載の発
明の如く、前記第1走査露光の終了直後、及び前記第2
走査露光の開始直前は、前記基板(W)の前記第2方向
の速度成分をほぼ零としても良い。
In this case, as in the invention according to claim 22, immediately after the completion of the first scanning exposure, and
Immediately before the start of the scanning exposure, the velocity component of the substrate (W) in the second direction may be substantially zero.

【0038】請求項23に記載の発明は、マスク(R)
と基板(W)とを同期移動して、前記基板が同期移動さ
れる第1方向と直交する第2方向に沿って配列される前
記基板上の第1区画領域(S1)と第2区画領域(S
2)とに前記マスクのパターンを順次転写する走査露光
方法において、前記第1区画領域(S1)の第1走査露
光と前記第2区画領域(S2)の第2走査露光との間、
前記第1走査露光終了後の前記基板の前記第1方向の速
度成分が零となる前に、前記基板の前記第2方向への加
速を開始し、かつ前記基板の前記第2方向の速度成分が
零となる前に、前記基板の前記第1方向への加速を開始
することを特徴とする。これによれば、第1区画領域の
第1走査露光と第2区画領域の第2走査露光との間の基
板の移動軌跡は、U字状あるいはそれに近い経路とな
る。
According to a twenty-third aspect of the present invention, the mask (R)
And the substrate (W) are synchronously moved, and a first partitioned area (S1) and a second partitioned area on the substrate are arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved. (S
2) and a scanning exposure method for sequentially transferring the pattern of the mask between the first scanning exposure of the first partitioned area (S1) and the second scanning exposure of the second partitioned area (S2).
Before the speed component in the first direction of the substrate after the first scanning exposure is completed becomes zero, acceleration of the substrate in the second direction is started, and the speed component of the substrate in the second direction is started. Before the value becomes zero, acceleration of the substrate in the first direction is started. According to this, the movement trajectory of the substrate between the first scanning exposure of the first partitioned area and the second scanning exposure of the second partitioned area is a U-shaped path or a path similar thereto.

【0039】この場合において、請求項24に記載の発
明の如く、前記基板の前記第1方向への加速は、前記第
2方向に関する前記基板の減速中に開始されることが望
ましい。
In this case, it is preferable that the acceleration of the substrate in the first direction is started during the deceleration of the substrate in the second direction.

【0040】また、上記請求項23及び24に記載の各
発明において、請求項25に記載の発明の如く、前記基
板(W)の前記第2方向への加速は、前記第1走査露光
終了後の前記基板の減速中に開始されることが望まし
い。
In each of the above-mentioned inventions, the acceleration of the substrate (W) in the second direction is performed after the completion of the first scanning exposure. It is desirable to start during the deceleration of the substrate.

【0041】上記請求項8〜25のいずれか一項に記載
の走査露光方法において、区画領域間の基板の第2方向
における移動時に、加速時と減速時とで加速度の大きさ
を同一にしても良いが、請求項26に記載の発明の如
く、前記第1区画領域(S1)の走査露光と前記第2区
画領域(S2)の走査露光との間で前記基板(W)を前
記第2方向に移動するとき、前記基板の加速時と減速時
とでその加速度の絶対値を異ならせても良い。
In the scanning exposure method according to any one of claims 8 to 25, when the substrate is moved between the divided areas in the second direction, the magnitude of the acceleration is made the same during acceleration and during deceleration. 27. The method according to claim 26, wherein the substrate (W) is moved between the scanning exposure of the first partitioned area (S1) and the scanning exposure of the second partitioned area (S2). When moving in the direction, the absolute value of the acceleration may be different between the time of acceleration and the time of deceleration of the substrate.

【0042】請求項27に記載の発明は、マスク(R)
と基板(W)とを同期移動して、前記基板が同期移動さ
れる第1方向とほぼ直交する第2方向に配列される前記
基板上の第1及び第2区画領域(S1及びS2)にそれ
ぞれ前記マスクのパターンを転写する走査露光方法にお
いて、前記第1区画領域の第1走査露光と前記第2区画
領域の第2走査露光との間で前記基板を前記第2方向に
移動するときに、前記基板の加速時と減速時とでその加
速度の絶対値を異ならせることを特徴とする。
According to a twenty-seventh aspect of the present invention, a mask (R)
And the substrate (W) are synchronously moved to the first and second partitioned areas (S1 and S2) on the substrate arranged in a second direction substantially orthogonal to the first direction in which the substrate is synchronously moved. In the scanning exposure method of transferring the pattern of the mask, when the substrate is moved in the second direction between a first scanning exposure of the first partitioned area and a second scanning exposure of the second partitioned area. The absolute value of the acceleration differs between the time of acceleration and the time of deceleration of the substrate.

【0043】かかる場合には、第1区画領域の第1走査
露光と前記第2区画領域の第2走査露光との間における
基板の第2方向の移動時の加速時の加速度の絶対値と減
速時の加速度の絶対値とを適宜設定することにより、加
速時及び減速時の加速度の絶対値を同一とした場合に比
べて、基板の第2方向移動に要する時間は長くなること
があっても、その移動終了後の基板の位置決め整定時間
を短くして位置決め整定時間を含む基板の第2方向移動
に要する総時間を短くする等の基板の移動制御が可能に
なる。
In such a case, the absolute value and the deceleration of the acceleration during the movement of the substrate in the second direction between the first scanning exposure of the first partitioned area and the second scanning exposure of the second partitioned area are reduced. By appropriately setting the absolute value of the acceleration at the time, the time required for the substrate to move in the second direction may be longer than when the absolute value of the acceleration at the time of acceleration and the absolute value of the acceleration at the time of deceleration are the same. In addition, it is possible to control the movement of the substrate such as shortening the positioning settling time of the substrate after the completion of the movement to shorten the total time required for the movement of the substrate in the second direction including the positioning settling time.

【0044】この場合において、請求項28に記載の発
明の如く、前記第1走査露光後で前記基板(W)の第1
方向の減速中に前記基板の前記第2方向の加速を開始
し、前記第2走査露光前で前記基板の第1方向の加速中
に前記基板の前記第2方向の減速を開始しても良い。か
かる場合、第1走査露光終了後のいわゆるオーバースキ
ャン時間と区画領域間の基板の移動時間、及び第2走査
露光前のいわゆるプリスキャン時間と区画領域間の基板
の移動時間とを、それぞれ少なくとも一部オーバーラッ
プさせることができるので、その分スループットの向上
が可能である。
In this case, as in the invention according to claim 28, after the first scanning exposure, the first of the substrate (W) is exposed.
The acceleration of the substrate in the second direction may be started during the deceleration in the direction, and the deceleration of the substrate in the second direction may be started during the acceleration of the substrate in the first direction before the second scanning exposure. . In such a case, the so-called overscan time after the end of the first scanning exposure and the movement time of the substrate between the divided areas, and the so-called prescan time before the second scanning exposure and the movement time of the substrate between the divided areas are each at least one. Since the portions can be overlapped, the throughput can be improved accordingly.

【0045】上記請求項27及び28に記載の各発明に
おいて、請求項29に記載の発明の如く、前記基板は、
前記第1走査露光と前記第2走査露光との間で停止する
ことなく移動されることが望ましい。
In each of the inventions described in claims 27 and 28, as in the invention described in claim 29, the substrate is
It is desirable to move without stopping between the first scanning exposure and the second scanning exposure.

【0046】この場合において、請求項30に記載の発
明の如く、前記基板(W)は、前記第1方向の速度成分
が零となる前後で前記第2方向の加減速がそれぞれ開始
されても良い。
In this case, as in the invention according to claim 30, the acceleration of the substrate (W) in the second direction is started before and after the speed component in the first direction becomes zero. good.

【0047】上記請求項26〜30に記載の各発明にお
いて、請求項31に記載の発明の如く、前記基板(W)
の減速時よりも加速時で前記第2方向の加速度の絶対値
を大きくすることが望ましい。かかる場合には、第1走
査露光終了後におけるいわゆるオーバースキャンに対応
する基板の第2方向の加速度が、第2走査露光開始前の
いわゆるプリスキャンに対応する基板の非走査方向の負
の加速度より絶対値が大きくなるようにすることができ
るので、第2方向の移動における基板の高加速に起因す
る基板の振動を第2走査露光の開始前には完全に減衰さ
せることができる。
In each of the twenty-sixth to thirty-sixth aspects of the present invention, as in the thirty-first aspect, the substrate (W)
It is desirable to make the absolute value of the acceleration in the second direction larger during acceleration than during deceleration. In such a case, the acceleration in the second direction of the substrate corresponding to the so-called overscan after the end of the first scanning exposure is smaller than the negative acceleration in the non-scanning direction of the substrate corresponding to the so-called prescan before the start of the second scanning exposure. Since the absolute value can be increased, the vibration of the substrate due to the high acceleration of the substrate in the movement in the second direction can be completely attenuated before the start of the second scanning exposure.

【0048】上記請求項8〜26に記載の各発明に係る
走査露光方法において、請求項32に記載の発明の如
く、前記基板(W)は、前記第1区画領域(S1)の走
査露光と前記第2区画領域(S2)の走査露光との間で
停止することなく移動されることが望ましい。
[0048] In the scanning exposure method according to each of the inventions described in claims 8 to 26, as in the invention described in claim 32, the substrate (W) is provided with a scanning exposure of the first partitioned area (S1). It is desirable to move without stopping between the scanning exposure of the second partitioned area (S2).

【0049】また、請求項8〜32に記載の各発明に係
る走査露光方法において、請求項33に記載の発明の如
く、前記第2区画領域(S2)の走査露光に先立つ前記
マスク(R)と前記基板(W)との同期整定前に、前記
基板の前記第2方向の速度成分をほぼ零にすることが望
ましい。かかる場合には、第2区画領域の走査露光に先
立つマスクと基板との同期整定前には、基板の前記第2
方向の速度成分がほぼ零となっているので、同期整定後
走査露光が開始された時点では、区画領域間の基板の第
2方向移動が走査露光に影響を与えることがなく、高精
度な露光が可能である。
Further, in the scanning exposure method according to each of the inventions according to claims 8 to 32, as in the invention according to claim 33, the mask (R) prior to the scanning exposure of the second partitioned area (S2). Before the synchronous settling of the substrate and the substrate (W), it is preferable that the velocity component of the substrate in the second direction is made substantially zero. In such a case, before the mask and the substrate are settled synchronously prior to the scanning exposure of the second partitioned area, the second position of the substrate is set.
Since the velocity component in the direction is almost zero, when the scanning exposure is started after the synchronous settling, the movement in the second direction of the substrate between the divided areas does not affect the scanning exposure, and the exposure is performed with high precision. Is possible.

【0050】上記請求項10〜33に記載の各発明に係
る走査露光方法において、請求項34に記載の発明の如
く、前記マスク(R)は、前記基板(W)が前記第1方
向に沿って逆向きに移動される前記第1区画領域(S
1)の走査露光と前記第2区画領域(S2)の走査露光
とで、往復移動されることが望ましい。かかる場合に
は、第1区画領域の走査露光と第2区画領域の走査露光
との間で、いわゆるマスクの巻き戻しのための時間が不
要となり、その分スループットの向上を図ることができ
る。
[0050] In the scanning exposure method according to each of the inventions as set forth in claims 10 to 33, as in the invention as set forth in claim 34, the mask (R) may be arranged so that the substrate (W) extends along the first direction. The first partition area (S
It is desirable to reciprocate between the scanning exposure of 1) and the scanning exposure of the second section area (S2). In such a case, no time is required for rewinding the mask between the scanning exposure of the first partitioned area and the scanning exposure of the second partitioned area, and the throughput can be improved accordingly.

【0051】上記請求項10〜34に記載の各発明に係
る走査露光方法において、請求項35に記載の発明の如
く、前記基板(W)は、前記マスク(R)のパターンを
転写すべき前記基板上の全ての区画領域(S1、S2、
S3、……)の走査露光が終了するまで、前記第1及び
第2方向の両方でその速度成分が同時に零とならないよ
うに移動されることが望ましい。かかる場合には、基板
は、第1区画領域の走査露光のための移動開始から、基
板上の全ての区画領域の走査露光の終了まで、停止する
ことなく移動されるので、スループットを最大限向上さ
せることができる。
[0051] In the scanning exposure method according to each of the inventions as set forth in claims 10 to 34, as in the invention as set forth in claim 35, the substrate (W) may transfer the pattern of the mask (R). All defined areas (S1, S2,
Until the scanning exposure in S3,...) Is finished, it is desirable to move the velocity components in both the first and second directions so that the velocity components do not become zero at the same time. In such a case, since the substrate is moved without stopping from the start of the scanning exposure of the first partitioned area to the end of the scanning exposure of all the partitioned areas on the substrate, the throughput is maximized. Can be done.

【0052】請求項36に記載の発明は、基板(W)上
の区画領域毎にマスク(R)と前記基板とを同期移動し
て、前記基板上の複数の区画領域(S1、S2、S3、
……)に前記マスクのパターンを順次転写するステップ
・アンド・スキャン方式の走査露光方法において、前記
マスクの往復移動によって前記マスクのパターンが転写
される前記基板上の2つの区画領域の走査露光間で前記
基板を停止することなく移動することを特徴とする。こ
れによれば、基板上の順次マスクのパターンが転写され
る2つの区画領域(通常は隣接領域)の走査露光間で基
板が停止することがないので、その部分に関してはより
スループットが向上する。
According to a thirty-sixth aspect of the present invention, the mask (R) and the substrate are synchronously moved for each of the divided areas on the substrate (W), and the plurality of divided areas (S1, S2, S3) on the substrate are moved. ,
..)), The step-and-scan type scanning exposure method of sequentially transferring the mask pattern is performed between two sections of the substrate on which the mask pattern is transferred by the reciprocating movement of the mask. And moving the substrate without stopping. According to this, since the substrate does not stop between the scanning exposures of the two partitioned areas (usually adjacent areas) to which the pattern of the mask on the substrate is sequentially transferred, the throughput is further improved for that part.

【0053】この場合において、請求項37に記載の発
明の如く、前記基板(W)は、前記マスク(R)のパタ
ーンを転写すべき前記基板上の最後の区画領域の走査露
光が終了するまで、前記基板が同期移動される第1方
向、及びそれと直交する第2方向の少なくとも一方の速
度成分が零とならないように移動されることが望まし
い。かかる場合には、結果的に複数区画領域の全てにス
テップ・アンド・スキャン方式の走査露光が行われる間
基板が停止することがないので、最もスループットが向
上する。
In this case, as in the invention according to claim 37, the substrate (W) remains on the substrate until the scanning exposure of the last partitioned area on the substrate to which the pattern of the mask (R) is to be transferred is completed. Preferably, the substrate is moved such that at least one of the velocity components in the first direction in which the substrate is synchronously moved and the second direction orthogonal thereto is not zero. In such a case, as a result, the substrate does not stop while scanning exposure of the step-and-scan method is performed on all of the plurality of divided areas, so that the throughput is improved most.

【0054】上記請求項3〜37に記載の各発明に係る
走査露光方法において、請求項38に記載の発明の如
く、前記マスク(R)は、前記走査露光時に前記基板
(W)の前記第2方向の速度成分が零となる前に加速が
開始されることが望ましい。かかる場合には、マスク
は、基板の第2方向の速度成分が零となる前に加速が開
始されるので、基板の第2方向の速度成分が零となって
からマスクの加速が開始される場合に比べて、マスクと
基板とが等速同期状態になるまでの時間が短縮され、そ
の分スループットの向上が可能だからである。
In the scanning exposure method according to any one of the third to third aspects of the present invention, as in the thirty-eighth aspect of the present invention, the mask (R) is provided on the substrate (W) during the scanning exposure. It is desirable that the acceleration be started before the velocity components in the two directions become zero. In such a case, since the acceleration of the mask is started before the velocity component of the substrate in the second direction becomes zero, the acceleration of the mask is started after the velocity component of the substrate in the second direction becomes zero. This is because, compared to the case, the time required for the mask and the substrate to be in a synchronized state at a constant speed is shortened, and the throughput can be improved accordingly.

【0055】また、上記請求項3〜38に記載の各発明
に係る走査露光方法において、請求項39に記載の発明
の如く、前記走査露光前の前記基板(W)の加速時と、
前記走査露光後の前記基板の減速時との少なくとも一方
で、前記基板をその加速度が徐々に零に収束するような
加速度変化曲線に従って前記第1方向に移動するように
しても良い。かかる場合には、走査露光前の基板の加速
時と、走査露光後の基板の減速時との少なくとも一方
で、基板をその加速度が徐々に零に収束するような加速
度変化曲線に従って第1方向に移動することから、一定
加速度で目標走査速度へ加速、一定減速度で零に減速す
る場合のように加速終了時点、又は減速終了時点で加速
度が不連続に、すなわち急激に変化することがない。従
って、この加速度の急激な変化に起因する基板の高周波
振動を抑制することができ、目標位置(これは当然に時
間的に変化する)に対する位置誤差を速やかに許容範囲
内に収束させることができ、結果的に基板の位置制御性
を向上させることができる。
Further, in the scanning exposure method according to each of the inventions described in claims 3 to 38, as in the invention described in claim 39, when the substrate (W) is accelerated before the scanning exposure,
At least one of when the substrate is decelerated after the scanning exposure, the substrate may be moved in the first direction according to an acceleration change curve whose acceleration gradually converges to zero. In such a case, at least one of the time of acceleration of the substrate before scanning exposure and the time of deceleration of the substrate after scanning exposure, the substrate is moved in the first direction according to an acceleration change curve such that the acceleration gradually converges to zero. Because of the movement, the acceleration does not discontinuously, that is, does not suddenly change at the end of acceleration or at the end of deceleration, such as when accelerating to the target scanning speed at a constant acceleration and decelerating to zero at a constant deceleration. Therefore, the high frequency vibration of the substrate caused by the rapid change of the acceleration can be suppressed, and the position error with respect to the target position (which naturally changes with time) can be quickly converged within the allowable range. As a result, the position controllability of the substrate can be improved.

【0056】上記請求項3〜39に記載の各発明に係る
走査露光方法において、請求項40に記載の発明の如
く、前記走査露光前の前記マスク(R)の加速時と、前
記走査露光後の前記マスクの減速時との少なくとも一方
で、前記マスクをその加速度が徐々に零に収束するよう
な加速度変化曲線に従って移動しても良い。かかる場合
には、走査露光前のマスクの加速時と、走査露光後のマ
スクの減速時との少なくとも一方で、マスクをその加速
度が徐々に零に収束するような加速度変化曲線に従って
移動することから、一定加速度で目標走査速度へ加速、
一定減速度で零に減速する場合のように加速終了時点、
又は減速終了時点で加速度が不連続に、すなわち急激に
変化することがない。従って、この加速度の急激な変化
に起因するマスクの高周波振動を抑制することができ、
目標位置(これは当然時間的に変化する)に対する位置
誤差を速やかに許容範囲内に収束させることができ、結
果的にマスクの位置制御性を向上させることができる。
According to a third aspect of the present invention, there is provided a scanning exposure method according to the third aspect, wherein the mask (R) is accelerated before the scanning exposure and after the scanning exposure. The mask may be moved in accordance with an acceleration change curve such that the acceleration gradually converges to zero at least during the deceleration of the mask. In such a case, at least one of the time of acceleration of the mask before scanning exposure and the time of deceleration of the mask after scanning exposure, the mask is moved according to an acceleration change curve such that the acceleration gradually converges to zero. , Accelerate to the target scanning speed at a constant acceleration,
At the end of acceleration, such as when decelerating to zero with a constant deceleration,
Alternatively, the acceleration is not discontinuous at the end of the deceleration, that is, does not suddenly change. Therefore, it is possible to suppress the high-frequency vibration of the mask caused by the rapid change of the acceleration,
A position error with respect to a target position (which naturally changes with time) can be quickly converged within an allowable range, and as a result, the position controllability of the mask can be improved.

【0057】上記請求項39及び40に記載の各発明に
おいて、請求項41に記載の発明の如く、前記基板
(W)又は前記マスク(R)は、その加速時に前記加速
度変化曲線に従って移動されても良い。これによれば、
マスク又は基板が、同期移動に先立って、その加速度が
徐々に零に収束するような加速度変化曲線に基づいて同
期移動方向に沿って加速されることから、一定加速度で
目標走査速度へ加速する場合のように加速終了時点で加
速度が不連続に、すなわち急激に変化することがない。
従って、この加速度の急激な変化に起因するマスク及び
基板の少なくとも一方の高周波振動を抑制することがで
き、目標位置(これは当然に時間的に変化する)に対す
る位置誤差を速やかに許容範囲内に収束させることがで
き、結果的にマスクと基板の同期整定時間を短縮するこ
とができる。
In each of the above-described inventions, the substrate (W) or the mask (R) is moved according to the acceleration change curve at the time of acceleration. Is also good. According to this,
When the mask or the substrate is accelerated in the synchronous movement direction based on an acceleration change curve whose acceleration gradually converges to zero prior to the synchronous movement, so that the mask or the substrate accelerates to the target scanning speed at a constant acceleration. The acceleration does not discontinuously, that is, does not suddenly change at the end of the acceleration.
Accordingly, high-frequency vibration of at least one of the mask and the substrate caused by the rapid change of the acceleration can be suppressed, and the position error with respect to the target position (which naturally changes with time) can be quickly brought into the allowable range. The convergence can be achieved, and as a result, the synchronization settling time between the mask and the substrate can be reduced.

【0058】この場合において、請求項42に記載の発
明の如く、前記基板(W)又は前記マスク(R)は、そ
の減速時に一定の加速度で減速されても良い。かかる場
合には、減速度の絶対値を最高加速度に対応する一定の
加速度(負の加速度)にすることにより、減速時間の大
幅な短縮が可能になり、基板又はマスクの加速開始から
減速終了までの総トータル時間を一層短縮することがで
きる。この場合、減速終了時には、マスクと基板の同期
整定は不要なので、一定の加速度による減速を行っても
何ら不都合は生じない。ここで、基板及びマスクの両者
を、請求項41の方法で加速し、請求項42の方法で減
速するようにしても良く、かかる場合には、最もスルー
プットの向上が可能である。
In this case, the substrate (W) or the mask (R) may be decelerated at a constant acceleration during the deceleration. In such a case, by setting the absolute value of the deceleration to a constant acceleration (negative acceleration) corresponding to the highest acceleration, the deceleration time can be significantly reduced, and the acceleration of the substrate or the mask from the start to the end of the deceleration can be achieved. Can be further reduced. In this case, at the end of the deceleration, there is no need to set the mask and the substrate synchronously. Therefore, there is no inconvenience even if the deceleration is performed at a constant acceleration. Here, both the substrate and the mask may be accelerated by the method of claim 41 and decelerated by the method of claim 42. In such a case, the throughput can be improved most.

【0059】請求項43に記載の発明は、マスクと基板
とを同期移動して、前記基板上の1又は2以上の区画領
域に前記マスクのパターンを転写する走査露光方法にお
いて、前記各区画領域に対する走査露光に際して、前記
マスクと前記基板との同期移動に先立って、前記マスク
及び前記基板の少なくとも一方を、その加速度が徐々に
零に収束するような加速度変化曲線に基づいて前記同期
移動方向に沿って加速することを特徴とする。
43. The scanning exposure method according to claim 43, wherein the mask and the substrate are moved synchronously to transfer the pattern of the mask to one or more divided regions on the substrate. Prior to the synchronous exposure of the mask and the substrate, at least one of the mask and the substrate is moved in the synchronous movement direction based on an acceleration change curve such that the acceleration gradually converges to zero. It is characterized by accelerating along.

【0060】これによれば、各区画領域に対する走査露
光に際して、マスクと基板との同期移動に先立って、マ
スク及び基板の少なくとも一方が、その加速度が徐々に
零に収束するような加速度変化曲線に基づいて同期移動
方向に沿って加速されることから、一定加速度で目標走
査速度へ加速する場合のように加速終了時点で加速度が
不連続に、すなわち急激に変化することがない。従っ
て、この加速度の急激な変化に起因するマスク及び基板
の少なくとも一方の高周波振動を抑制することができ、
目標位置(これは当然に時間的に変化する)に対する位
置誤差を速やかに許容範囲内に収束させることができ、
結果的にマスクと基板の同期整定時間を短縮することが
できる。
According to this, at the time of scanning exposure for each partitioned area, at least one of the mask and the substrate has an acceleration change curve whose acceleration gradually converges to zero prior to the synchronous movement between the mask and the substrate. Since the acceleration is performed in the synchronous movement direction based on the acceleration, the acceleration does not discontinuously, that is, does not suddenly change at the end of the acceleration as in the case of accelerating to the target scanning speed at a constant acceleration. Therefore, high-frequency vibration of at least one of the mask and the substrate caused by the rapid change of the acceleration can be suppressed,
The position error with respect to the target position (which naturally changes with time) can be quickly converged within an allowable range,
As a result, the synchronous settling time between the mask and the substrate can be reduced.

【0061】ここで、マスク及び基板を上記のような加
速度変化曲線に基づいて同期移動方向に沿って加速する
場合が、整定時間を最も短縮することができるが、通
常、走査型露光装置においては、マスク(マスクステー
ジ)及び基板(基板ステージ)の所定の一方の最高加速
度等が制約条件になっているので、この制約条件となっ
ている方に上記の加速方法を採用すれば、十分な効果を
得ることができる。
Here, when the mask and the substrate are accelerated along the synchronous movement direction based on the acceleration change curve as described above, the settling time can be shortened most, but usually, in a scanning exposure apparatus, , The maximum acceleration of one of the mask (mask stage) and the substrate (substrate stage) is a constraint, and if the above acceleration method is adopted for the one having the constraint, a sufficient effect can be obtained. Can be obtained.

【0062】この場合において、請求項44に記載の発
明の如く、前記基板が同期移動される第1方向に直交す
る第2方向に沿って配列される前記基板上の第1区画領
域と第2区画領域とに前記マスクのパターンを順次転写
するに際しては、前記第1区画領域の走査露光終了後の
前記基板の第1方向の減速中及び前記第2区画領域の走
査露光前の前記基板の第1方向の加速中に、前記基板を
前記第1及び第2方向と交差する方向に移動するように
しても良い。かかる場合には、前記請求項12の発明と
同様に、結果的に最短距離に近い経路で基板が移動され
るので、上記の整定時間の短縮とあいまってスループッ
トの更なる向上が可能である。
In this case, as in the invention according to claim 44, the first partitioned area and the second partitioned area on the substrate are arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved. When sequentially transferring the pattern of the mask to the partitioned area, the substrate may be decelerated in the first direction after the scanning exposure of the first partitioned area is completed, and the substrate may be transferred before the scanning exposure of the second partitioned area. During acceleration in one direction, the substrate may be moved in a direction intersecting the first and second directions. In such a case, as in the case of the twelfth aspect, the substrate is consequently moved along a path close to the shortest distance, so that the throughput can be further improved in combination with the shortening of the settling time.

【0063】請求項45に記載の発明は、マスクと基板
とを同期移動して、前記基板が同期移動される第1方向
に直交する第2方向に沿って配列される前記基板上の第
1区画領域と第2区画領域とに前記マスクのパターンを
順次転写する走査露光方法において、少なくとも前記第
1区画領域に対する走査露光に際して、前記マスク及び
前記基板の少なくとも一方を、前記マスクと前記基板と
の同期移動に先立ってその加速度が徐々に零に収束する
ような加速度変化曲線に基づいて前記第1方向に沿って
加速するとともに、前記同期移動の終了後に一定減速度
で前記第1方向に沿って減速することを特徴とする。
The invention according to claim 45 is that the mask and the substrate are synchronously moved, and the first substrate on the substrate is arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved. In the scanning exposure method for sequentially transferring the pattern of the mask to the divided area and the second divided area, at least at least one of the mask and the substrate is used for the scanning exposure to the first divided area. Prior to the synchronous movement, the acceleration is accelerated along the first direction based on an acceleration change curve whose acceleration gradually converges to zero, and along the first direction at a constant deceleration after the completion of the synchronous movement. It is characterized by deceleration.

【0064】これによれば、第1区画領域に対する走査
露光に際して、マスク及び基板の少なくとも一方を、マ
スクと基板との同期移動に先立ってその加速度が徐々に
零に収束するような加速度変化曲線に基づいて第1方向
に沿って加速するとともに、前記同期移動の終了後に一
定減速度で前記第1方向に沿って減速する。このため、
一定加速度で目標走査速度へ加速する場合のように加速
度が急激に変化することがないので、マスク及び基板の
少なくとも一方の位置誤差を速やかに許容範囲内に収束
させ、結果的にマスクと基板の同期整定時間を短縮する
ことができることに加え、同期移動の終了後の減速時に
は一定減速度(通常最大加速度に対応する減速度)で減
速するので、加速時と対称な加速度曲線に基づいて減速
が行われる場合に比べて減速に要する時間を短縮するこ
とができる。従って、少なくとも第1区画領域に対する
走査露光に際しては、マスク及び基板の少なくとも一方
については加速開始から減速終了までの総トータル時間
をより短縮することができる。
According to this, at the time of scanning exposure on the first partitioned area, at least one of the mask and the substrate is formed into an acceleration change curve such that the acceleration gradually converges to zero prior to the synchronous movement between the mask and the substrate. And accelerates along the first direction, and decelerates along the first direction at a constant deceleration after the end of the synchronous movement. For this reason,
Since the acceleration does not suddenly change as in the case of accelerating to the target scanning speed at a constant acceleration, at least one of the position error of the mask and the substrate is quickly converged to an allowable range, and as a result, the position of the mask and the substrate is reduced. In addition to shortening the synchronous settling time, the deceleration at the constant deceleration (normally the deceleration corresponding to the maximum acceleration) is performed at the time of deceleration after the end of the synchronous movement. The time required for deceleration can be shortened as compared with the case where it is performed. Therefore, in the scanning exposure of at least the first partitioned area, the total total time from the start of acceleration to the end of deceleration can be further reduced for at least one of the mask and the substrate.

【0065】この場合も、マスク(マスクステージ)及
び基板(基板ステージ)の内、両者あるいは少なくとも
制約条件になっている方に上記の加速度制御方法を採用
すれば、十分な効果を得ることができる。
Also in this case, a sufficient effect can be obtained by adopting the above-described acceleration control method for both the mask (mask stage) and the substrate (substrate stage), or at least one of which has a constraint. .

【0066】上記請求項45に記載の発明において、請
求項46に記載の発明の如く、前記第1区画領域の走査
露光終了後の前記基板の第1方向の減速中及び前記第2
区画領域の走査露光前の前記基板の第1方向の加速中
に、前記基板を前記第1及び第2方向と交差する方向に
移動するようにしても良い。かかる場合には、前記請求
項12の発明と同様に、結果的に最短距離に近い経路で
基板が移動されるので、上記の同期整定時間及び減速時
間の短縮とあいまってスループットのより一層の向上が
可能である。
In the invention according to claim 45, as in the invention according to claim 46, during the deceleration of the substrate in the first direction after the completion of the scanning exposure of the first partitioned area and the second
The substrate may be moved in a direction intersecting the first and second directions during acceleration of the substrate in the first direction before scanning exposure of the partitioned area. In such a case, as in the case of the twelfth aspect, the substrate is consequently moved along a path which is close to the shortest distance. Is possible.

【0067】上記請求項3〜46に記載の走査露光方法
において、請求項47に記載の発明の如く、前記基板
(W)が同期移動される第1方向、及びこれに直交する
第2方向のうち、少なくとも第2方向については、前記
第2方向と異なる方向の第1測長ビーム(RIX1)を
用いて前記基板の位置制御を行うことが望ましい。かか
る場合には、少なくとも第2方向(非走査方向)につい
ては、これと異なる方向の第1測長ビームを用いて位置
制御が行われる。すなわち、同期移動方向に対して斜め
に交差する方向の第1測長ビームを用いて第2方向の位
置制御が行われるので、前記第1測長ビームに直交する
方向の反射面を備えたステージであれば如何なる形状の
ステージでも基板ステージとして採用することが可能で
あり、正方形又は長方形状等の矩形のステージを用いる
必要がなく、ステージの形状の設計の自由度が向上し、
結果的に基板ステージを小型化することが可能になる。
In the scanning exposure method according to any one of claims 3 to 46, as in the invention described in claim 47, the first direction in which the substrate (W) is synchronously moved and the second direction orthogonal to the first direction. Among them, at least in the second direction, it is desirable to control the position of the substrate by using the first measurement beam (RIX1) in a direction different from the second direction. In such a case, at least in the second direction (non-scanning direction), position control is performed using the first length measurement beam in a different direction. That is, since the position control in the second direction is performed using the first measurement beam obliquely intersecting with the synchronous movement direction, the stage having the reflecting surface in the direction orthogonal to the first measurement beam is performed. If it is possible to adopt a stage of any shape as a substrate stage, it is not necessary to use a rectangular stage such as a square or a rectangular shape, the degree of freedom in the design of the stage shape is improved,
As a result, the size of the substrate stage can be reduced.

【0068】この場合において、前記第1方向(同期移
動方向)における前記基板の位置制御をも同期移動方向
と異なる方向の測長ビームを用いて行いつつ、露光動作
を行っても良いが、請求項48に記載の発明の如く、前
記第1方向とほぼ平行な第2測長ビーム(RIY)を用
いて、前記第1方向における前記基板(W)の位置制御
を行うことが好ましい。同期移動方向及び非走査方向の
位置制御をともにそれぞれの方向と異なる測長ビームを
用いて行う場合には、同期移動方向、非走査方向のいず
れについても位置制御のために三角関数演算により位置
を求める必要があるが、本発明の場合には、同期移動方
向(第1方向)についてはそのような三角関数演算が不
要となる。
In this case, the exposure operation may be performed while controlling the position of the substrate in the first direction (synchronous movement direction) using a length measurement beam in a direction different from the synchronous movement direction. As in the invention described in Item 48, it is preferable to control the position of the substrate (W) in the first direction using a second measurement beam (RIY) substantially parallel to the first direction. When the position control in both the synchronous movement direction and the non-scanning direction is performed using different length measurement beams from the respective directions, the position is controlled by a trigonometric function operation for position control in both the synchronous movement direction and the non-scanning direction. In the case of the present invention, such a trigonometric function operation is unnecessary in the synchronous movement direction (first direction).

【0069】上記請求項47及び48に記載の走査露光
方法において、請求項49に記載の発明の如く、前記第
1及び第2方向と交差し、かつ前記第1測長ビーム(R
IX1)と異なる方向の第3測長ビーム(RIX2)を
用いて、前記基板(W)の位置制御を行っても良い。こ
の場合において、第3測長ビームは基板の第1方向の位
置制御に用いても良いが、第1測長ビームとともに第3
測長ビームを基板の第2方向の位置制御に用いても良
い。かかる場合には、基板の走査方向の位置計測と独立
して非走査方向の位置計測を行うことができるととも
に、平均化効果により高精度な計測が可能となるので、
位置制御のための演算が簡易化されるとともにより正確
な基板の位置制御が可能となる。
In the scanning exposure method according to claim 47 or 48, as in the invention according to claim 49, the first length measuring beam (R) intersects the first and second directions and is different from the first and second directions.
The position of the substrate (W) may be controlled using a third measurement beam (RIX2) in a direction different from that of the substrate (W1). In this case, the third measurement beam may be used for controlling the position of the substrate in the first direction.
The measurement beam may be used for controlling the position of the substrate in the second direction. In such a case, the position measurement in the non-scanning direction can be performed independently of the position measurement in the scanning direction of the substrate, and the averaging effect enables high-precision measurement.
Calculations for position control are simplified, and more accurate substrate position control becomes possible.

【0070】請求項50に記載の発明に係るステージ装
置は、定盤(22)と;前記定盤に対して相対移動が可
能であるとともに基板(W1、W2)をそれぞれ保持す
る少なくとも2つの第1可動体(WST1、WST2)
と;前記各第1可動体がその上部に配置されるととも
に、前記定盤上に配置され、かつ前記定盤及び前記各第
1可動体のそれぞれに対して相対移動する第2可動体
(138)と;前記第2可動体に設けられ、前記各第1
可動体を2次元平面内で駆動する駆動装置(42a、4
2b)とを備え、前記各第1可動体の駆動の際の反力に
応じて前記第2可動体が移動するように構成されている
ことを特徴とする。
The stage device according to the fiftyth aspect of the present invention is a stage device, comprising: a platen (22); at least two first plates which can move relative to the platen and respectively hold the substrates (W1, W2). 1 movable body (WST1, WST2)
A second movable body (138) in which each of the first movable bodies is arranged on the upper surface thereof, is arranged on the surface plate, and moves relative to each of the surface plate and each of the first movable bodies. ) Provided on the second movable body, and each of the first
A driving device (42a, 4a) for driving the movable body in a two-dimensional plane
2b), wherein the second movable body is configured to move in response to a reaction force when each of the first movable bodies is driven.

【0071】これによれば、駆動装置によりいずれかの
第1可動体が駆動された場合には、その駆動力の反力に
より第2可動体が移動して、第1可動体の重心移動によ
る偏荷重を第2可動体の重心移動によりキャンセルする
ことができ、結果的にステージ装置全体の重心を所定位
置に保持できる。同様に、駆動装置により第1可動体が
複数同時に駆動された場合には、その駆動力の合力に対
応する反力により複数の第1可動体の重心移動による偏
荷重を第2可動体の重心移動によりキャンセルするよう
に第2可動体が移動し、結果的にステージ装置全体の重
心を所定位置に保持できる。従って、ある第1可動体の
動作が他の第1可動体に外乱として作用することがない
ように第1可動体同士の動作の調整を行う必要がなくな
るので、制御負担が軽減されるとともに、各第1可動体
の位置制御性をともに高く維持することができる。
According to this, when any one of the first movable bodies is driven by the driving device, the second movable body moves by the reaction force of the driving force, and the center of gravity of the first movable body moves. The eccentric load can be canceled by moving the center of gravity of the second movable body, and as a result, the center of gravity of the entire stage device can be held at a predetermined position. Similarly, when a plurality of first movable bodies are simultaneously driven by the driving device, a biased load due to the movement of the center of gravity of the plurality of first movable bodies is caused by a reaction force corresponding to a resultant force of the driving forces. The second movable body moves so as to be canceled by the movement, and as a result, the center of gravity of the entire stage device can be held at a predetermined position. Accordingly, it is not necessary to adjust the operation of the first movable bodies so that the operation of a certain first movable body does not act as a disturbance on another first movable body, so that the control load is reduced and The position controllability of each first movable body can be kept high.

【0072】この場合において、請求項51に記載の発
明の如く、前記各第1可動体(WST1、WST2)の
質量は前記第2可動体(138)の質量のほぼ1/9以
下であり、前記定盤(22)上で前記第2可動体を低応
答周波数で駆動する第2の駆動装置(44)を更に備え
ていても良い。かかる場合には、上記の如く、ステージ
装置の重心を所定位置に保持することができるのに加
え、例えば、いずれかの第1可動体が移動した場合、そ
の反力により逆方向に第2可動体が移動する距離を1/
10以下にすることができる。また、第2可動体は第1
可動体の加減速時の反力に対しては応答できない程度の
低い応答周波数で第2の駆動装置によって定盤上で駆動
されるので、前記各第1可動体の動きに影響を与えるこ
となく、第2可動体を駆動することが可能になる。
In this case, the mass of each of the first movable bodies (WST1, WST2) is substantially 1/9 or less of the mass of the second movable body (138). A second driving device (44) for driving the second movable body at a low response frequency on the surface plate (22) may be further provided. In such a case, as described above, the center of gravity of the stage device can be held at a predetermined position. In addition, for example, when one of the first movable bodies moves, the second movable body is moved in the opposite direction by the reaction force. The distance that the body moves is 1 /
It can be 10 or less. The second movable body is the first movable body.
Since the second driving device drives the movable body on the surface plate at a low response frequency that cannot respond to the reaction force at the time of acceleration / deceleration of the movable body, it does not affect the movement of each of the first movable bodies. , The second movable body can be driven.

【0073】請求項52に記載の発明は、マスクのパタ
ーンを基板上に転写する露光装置であって、請求項50
又は51に記載のステージ装置を備え、前記マスクのパ
ターンが転写される基板が前記ステージ装置を構成する
前記各第1可動体に保持されることを特徴とする。
According to a fifty-second aspect of the present invention, there is provided an exposure apparatus for transferring a mask pattern onto a substrate.
Or the stage device according to 51, wherein a substrate to which the pattern of the mask is transferred is held by each of the first movable bodies constituting the stage device.

【0074】この場合において、請求項53に記載の発
明の如く、前記マスクのパターンを前記基板に投影する
投影光学系を更に備える場合には、前記ステージ装置を
構成する前記駆動装置が、前記各第1可動体にそれぞれ
保持された基板に前記マスクのパターンを転写する際
に、そのパターン転写の対象の基板を保持する前記第1
可動体を前記マスクと同期して前記投影光学系に対して
走査方向に駆動しても良い。
In this case, when a projection optical system for projecting the pattern of the mask onto the substrate is further provided as in the invention according to claim 53, the driving device constituting the stage device includes When transferring the pattern of the mask onto the substrate held by each of the first movable bodies, the first holding unit holds the substrate to which the pattern is to be transferred.
A movable body may be driven in the scanning direction with respect to the projection optical system in synchronization with the mask.

【0075】請求項54に記載の発明は、基板(W又は
W1)上で隣接して配置される第1及び第2区画領域
(S1及びS2)のそれぞれにマスク(R)のパターン
を転写する露光装置であって、前記基板を保持する基板
ステージ(WST、WST1又はWST3)と;前記第
1区画領域に対する第1露光と前記第2区画領域に対す
る第2露光との間で前記基板ステージを移動するとき
に、前記基板ステージの加速時と減速時とでその加速度
の絶対値を異ならせる第1駆動装置((42,78)又
は(42a、160))とを備える。
According to a fifty-fourth aspect, the pattern of the mask (R) is transferred to each of the first and second partition regions (S1 and S2) arranged adjacent to each other on the substrate (W or W1). An exposure apparatus, comprising: a substrate stage (WST, WST1, or WST3) for holding the substrate; and moving the substrate stage between a first exposure for the first partitioned area and a second exposure for the second partitioned area. A first driving device ((42, 78) or (42a, 160)) for making the absolute value of the acceleration different between the time of acceleration and the time of deceleration of the substrate stage.

【0076】これによれば、基板上の第1区画領域に対
する第1露光と前記第2区画領域に対する第2露光との
間で、マスクパターンの基板上の転写位置を変更するた
め第1駆動装置により基板ステージが移動される。この
際、第1駆動装置では、基板ステージの加速時と減速時
とでその加速度の絶対値を異ならせるようになってい
る。このため、第1駆動装置では、第1区画領域に対す
る第1露光と第2区画領域に対する第2露光との間にお
ける基板ステージの移動の際の加速度の絶対値と減速時
の加速度の絶対値とを適宜設定することにより、加速時
及び減速時の加速度の絶対値を同一とした場合に比べ
て、その移動終了後の基板の位置決め整定時間を短くし
て位置決め整定時間を含む基板ステージの移動に要する
総時間を短くする等の基板ステージの移動制御が可能に
なる。
According to this, the first driving device for changing the transfer position of the mask pattern on the substrate between the first exposure for the first division area on the substrate and the second exposure for the second division area. Moves the substrate stage. At this time, in the first driving device, the absolute value of the acceleration is made different between when the substrate stage is accelerated and when the substrate stage is decelerated. Therefore, in the first driving device, the absolute value of the acceleration when the substrate stage is moved and the absolute value of the acceleration when the substrate stage is decelerated between the first exposure for the first partitioned region and the second exposure for the second partitioned region are determined. By appropriately setting the absolute value of the acceleration at the time of acceleration and deceleration at the same time, the positioning stabilization time of the substrate after the movement is shortened, and the It is possible to control the movement of the substrate stage such as shortening the total time required.

【0077】この場合において、請求項55に記載の発
明の如く、前記第1駆動装置((42,78)又は(4
2a、160))は、前記減速時よりも前記加速時でそ
の加速度の絶対値を大きくすることが望ましい。かかる
場合には、第2走査露光開始前の基板ステージの減速時
の負の加速度の絶対値を小さく設定して移動終了時点に
おける基板ステージの振動を効果的に減衰させ、位置決
め整定時間を短縮して、移動終了直後に第2露光を開始
することが可能になる。また、第1露光終了後における
基板ステージの加速時の加速度の絶対値を大きくするこ
とにより、移動時間を不要に長引かせることもない。従
って、基板ステージの移動及び位置決め整定時間を含む
全体時間を短縮することができるとともに、スループッ
トの向上が可能になる。
In this case, the first driving device ((42, 78) or (4)
2a, 160)), it is desirable to make the absolute value of the acceleration larger during the acceleration than during the deceleration. In such a case, the absolute value of the negative acceleration at the time of deceleration of the substrate stage before the start of the second scanning exposure is set to be small to effectively attenuate the vibration of the substrate stage at the end of the movement, thereby shortening the positioning settling time. Thus, the second exposure can be started immediately after the end of the movement. In addition, by increasing the absolute value of the acceleration when the substrate stage is accelerated after the end of the first exposure, the moving time is not unnecessarily prolonged. Therefore, it is possible to shorten the entire time including the movement and positioning settling time of the substrate stage, and to improve the throughput.

【0078】上記請求項54及び55に記載の各発明に
係る露光装置において、請求項56に記載の発明の如
く、前記基板ステージ(WST、WST1又はWST
3)が配置される第1定盤(38又は138)と;前記
第1定盤が配置される第2定盤(22)とを更に備え、
前記基板ステ−ジの移動に応答して前記第1定盤が前記
第2定盤上で前記基板ステージに対して相対移動するよ
うに構成しても良い。かかる場合には、基板ステージが
移動すると、これに応答して第1定盤が第2定盤上で基
板ステージに対して相対移動するので、基板ステージの
重心移動による偏荷重及び反力を第1定盤の重心移動に
よりキャンセルすることが可能となるので、基板ステー
ジ、第1定盤、第2定盤を含む系全体の重心を所定位置
に保持できる。
In the exposure apparatus according to each of the inventions, the substrate stage (WST, WST1 or WST
A first surface plate (38 or 138) on which 3) is arranged; and a second surface plate (22) on which the first surface plate is arranged.
The first platen may move relative to the substrate stage on the second platen in response to the movement of the substrate stage. In such a case, when the substrate stage moves, the first platen moves relative to the substrate stage on the second platen in response thereto. Since it is possible to cancel by moving the center of gravity of one surface plate, the center of gravity of the entire system including the substrate stage, the first surface plate, and the second surface plate can be held at a predetermined position.

【0079】この場合において、請求項57に記載の発
明の如く、前記第1定盤(138)上に配置される第2
基板ステージ(WST2又はWST4)を更に備える場
合には、前記第1定盤は、前記2つの基板ステージ(W
ST1、WST2又はWST3、WST4)の移動によ
って生じる反力の合力を相殺するように移動されること
が望ましい。かかる場合、2つの基板ステージが同時に
移動した場合には、第1定盤は、その移動によって生じ
る反力の合力を相殺するように移動される。すなわち、
2つの基板ステージの移動による反力をキャンセルする
ように第1定盤が移動し、結果的に2つの基板ステー
ジ、第1定盤、第2定盤を含む系全体の重心を所定位置
に保持できる。従って、一方の基板ステージの動作が他
方の基板ステージに外乱として作用することがないよう
に基板ステージ同士の動作の調整を行う必要がなくなる
ので、制御負担が軽減されるとともに、各基板ステージ
の位置制御性をともに高く維持することができる。
In this case, as in the invention according to the fifty-seventh aspect, the second base plate (138) disposed on the first base plate (138).
When further including a substrate stage (WST2 or WST4), the first platen includes the two substrate stages (WST2 or WST4).
It is desirable that the movement is performed so as to cancel out the resultant force of the reaction forces generated by the movement of ST1, WST2 or WST3, WST4). In such a case, when the two substrate stages move at the same time, the first platen is moved so as to cancel the resultant force of the reaction force generated by the movement. That is,
The first platen moves so as to cancel the reaction force caused by the movement of the two substrate stages. As a result, the center of gravity of the entire system including the two substrate stages, the first platen and the second platen is held at a predetermined position. it can. Therefore, it is not necessary to adjust the operations of the substrate stages so that the operation of one substrate stage does not act as a disturbance on the other substrate stage, so that the control load is reduced and the position of each substrate stage is reduced. High controllability can be maintained.

【0080】上記請求項56に記載の露光装置におい
て、請求項58に記載の発明の如く、前記第1定盤(1
38)上に配置される第2基板ステージ(WST2又は
WST4)を更に備える場合に、前記第1定盤は、前記
2つの基板ステージ(WST1、WST2又はWST
3、WST4)の少なくとも一方の移動による重心位置
変動の発生を防止するように移動されても良い。かかる
場合には、いずれかの基板ステージが移動すると、その
移動による反力により第1定盤が移動して、その基板ス
テージの重心移動による偏荷重を第1定盤の重心移動に
よりキャンセルすることができ、結果的に2つの基板ス
テージ、第1定盤及び第2定盤を含む系全体の重心位置
を所定位置に保持できる。同様に、基板ステージが2つ
同時に駆動された場合には、その駆動力の合力に対応す
る反力により、2つの基板ステージの重心移動による偏
荷重を第1定盤の重心移動によりキャンセルするように
第1定盤が移動し、結果的に2つの基板ステージ、第1
定盤及び第2定盤を含む系全体の重心位置を所定位置に
保持できる。
In the exposure apparatus according to claim 56, as in the invention described in claim 58, the first platen (1)
38) When further comprising a second substrate stage (WST2 or WST4) disposed on the first substrate, the first platen is provided with the two substrate stages (WST1, WST2 or WST4).
3, WST4) may be moved so as to prevent a change in the position of the center of gravity due to the movement of at least one of WST4). In such a case, when any one of the substrate stages moves, the first base plate moves by a reaction force due to the movement, and the unbalanced load due to the movement of the center of gravity of the substrate stage is canceled by moving the center of gravity of the first base plate. As a result, the center of gravity of the entire system including the two substrate stages, the first platen and the second platen can be held at a predetermined position. Similarly, when two substrate stages are driven at the same time, the eccentric load due to the movement of the center of gravity of the two substrate stages is canceled by the movement of the center of gravity of the first platen by the reaction force corresponding to the resultant force of the driving forces. The first platen moves to the first stage, resulting in two substrate stages, the first
The center of gravity of the entire system including the surface plate and the second surface plate can be held at a predetermined position.

【0081】上記請求項56〜58に記載の各発明に係
る露光装置において、請求項59に記載の発明の如く、
前記第1定盤(138)を前記第2定盤(22)に対し
て相対移動する第2駆動装置(44)と;前記第2駆動
装置(44)の制御応答を、前記基板の露光動作を含む
複数の動作でそれぞれ可変とする制御装置(160)と
を更に備えていても良い。かかる場合、例えば、基板ス
テージの位置制御を高精度に行う必要がある動作、例え
ば露光及びアライメント等の際には第1定盤が基板ステ
ージの移動による反力に応じて移動するようにする必要
があるので、制御装置では、第1駆動装置による基板ス
テージの駆動に追従できないように第2駆動装置の制御
応答を設定する。一方、基板の位置制御をそれほど高精
度に行う必要がない動作の際には、制御装置では、第1
定盤が基板ステージの移動による影響を受けず第2定盤
に対する位置をほぼ維持するように第2駆動装置の制御
応答を設定する。これにより、第1定盤の必要ストロー
クを小さくすることができる。
In the exposure apparatus according to each of the inventions described in claims 56 to 58, as in the invention described in claim 59,
A second driving device (44) for relatively moving the first surface plate (138) with respect to the second surface plate (22); and a control response of the second driving device (44) for exposing the substrate to an exposure operation. And a control device (160) that makes each variable by a plurality of operations including: In such a case, for example, it is necessary to control the position of the substrate stage with high precision, for example, in the case of exposure and alignment, the first platen needs to move in response to the reaction force due to the movement of the substrate stage. Therefore, the control device sets the control response of the second drive device so as not to follow the drive of the substrate stage by the first drive device. On the other hand, in an operation in which it is not necessary to perform the position control of the substrate with high accuracy, the control device uses the first control.
The control response of the second drive device is set such that the surface plate is not affected by the movement of the substrate stage and substantially maintains its position with respect to the second surface plate. Thereby, the required stroke of the first platen can be reduced.

【0082】この場合において、請求項60に記載の発
明の如く、前記制御装置(160)は、前記第1及び第
2露光間での前記基板ステージの移動時に、前記第1定
盤(138)が前記第2定盤(22)に対する位置をほ
ぼ維持するような制御が可能となるように前記第2駆動
装置(44)の制御応答を設定しても良い。
In this case, as in the invention according to claim 60, the control device (160) controls the first base plate (138) when the substrate stage moves between the first and second exposures. However, the control response of the second drive device (44) may be set so that control can be performed so as to substantially maintain the position with respect to the second platen (22).

【0083】上記請求項54〜60に記載の各発明に係
る露光装置において、請求項61に記載の発明の如く、
前記第1駆動装置((42,78)又は(42a、16
0))は、前記基板上の複数の区画領域がステップ・ア
ンド・リピート方式、又はステップ・アンド・スキャン
方式で露光されるように前記基板ステージを移動しても
良い。すなわち、かかる逐次移動型の露光装置の場合、
基板ステージのステッピング(マスクパターンの転写位
置に対する基板ステージの位置決め(ステップ・アンド
・リピート方式の場合)、あるいは基板上の各区画領域
に対するマスクパターンの転写のための基板ステージの
走査開始位置への移動(ステップ・アンド・スキャン方
式の場合))が繰り返して行われるので、上記の基板ス
テージの位置制御性向上が全体の露光時間短縮に寄与す
る割合が大きくなる。
In the exposure apparatus according to each of the inventions as set forth in claims 54 to 60, as in the invention as set forth in claim 61,
The first driving device ((42, 78) or (42a, 16)
In 0)), the substrate stage may be moved so that a plurality of partitioned areas on the substrate are exposed by a step-and-repeat method or a step-and-scan method. That is, in the case of such a sequential movement type exposure apparatus,
Stepping the substrate stage (positioning the substrate stage with respect to the transfer position of the mask pattern (in the case of the step-and-repeat method), or moving the substrate stage to the scan start position for transferring the mask pattern to each partitioned area on the substrate (In the case of the step-and-scan method)) is repeated, so that the above-described improvement in the position controllability of the substrate stage contributes to a reduction in the overall exposure time.

【0084】上記請求項54〜61に記載の露光装置に
おいて、請求項62に記載の発明の如く、前記第1駆動
装置は、前記基板ステージを少なくとも3自由度で駆動
する第1の平面磁気浮上型リニアアクチュエータ(4
2、又は42a、42b)を有していても良い。ここ
で、第1の平面磁気浮上型リニアアクチュエータが基板
ステージを例えば移動面内で3自由度で駆動する場合に
は、適宜なZ・チルト機構と組み合わせることにより、
基板ステージの6自由度方向の位置・姿勢制御が可能と
なる。また、例えば、第1の平面磁気浮上型リニアアク
チュエータが基板ステージを6自由度方向で駆動する場
合には、該第1の平面磁気浮上型リニアアクチュエータ
によって基板ステージの6自由度方向の位置・姿勢制御
が可能となるので、基板ステージを単なる板状部材で構
成する等、基板ステージの構成の簡略化・軽量化が可能
である。
In the exposure apparatus according to any one of claims 54 to 61, as in the invention described in claim 62, the first drive unit drives the substrate stage with at least three degrees of freedom. Type linear actuator (4
2, or 42a, 42b). Here, when the first planar magnetic levitation linear actuator drives the substrate stage with, for example, three degrees of freedom in a moving plane, by combining with an appropriate Z-tilt mechanism,
The position and orientation of the substrate stage in six degrees of freedom can be controlled. Also, for example, when the first planar magnetic levitation linear actuator drives the substrate stage in six degrees of freedom, the position and orientation of the substrate stage in the six degrees of freedom are controlled by the first planar magnetic levitation linear actuator. Since the control can be performed, the configuration of the substrate stage can be simplified and reduced in weight, for example, by configuring the substrate stage with a simple plate-like member.

【0085】上記請求項59〜62に記載の各発明に係
る露光装置において、請求項63に記載の発明の如く、
前記第2駆動装置は、前記第1定盤(138)を前記第
2定盤(22)に対して相対移動する第2の平面磁気浮
上型リニアアクチュエータ(44)を有していても良
い。
In the exposure apparatus according to each of the inventions as set forth in claims 59 to 62, as in the invention as set forth in claim 63,
The second drive device may include a second planar magnetic levitation linear actuator (44) that moves the first platen (138) relative to the second platen (22).

【0086】請求項64に記載の発明は、マスク(R)
のパターンを基板(W1,W2)上に転写する露光装置
であって、第1定盤(138)と;前記第1定盤上にそ
れぞれ配置される前記基板をそれぞれ保持する複数の基
板ステージ(WST1、WST2又はWST3、WST
4)と;前記第1定盤が配置される第2定盤(22)
と;前記複数の基板ステージの少なくとも1つの移動に
よる重心位置変動を抑制するように、前記第2定盤に対
して前記第1定盤を相対移動可能に支持する支持装置
(44)とを備える。かかる場合には、いずれかの基板
ステージが移動すると、その移動による反力により支持
装置により支持された第1定盤が移動して、その基板ス
テージの重心移動による偏荷重を第1定盤の重心移動に
よりキャンセルすることができ、結果的に複数の基板ス
テージ、第1定盤及び第2定盤を含む系全体の重心位置
を所定位置に保持できる。同様に、複数の基板ステージ
が同時に移動する場合には、それぞれの基板ステージの
移動によって生じる反力の合力により、複数の基板ステ
ージの重心移動による偏荷重を第1定盤の重心移動によ
りキャンセルするように支持装置に支持された第1定盤
が移動され、結果的に複数の基板ステージ、第1定盤及
び第2定盤を含む系全体の重心位置を所定位置に保持で
きる。従って、一つの基板ステージの動作が他の基板ス
テージに外乱として作用することがないように基板ステ
ージ同士の動作の調整を行う必要がなくなるので、制御
負担が軽減されるとともに、各基板ステージの位置制御
性をともに高く維持することができる
The invention according to claim 64 is a mask (R)
An exposure apparatus for transferring the pattern of (1) onto a substrate (W1, W2), comprising: a first surface plate (138); and a plurality of substrate stages each holding the substrate respectively disposed on the first surface plate ( WST1, WST2 or WST3, WST
4) and; a second platen (22) on which the first platen is arranged.
And a support device (44) for supporting the first platen so as to be relatively movable with respect to the second platen so as to suppress a change in the position of the center of gravity due to the movement of at least one of the plurality of substrate stages. . In such a case, when any one of the substrate stages moves, the first platen supported by the support device moves due to the reaction force due to the movement, and the unbalanced load due to the movement of the center of gravity of the substrate stage moves. Cancellation can be made by moving the center of gravity, and as a result, the center of gravity of the entire system including the plurality of substrate stages, the first surface plate, and the second surface plate can be held at a predetermined position. Similarly, when the plurality of substrate stages move at the same time, the unbalanced load due to the movement of the center of gravity of the plurality of substrate stages is canceled by the movement of the center of gravity of the first platen due to the resultant reaction force generated by the movement of each of the substrate stages. Thus, the first platen supported by the support device is moved, and as a result, the center of gravity of the entire system including the plurality of substrate stages, the first platen, and the second platen can be held at a predetermined position. Therefore, it is not necessary to adjust the operations of the substrate stages so that the operation of one substrate stage does not act as a disturbance on the other substrate stages, so that the control load is reduced and the position of each substrate stage is reduced. High controllability can be maintained together

【0087】この場合において、請求項65に記載の発
明の如く、前記複数の基板ステージのうち第1基板ステ
ージ(WST1又はWST3)は、前記基板(W1)が
ステップ・アンド・リピート方式又はステップ・アンド
・スキャン方式で露光されるように移動される場合に、
前記支持装置は前記第1定盤(138)を前記第2定盤
(22)に対して相対移動可能に支持する平面磁気浮上
型リニアアクチュエータを有していても良い。かかる逐
次移動方式の場合、第1基板ステージのステッピング
(マスクパターンの転写位置に対する第1基板ステージ
の位置決め(ステップ・アンド・リピート方式の場
合)、あるいは基板上の各区画領域に対するマスクパタ
ーンの転写のための第1基板ステージの走査開始位置へ
の移動(ステップ・アンド・スキャン方式の場合))が
繰り返して行われるので、上記の第1基板ステージの位
置制御性向上が全体の露光時間短縮に寄与する割合が大
きくなる。
In this case, the first substrate stage (WST1 or WST3) among the plurality of substrate stages may be configured such that the substrate (W1) is a step-and-repeat type or a step-and-repeat type. When moved to be exposed in the AND scan method,
The support device may include a planar magnetic levitation linear actuator that supports the first surface plate (138) so as to be relatively movable with respect to the second surface plate (22). In the case of such a sequential movement method, stepping of the first substrate stage (positioning of the first substrate stage with respect to the transfer position of the mask pattern (in the case of the step-and-repeat method)) or transfer of the mask pattern to each partitioned area on the substrate. Of the first substrate stage to the scanning start position (in the case of the step-and-scan method) is repeatedly performed, so that the above-described improvement in the controllability of the position of the first substrate stage contributes to shortening of the entire exposure time. The rate of doing it increases.

【0088】この場合において、請求項66に記載の発
明の如く、前記第1基板ステ一ジ(WST1又はWST
3)上の基板(W1)の露光動作中、前記第1基板ステ
ージと異なる第2基板ステージ(WST2又はWST
4)は露光動作以外の動作が実行されるように駆動され
ても良い。かかる場合には、第1基板ステージ上と第2
基板ステージ上とで露光動作及びこれ以外の動作とが同
時並行的に処理されるので、全体のスループットを向上
することができる。また、第1基板ステージの動作が第
2基板ステージに外乱として作用することもない。
In this case, the first substrate stage (WST1 or WST1) may be connected to the first substrate stage (WST1 or WST1).
3) During the exposure operation of the upper substrate (W1), a second substrate stage (WST2 or WST) different from the first substrate stage
4) may be driven so that operations other than the exposure operation are performed. In such a case, on the first substrate stage and the second
Since the exposure operation and other operations are simultaneously and concurrently processed on the substrate stage, the overall throughput can be improved. Further, the operation of the first substrate stage does not act as a disturbance on the second substrate stage.

【0089】この場合において、第1基板ステージ上の
基板の露光動作中、請求項67に記載の発明の如く、前
記基板上のマークを検出するアライメント系(124
a、124b)を更に備え、前記第2基板ステージ(W
ST2又はWST4)では、前記アライメント系による
マーク検出、又は前記基板のロード又はアンロードが実
行されても良い。
In this case, during the exposure operation of the substrate on the first substrate stage, an alignment system (124) for detecting a mark on the substrate as in the invention according to claim 67,
a, 124b), wherein the second substrate stage (W
In ST2 or WST4), mark detection by the alignment system, or loading or unloading of the substrate may be executed.

【0090】上記請求項54〜67に記載の各発明に係
る露光装置において、請求項68に記載の発明の如く、
前記基板ステージ(WST3、WST4)は、互いに延
設方向が鋭角に交差するように配置される第1及び第2
反射面(60a及び60b(又は60c))を有し、前
記第1及び第2反射面とそれぞれ直交する測長軸を有す
る第1及び第2の干渉計を更に備えていても良い。これ
によれば、第1反射面と第2反射面の少なくとも一方
が、直交座標系の座標軸のいずれかと直角以外で交差す
る方向に延びるので、その反射面については上記直交2
軸方向の反射面を有する基板ステージに比べて、上記直
交座標系のいずれかの座標軸方向移動の際には、干渉計
のビームが長い時間当たり続ける。従って、例えば、ス
テッパ等の静止型露光装置の場合であっても、基板ステ
ージの端部に空間像計測器、基準マーク等を配置して、
ステージを移動しながら計測を行う場合などには、その
移動の際の助走距離等を考慮して直交座標系の座標軸の
いずれかと交差する方向の反射面を余計に延ばさなくて
も、その計測が可能となり、基準マーク等の配置の自由
度が向上する。かかる意味で、第1反射面と第2反射面
がともに、直交座標系の所定の座標軸に交差することが
望ましい。
In the exposure apparatus according to each of the inventions described in claims 54 to 67, as in the invention described in claim 68,
The first and second substrate stages (WST3, WST4) are arranged such that their extending directions cross each other at an acute angle.
It may further include first and second interferometers having reflecting surfaces (60a and 60b (or 60c)) and having length measuring axes orthogonal to the first and second reflecting surfaces, respectively. According to this, at least one of the first reflection surface and the second reflection surface extends in a direction intersecting any one of the coordinate axes of the rectangular coordinate system except at a right angle.
Compared to a substrate stage having an axial reflecting surface, the beam of the interferometer continues to travel for a longer time when moving in any coordinate axis direction of the above-described orthogonal coordinate system. Therefore, for example, even in the case of a stationary exposure apparatus such as a stepper, by arranging an aerial image measuring instrument, a reference mark, and the like at the end of the substrate stage,
When measuring while moving the stage, the measurement can be performed without extending the reflective surface in the direction that intersects any one of the coordinate axes of the rectangular coordinate system in consideration of the approach distance during the movement. This makes it possible to improve the degree of freedom in arranging reference marks and the like. In this sense, it is desirable that both the first reflection surface and the second reflection surface intersect with a predetermined coordinate axis of the rectangular coordinate system.

【0091】この場合において、請求項69に記載の発
明の如く、前記第1及び第2反射面(60a及び60b
(又は60c))は、当該両反射面を上底及び下底以外
の2辺とする台形が前記基板を包含するように配置され
ることが望ましい。ここで、台形は、上底=0、すなわ
ち三角形をも含む概念である。この場合において、上記
台形は、基板に外接するものであることがより望まし
い。
In this case, the first and second reflecting surfaces (60a and 60b)
(Or 60c)) is desirably arranged so that a trapezoid having the two reflecting surfaces on two sides other than the upper bottom and the lower bottom includes the substrate. Here, the trapezoid is a concept including the upper base = 0, that is, including a triangle. In this case, it is more desirable that the trapezoid circumscribes the substrate.

【0092】上記請求項68及び69に記載の各発明に
おいて、請求項70に記載の発明の如く、前記第1及び
第2反射面はそれぞれ前記基板ステージ(WST)上で
前記基板をほぼ包含する三角形の2辺に沿って形成され
ていても良い。
In each of the inventions described in claims 68 and 69, as in the invention described in claim 70, the first and second reflection surfaces substantially cover the substrate on the substrate stage (WST), respectively. It may be formed along two sides of the triangle.

【0093】上記請求項68〜70に記載の各発明に係
る露光装置において、請求項71に記載の発明の如く、
前記第1反射面、又は前記第2反射面はその延設方向に
関する長さが前記基板上の露光範囲よりも実質的に長く
定められていることが望ましい。ここで、「実質的に長
く」とは、第1反射面、第2反射面が必ずしも一連の反
射面とは限らず、延設方向に所定のクリアランスを介し
て隣接配置された複数の反射鏡の集合から成るような場
合も考えられるので、そのような場合には延設方向の全
長が露光範囲より長いという趣旨である。また、「露光
範囲」とは、基板上にマスクのパターンを転写すべき領
域が1領域である場合にはその領域の範囲を意味する
が、基板上にマスクパターンを転写すべき部分領域が複
数領域ある場合には、請求項72に記載の発明の如く、
前記露光範囲は、前記基板上で前記マスク(R)のパタ
ーンを転写すべき全ての部分領域を含む。
In the exposure apparatus according to each of the inventions according to claims 68 to 70, as in the invention according to claim 71,
It is preferable that the length in the extending direction of the first reflecting surface or the second reflecting surface is set substantially longer than the exposure range on the substrate. Here, "substantially long" means that the first reflecting surface and the second reflecting surface are not necessarily a series of reflecting surfaces, but a plurality of reflecting mirrors arranged adjacent to each other with a predetermined clearance in the extending direction. In such a case, the total length in the extending direction is longer than the exposure range. The “exposure range” means the range of the area where the pattern of the mask is to be transferred onto the substrate when the area is one area. If there is an area, as in the invention of claim 72,
The exposure range includes all partial regions where the pattern of the mask (R) is to be transferred on the substrate.

【0094】上記請求項54〜67に記載の各発明に係
る露光装置において、請求項73に記載の発明の如く、
前記マスクを保持するマスクステージ(RST)と;前
記マスクのパターンを前記基板上に転写するため、前記
マスクステージと前記基板ステージ(WST3、WST
4)とを第1方向に同期移動する駆動装置とを更に備え
る場合に、前記基板ステージは、前記同期移動される第
1方向と鋭角に交差する方向に沿って延びる測長用第1
基準面(60a)を有していても良い。
In the exposure apparatus according to each of the inventions described in claims 54 to 67, as in the invention described in claim 73,
A mask stage (RST) for holding the mask; and a mask stage and the substrate stage (WST3, WST) for transferring the pattern of the mask onto the substrate.
And 4) synchronously moving in a first direction, wherein the substrate stage comprises a first length measuring first extending along a direction intersecting at an acute angle with the synchronously moving first direction.
It may have a reference plane (60a).

【0095】この場合、例えば、第1方向と測長用第1
基準面との成す角をΘ、測長用第1基準面の長さをLと
すると、この測長用第1基準面の第1方向成分L1はL
cosΘとなる。換言すれば、基板ステージが第1方向
に移動する場合を考えると、第1方向に延びる長さL1
の反射面に比べて1/cosΘ(>1)倍だけ長い時間
(移動距離)だけ第1反射面には測長用のビームが当た
り続け、切れることがない。従って、測長用第1基準面
を用いて少なくとも基板ステージ(基板)の非走査方向
の位置を制御するものとすると、従来の四角形ステージ
の位置制御の場合のように、基板周辺の領域の露光の際
のいわゆるプリスキャン又はオーバースキャン距離を見
込んで測長用第1基準面を余計に延ばさなくても、第1
方向の移動ストローク全域に渡り第1方向に直交する第
2方向(非走査方向)の位置制御が可能となる。従っ
て、基板ステージの小型化が可能である。
In this case, for example, the first direction and the first
Assuming that the angle formed by the reference plane is 面 and the length of the first reference plane for length measurement is L, the first direction component L1 of the first reference plane for length measurement is L
cosΘ. In other words, considering the case where the substrate stage moves in the first direction, the length L1 extending in the first direction is considered.
The length measuring beam continues to hit the first reflecting surface for a time (moving distance) longer by 1 / cosΘ (> 1) times than that of the reflecting surface, and is not cut off. Accordingly, if at least the position of the substrate stage (substrate) in the non-scanning direction is controlled using the first reference plane for length measurement, the exposure of the area around the substrate as in the case of the conventional position control of the square stage is performed. Even if the first reference plane for length measurement is not extended further in anticipation of the so-called pre-scan or over-scan distance at the time of
Position control in a second direction (non-scanning direction) orthogonal to the first direction can be performed over the entire moving stroke in the direction. Therefore, the size of the substrate stage can be reduced.

【0096】この場合において、請求項74に記載の発
明の如く、前記第1基準面(60a)はその延設方向に
関して、前記基板の走査露光動作における前記基板ステ
ージの移動範囲のほぼ全域に渡って形成されることが望
ましい。かかる場合には、基板の走査露光動作中に、測
長用第1基準面から測長用ビームが外れることがないの
で、基板ステージの第1方向の移動ストローク全域に渡
り第1方向に直交する第2方向(非走査方向)の位置制
御が可能となる
In this case, as in the invention according to claim 74, the first reference plane (60a) extends over substantially the entire moving range of the substrate stage in the scanning exposure operation of the substrate in the extending direction. It is desirable to be formed. In such a case, the beam for length measurement does not deviate from the first reference plane for length measurement during the scanning exposure operation of the substrate. Therefore, the beam is orthogonal to the first direction over the entire moving stroke of the substrate stage in the first direction. Position control in the second direction (non-scanning direction) becomes possible

【0097】上記請求項73及び74に記載の各発明に
係る露光装置において、請求項75に記載の発明の如
く、前記第1基準面(60a)はその延設方向に関する
長さが前記基板上の露光範囲よりも実質的に長く定めら
れていることが望ましい。この場合において、露光範囲
とは、基板上に走査露光すべき領域が1領域である場合
にはその領域の範囲を意味するが、基板上に走査露光す
べき区画領域が複数領域ある場合には、請求項76に記
載の発明の如く、前記露光範囲は、前記基板(W)上で
前記マスク(R)のパターンを転写すべき全ての区画領
域を含む。
[0097] In the exposure apparatus according to each of the above aspects 73 and 74, as in the invention according to aspect 75, the first reference surface (60a) has a length in the extending direction on the substrate. Is desirably set substantially longer than the exposure range. In this case, the exposure range means the range of the area to be scanned and exposed on the substrate when the area is one area, and when the area to be scanned and exposed is plural on the substrate, 76, the exposure range includes all the divided areas where the pattern of the mask (R) is to be transferred on the substrate (W).

【0098】上記請求項73〜76に記載の各発明に係
る露光装置において、請求項77に記載の発明の如く、
前記測長用第1基準面(60a)と直交する測長軸(R
IX1)を有する第1の干渉計(76X1)を更に備
え、前記第1の干渉計の計測値は、前記第1方向、及び
これに直交する第2方向のうち、少なくとも第2方向に
関する前記基板ステージの位置制御に用いられても良
い。かかる場合には、測長用第1基準面に直交する測長
軸を有する第1の干渉計の計測値が基板ステージ(基
板)の第2方向(非走査方向)の位置制御に用いられる
ことから、従来の四角形ステージの位置制御の場合のよ
うに、基板周辺の領域の露光の際のいわゆるプリスキャ
ン又はオーバースキャン距離を見込んで測長用第1基準
面を余計に延ばさなくても、第1方向の移動ストローク
全域に渡り第1方向に直交する第2方向(非走査方向)
の位置制御が可能となる。従って、基板ステージの小型
化が可能である。
In the exposure apparatus according to each of the above-described aspects of the present invention, as in the above-described aspect,
A length measurement axis (R) orthogonal to the first length reference plane (60a)
IX1) further comprising a first interferometer (76X1), wherein the measured value of the first interferometer is at least a second direction of the first direction and a second direction orthogonal to the first direction. It may be used for stage position control. In such a case, the measurement value of the first interferometer having the length measurement axis orthogonal to the first length measurement reference plane is used for position control of the substrate stage (substrate) in the second direction (non-scanning direction). Therefore, as in the case of the position control of the conventional square stage, the first reference plane for length measurement can be extended without extra length in anticipation of the so-called pre-scan or over-scan distance when exposing the area around the substrate. A second direction (non-scanning direction) orthogonal to the first direction over the entire movement stroke in one direction
Can be controlled. Therefore, the size of the substrate stage can be reduced.

【0099】上記請求項73〜77に記載の各発明に係
る露光装置において、請求項78に記載の発明の如く、
前記基板ステージは、前記第1方向と直交する第2方向
に延びる測長用第2基準面(60b)を有していても良
い。
In the exposure apparatus according to each of the inventions as set forth in claims 73 to 77, as in the invention according to claim 78,
The substrate stage may include a second length measurement reference plane (60b) extending in a second direction orthogonal to the first direction.

【0100】第2方向のみならず、第1方向についても
基板ステージの位置制御は第1の干渉計の計測値に基づ
いて行うことは可能であるが、かかる場合には、基板ス
テージの第1、第2方向のそれぞれの位置を求めるため
に三角関数演算が必要となる。これに対し、本発明の場
合には、測長用第2基準面を基板ステージの第1方向の
位置制御に用いることにより、第1方向についてはその
ような三角関数演算が不要となる。かかる意味で、請求
項79に記載の発明の如く、前記測長用第2基準面(6
0b)と直交する測長軸を有する第2の干渉計(76
Y)を更に備え、前記第2の干渉計の計測値は、前記第
1方向に関する前記基板ステージの位置制御に用いられ
ることが望ましい。
The position of the substrate stage can be controlled not only in the second direction but also in the first direction based on the measurement value of the first interferometer. , A trigonometric function operation is required to determine the respective positions in the second direction. On the other hand, in the case of the present invention, such a trigonometric function operation is not required for the first direction by using the second reference plane for length measurement for position control of the substrate stage in the first direction. In this sense, as in the invention according to claim 79, the second reference plane for length measurement (6)
0b) and a second interferometer (76)
Preferably, the method further comprises Y), wherein the measurement value of the second interferometer is used for controlling the position of the substrate stage in the first direction.

【0101】上記請求項73〜79に記載の各発明に係
る露光装置において、請求項80に記載の発明の如く、
前記基板ステージは、前記第1方向、及びこれに直交す
る第2方向の両方と交差し、かつ前記測長用第1基準面
(60a)と異なる方向の測長用第3基準面(60c)
を有していることが望ましい。かかる場合には、測長用
第3基準面を基板ステージの第1、第2方向の一方又は
両方の位置計測に用いることができる。特に、第1測長
用基準面とともに第3測長用基準面を基板ステージの位
置計測に用いた場合には、平均化効果による高精度な位
置計測、ひいては高精度な位置制御が可能になる。かか
る意味で、請求項81に記載の発明の如く、前記第3測
長用基準面(60b)と直交する測長軸(RIX2)を
有する第3の干渉計(76X2)を更に備え、前記第3
の干渉計の計測値は、前記第1及び第2方向の少なくと
も一方に関する前記基板ステージの位置制御に用いるこ
とが望ましい。
In the exposure apparatus according to each of the inventions as set forth in claims 73 to 79, as in the invention as set forth in claim 80,
The substrate stage intersects both the first direction and a second direction orthogonal thereto, and has a third measurement reference plane (60c) in a direction different from the first measurement reference plane (60a).
It is desirable to have. In such a case, the third reference plane for length measurement can be used for position measurement of one or both of the first and second directions of the substrate stage. In particular, when the third reference plane for measurement is used together with the reference plane for first measurement for position measurement of the substrate stage, highly accurate position measurement by the averaging effect and, consequently, high-precision position control become possible. . In this sense, as in the invention according to Claim 81, the apparatus further comprises a third interferometer (76X2) having a length measurement axis (RIX2) orthogonal to the third length measurement reference plane (60b). 3
It is desirable to use the measurement value of the interferometer of (1) for position control of the substrate stage in at least one of the first and second directions.

【0102】[0102]

【発明の実施の形態】《第1の実施形態》以下、本発明
の第1の実施形態を図1〜図14に基づいて説明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS << First Embodiment >> A first embodiment of the present invention will be described below with reference to FIGS.

【0103】図1には、本発明に係る露光装置の第1の
実施形態の走査型露光装置10の斜視図が示され、図2
には、その内部構成が概略的に示されている。この走査
型露光装置10は、半導体素子を製造するリソグラフィ
装置として現在主流となりつつある、ステップ・アンド
・スキャン方式により露光動作を行う投影露光装置であ
る。この走査型露光装置10は、マスクとしてのレチク
ルR(図2参照)に描画された回路パターンの一部の像
を投影光学系PLを介して感応基板(又は基板)として
のウエハW上に投影しつつ、レチクルRとウエハWとを
投影光学系PLの視野に対して1次元方向(ここではY
方向)に相対走査することによって、レチクルRの回路
パターンの全体をウエハW上の複数のショット領域の各
々にステップ・アンド・スキャン方式で転写するもので
ある。
FIG. 1 is a perspective view of a scanning type exposure apparatus 10 according to a first embodiment of the present invention, and FIG.
2 schematically shows the internal configuration. The scanning exposure apparatus 10 is a projection exposure apparatus that performs an exposure operation by a step-and-scan method, which is currently becoming the mainstream as a lithography apparatus for manufacturing semiconductor elements. The scanning exposure apparatus 10 projects a partial image of a circuit pattern drawn on a reticle R (see FIG. 2) as a mask onto a wafer W as a sensitive substrate (or a substrate) via a projection optical system PL. While moving the reticle R and the wafer W in a one-dimensional direction with respect to the visual field of the projection optical system PL (here, Y
Direction), the entire circuit pattern of the reticle R is transferred to each of a plurality of shot areas on the wafer W by a step-and-scan method.

【0104】この走査型露光装置10は、図1に示され
るように、エキシマレーザ光源11と、露光装置本体1
2と、それらを統括制御する主制御システムとしての制
御ラック14とで構成されている。エキシマレーザ光源
11は、通常露光装置本体12が設置される超クリーン
ルームから隔離された別の部屋(クリーン度の低いサー
ビスルーム)に設置される。また、露光装置本体12
は、通常、超クリーンルーム内に設置され、内部空間が
高度に防塵されるとともに、高精度な温度制御がなされ
たエンバイロメンタル・チャンバに収納されているが、
図1ではこのチャンバ内に収納された本体構造のみが概
略的に示されている。
As shown in FIG. 1, the scanning exposure apparatus 10 includes an excimer laser light source 11 and an exposure apparatus main body 1.
2 and a control rack 14 as a main control system for integrally controlling them. The excimer laser light source 11 is installed in another room (a low-clean service room) isolated from the ultra-clean room where the exposure apparatus main body 12 is usually installed. Further, the exposure apparatus body 12
Is usually installed in an ultra-clean room, the interior space is highly dustproof, and is housed in an environmental chamber with high-precision temperature control.
FIG. 1 schematically shows only the main body structure housed in the chamber.

【0105】次に、これら図1及び図2に基づいてエキ
シマレーザ光源11、露光装置本体12及び制御ラック
14の構成について説明する。
Next, the configurations of the excimer laser light source 11, the exposure apparatus main body 12, and the control rack 14 will be described with reference to FIGS.

【0106】前記エキシマレーザ光源11は、操作パネ
ル11Aを備えている。また、エキシマレーザ光源11
には、該操作パネル11Aとインターフェイスされる制
御用コンピュータ11B(図1では図示せず、図2参
照)が内蔵され、この制御用コンピュータ11Bは通常
の露光動作の間は、露光装置制御用のミニコンピュータ
から成る主制御装置50の指令に応答してエキシマレー
ザ光源11のパルス発光を制御する。
The excimer laser light source 11 has an operation panel 11A. Also, the excimer laser light source 11
Has a built-in control computer 11B (not shown in FIG. 1; see FIG. 2) for interfacing with the operation panel 11A. The pulse emission of the excimer laser light source 11 is controlled in response to a command from a main controller 50 composed of a minicomputer.

【0107】エキシマレーザ光源11は、露光光源とし
て用いられるもので、例えば波長248nmのKrFエ
キシマレーザ光、或いは波長193nmのArFエキシ
マレーザ光をパルス発光する。ここで、エキシマレーザ
光源11からの紫外域のパルスレーザ光(以下、適宜
「エキシマレーザ光」、「パルス照明光」あるいは「パ
ルス紫外光」ともいう)を露光用照明光として用いるの
は、256M〜4Gbitクラス以上の半導体メモリ素
子(D−RAM)相当の集積度と微細度とを持つマイク
ロ回路デバイスの量産製造に必要とされる最小線幅0.
25〜0.10μm程度のパターン解像力を得るためで
ある。
The excimer laser light source 11 is used as an exposure light source, and emits, for example, KrF excimer laser light having a wavelength of 248 nm or ArF excimer laser light having a wavelength of 193 nm. Here, the pulse laser light in the ultraviolet region from the excimer laser light source 11 (hereinafter also referred to as "excimer laser light", "pulse illumination light" or "pulse ultraviolet light" as appropriate) is used as the illumination light for exposure at 256M. The minimum line width required for mass production of microcircuit devices having a degree of integration and fineness equivalent to a semiconductor memory element (D-RAM) of 4 to 4 Gbit class or more.
This is for obtaining a pattern resolution of about 25 to 0.10 μm.

【0108】そのパルスレーザ光(エキシマレーザ光)
の波長幅は、露光装置の照明系や投影光学系PLを構成
する各種の屈折光学素子に起因した色収差が許容範囲内
になるように狭帯化されている。狭帯化すべき中心波長
の絶対値や狭帯化幅(0.2pm〜300pmの間)の
値は、前記操作パネル11A上に表示されるとともに、
必要に応じて操作パネル11Aから微調整できるように
なっている。また操作パネル11Aからはパルス発光の
モード(代表的には自励発振、外部トリガー発振、メン
テナンス用発振の3つのモード)が設定できる。
The pulse laser light (excimer laser light)
Is narrowed so that chromatic aberration caused by various refractive optical elements constituting the illumination system and the projection optical system PL of the exposure apparatus is within an allowable range. The absolute value of the center wavelength to be narrowed and the value of the narrowing width (between 0.2 pm and 300 pm) are displayed on the operation panel 11A,
Fine adjustment can be made from the operation panel 11A as needed. A mode of pulse emission (typically, three modes of self-excited oscillation, external trigger oscillation, and maintenance oscillation) can be set from the operation panel 11A.

【0109】このように、エキシマレーザを光源とする
露光装置の一例は、特開昭57−198631号公報、
特開平1−259533号公報、特開平2−13572
3号公報、特開平2−294013号公報等に開示さ
れ、エキシマレーザ光源をステップ・アンド・スキャン
露光に利用した露光装置の一例は、特開平2−2294
23号公報、特開平6−132195号公報、特開平7
−142354号公報等に開示されている。従って図1
の走査型露光装置10においても、上記の各特許公開公
報に開示された基礎技術をそのまま、或いは部分的に変
更して適用することが可能である。
As described above, an example of an exposure apparatus using an excimer laser as a light source is disclosed in JP-A-57-198631.
JP-A-1-259533, JP-A-2-13572
An example of an exposure apparatus disclosed in Japanese Unexamined Patent Application Publication No. 3 and Japanese Patent Application Laid-Open No. H2-294013 and using an excimer laser light source for step-and-scan exposure is disclosed in Japanese Unexamined Patent Application Publication No. HEI 2-2294.
No. 23, JP-A-6-132195, JP-A-7
No. 142542. Therefore, FIG.
In the scanning exposure apparatus 10 described above, the basic technology disclosed in each of the above-mentioned patent publications can be applied as it is or partially modified.

【0110】前記露光装置本体12は、架台部16、レ
チクルステージRST、照明光学系18、投影光学系P
L、LC/MAC系、ステージ装置1、ウエハ搬送ロボ
ット19及びアライメント系等を備えている。
The exposure apparatus body 12 includes a gantry 16, a reticle stage RST, an illumination optical system 18, and a projection optical system P.
An L / LC / MAC system, a stage device 1, a wafer transfer robot 19, an alignment system, and the like are provided.

【0111】これを更に詳述すると、図1に示されるよ
うに、架台部(第1架台)16は、床面上に4つの防振
装置20を介して支えられている。各防振装置20は、
露光装置本体12の自重を不図示のエアシリンダ(防振
パッド)を介して支えるとともに、露光装置本体12全
体の傾き、Z方向の変位、及び露光装置本体全体の図1
におけるX,Y方向の変位を、不図示の制御系によるフ
ィードバック制御やフィードフォワード制御によりアク
ティブに補正するためのアクチュエータと各種のセンサ
類とを備えている。この種のアクティブ防振装置につい
ては、例えば特開平9−74061号公報等に開示され
ている。
More specifically, as shown in FIG. 1, the gantry (first gantry) 16 is supported on the floor via four vibration isolation devices 20. Each anti-vibration device 20
The weight of the exposure apparatus main body 12 is supported via an air cylinder (vibration isolation pad) (not shown).
Are provided with an actuator and various sensors for actively correcting the displacement in the X and Y directions by feedback control or feedforward control by a control system (not shown). An active vibration isolator of this type is disclosed in, for example, Japanese Patent Application Laid-Open No. 9-74061.

【0112】架台部16は、床面に平行な定盤22とこ
の定盤22に対向して上方に設けられた支持板部24と
を備え、その形状は内部を空洞にした箱状とされてい
る。支持板部24は、中央に円形の開口部が形成された
矩形の板状部材から成り、この中央開口部内に投影光学
系PLが当該支持板部24に直交した状態で挿入されて
いる。そして、この投影光学系PLは、その外周部の一
部に設けられたフランジ部を介して支持板部24に保持
されている。
The gantry 16 has a surface plate 22 parallel to the floor surface and a support plate portion 24 provided above and opposed to the surface plate 22, and has a box-like shape with a hollow inside. ing. The support plate 24 is formed of a rectangular plate-like member having a circular opening formed in the center, and the projection optical system PL is inserted into the center opening in a state orthogonal to the support plate 24. The projection optical system PL is held by the support plate 24 via a flange provided on a part of the outer periphery.

【0113】支持板部24の上面には、投影光学系PL
を囲むように4本の脚部26が立設されている。これら
の4本の脚部26の上部には、当該4本の脚部26に支
持されると共にこれらの上端を相互に接続するレチクル
ベース定盤28が設けられている。これら4本の脚部2
6とレチクルベース定盤28とによって第2コラム(第
2架台)が構成されている。
On the upper surface of the support plate 24, the projection optical system PL
The four legs 26 are erected so as to surround. A reticle base platen 28 supported by the four legs 26 and interconnecting the upper ends thereof is provided above the four legs 26. These four legs 2
6 and the reticle base platen 28 constitute a second column (second base).

【0114】レチクルベース定盤28の上面にはガイド
28bがY方向(第1軸方向、第1方向)に沿って延設
されている。また、レチクルベース定盤28の中央部に
は、開口28a(図2参照)が形成されている。この開
口28aに対向して照明光学系18の射出端部が配置さ
れている。
On the upper surface of the reticle base platen 28, a guide 28b extends in the Y direction (first axial direction, first direction). An opening 28a (see FIG. 2) is formed in the center of the reticle base surface plate 28. The emission end of the illumination optical system 18 is arranged to face the opening 28a.

【0115】レチクルベース定盤28上には、レチクル
Rを吸着保持してガイド28bに沿ってY方向に移動す
る前記レチクルステージRSTが配置されている。この
レチクルステージRSTは、駆動系29(図2参照)を
構成するリニアモータ等によって駆動され、このレチク
ルステージRSTは、レチクルベース定盤28上をY方
向に大きなストロークで直線移動するとともに、X方向
(第2軸方向、第2方向)とθ方向に関してもボイスコ
イルモータ(VCM)、ピエゾ素子等によって微小駆動
が可能な構成となっている。
On the reticle base surface plate 28, the reticle stage RST is provided which holds the reticle R by suction and moves in the Y direction along the guide 28b. The reticle stage RST is driven by a linear motor or the like constituting a drive system 29 (see FIG. 2). The reticle stage RST linearly moves on the reticle base surface plate 28 with a large stroke in the Y direction, and moves in the X direction. (The second axial direction, the second direction) and the θ direction can be minutely driven by a voice coil motor (VCM), a piezo element, or the like.

【0116】レチクルステージRSTの一部には、図2
に示されるように、その位置や移動量を計測するための
レチクルレーザ干渉計30からの測長ビームを反射する
移動鏡31が取り付けられている。ここで、実際には、
レチクルレーザ干渉計は、Y方向(走査方向)位置計測
用のレチクルY干渉計とX方向位置計測用のレチクルX
干渉計と、θ方向(回転方向)計測用のレチクルθ干渉
計とが設けられ、それらの各干渉計に対応した移動鏡が
レチクルステージRST上に固定されているが、図2に
おいては、これらが代表的にレチクルレーザ干渉計3
0、移動鏡31として示されている。そして、上記3つ
のレチクル干渉計によってレチクルステージRSTの
X,Y,θ方向計測がそれぞれ行われるが、以下の説明
においては、便宜上、レーザ干渉計30によってX,
Y,θ方向位置計測が同時に個別に行われるものとす
る。
FIG. 2 shows a part of reticle stage RST.
As shown in FIG. 1, a movable mirror 31 for reflecting a length measurement beam from a reticle laser interferometer 30 for measuring the position and the amount of movement is attached. Where, in practice,
The reticle laser interferometer is composed of a reticle Y interferometer for Y-direction (scanning direction) position measurement and a reticle X for X-direction position measurement.
An interferometer and a reticle θ interferometer for measuring the θ direction (rotation direction) are provided, and a movable mirror corresponding to each of the interferometers is fixed on a reticle stage RST. Is typically a reticle laser interferometer 3
0, shown as a moving mirror 31. Then, the X, Y, and θ directions of the reticle stage RST are measured by the above three reticle interferometers, respectively.
It is assumed that the position measurement in the Y and θ directions is simultaneously and individually performed.

【0117】その干渉計30によって計測されるレチク
ルステージRST(即ちレチクルR)の位置情報(又は
速度情報)はレチクルステージコントローラ33に送ら
れる。レチクルステージコントローラ33は、基本的に
は干渉計30から出力される位置情報(或いは速度情
報)が指令値(目標位置、目標速度)と一致するように
レチクルステージRSTを移動させる駆動系(リニアモ
ータ、ボイスコイルモータ、ピエゾモータ等)29を制
御する。
The position information (or speed information) of reticle stage RST (that is, reticle R) measured by interferometer 30 is sent to reticle stage controller 33. The reticle stage controller 33 basically includes a drive system (linear motor) for moving the reticle stage RST such that the position information (or speed information) output from the interferometer 30 matches the command value (target position, target speed). , A voice coil motor, a piezo motor, etc.) 29.

【0118】前記照明光学系18は、図1に示されるよ
うに、ビーム受光系32をその背面部に収納し、このビ
ーム受光系32とこれに接続された遮光性の管34とか
ら成るBMU(ビームマッチングユニット)を介してエ
キシマレーザ光源11に接続されている。BMUを構成
するビーム受光系32内には、管34を介して導かれた
エキシマレーザ光源11からのエキシマレーザ光が、照
明光学系18の光軸に対して、常に所定の位置関係で入
射するように、エキシマレーザ光の照明光学系18への
入射位置や入射角度を最適に調整する複数の可動反射鏡
(図示せず)が設けられている。
As shown in FIG. 1, the illumination optical system 18 has a beam receiving system 32 housed in the back thereof and a BMU comprising the beam receiving system 32 and a light-shielding tube 34 connected thereto. (Beam matching unit) is connected to the excimer laser light source 11. Excimer laser light from the excimer laser light source 11 guided through the tube 34 always enters the beam receiving system 32 constituting the BMU with a predetermined positional relationship with respect to the optical axis of the illumination optical system 18. As described above, a plurality of movable reflecting mirrors (not shown) for optimally adjusting the incident position and the incident angle of the excimer laser light to the illumination optical system 18 are provided.

【0119】照明光学系18は、図2に示されるよう
に、可変減光器18A、ビーム整形光学系18B、第1
フライアイレンズ系18C、振動ミラー18D、集光レ
ンズ系18E、照明NA補正板18F、第2フライアイ
レンズ系18G、照明系開口絞り板18H、ミラー18
J、第1リレーレンズ18K、固定レチクルブラインド
18L、可動レチクルブラインド18M、第2リレーレ
ンズ18N、照明テレセン補正板(傾斜可能な石英の平
行平板)18P、ミラー18Q、及び主コンデンサーレ
ンズ系18R等を備えている。ここで、この照明光学系
18の上記構成各部について説明する。
As shown in FIG. 2, the illumination optical system 18 includes a variable dimmer 18A, a beam shaping optical system 18B,
Fly-eye lens system 18C, vibrating mirror 18D, condenser lens system 18E, illumination NA correction plate 18F, second fly-eye lens system 18G, illumination system aperture stop plate 18H, mirror 18
J, a first relay lens 18K, a fixed reticle blind 18L, a movable reticle blind 18M, a second relay lens 18N, an illumination telecentric correction plate (parallel plate of tiltable quartz) 18P, a mirror 18Q, and a main condenser lens system 18R. Have. Here, the components of the illumination optical system 18 will be described.

【0120】可変減光器18Aは、エキシマレーザ光の
パルス毎の平均エネルギーを調整するためのもので、例
えば減光率が異なる複数の光学フィルタを切り換え可能
に構成して減光率を段階的に変更するものや、透過率が
連続的に変化する2枚の光学フィルタの重なり具合を調
整することにより減光率を連続的に可変にするものが用
いられる。この可変減光器18Aを構成する光学フィル
タは、主制御装置50によって制御される駆動機構35
によって駆動される。
The variable dimmer 18A is for adjusting the average energy of each pulse of the excimer laser light. And an optical filter that continuously varies the dimming rate by adjusting the degree of overlap between two optical filters whose transmittance continuously changes. The optical filter constituting the variable dimmer 18A includes a drive mechanism 35 controlled by the main controller 50.
Driven by

【0121】ビーム整形光学系18Bは、可変減光器1
8Aによって所定のピーク強度に調整されたエキシマレ
ーザ光の断面形状を該エキシマレーザ光の光路後方に設
けられた後述するダブルフライアイレンズ系の入射端を
構成する第1フライアイレンズ系18Cの入射端の全体
形状と相似になるように整形して該第1フライアイレン
ズ系18Cに効率よく入射させるもので、例えばシリン
ダレンズやビームエキスパンダ(いずれも図示省略)等
で構成される。
The beam shaping optical system 18B includes the variable dimmer 1
The cross-sectional shape of the excimer laser beam adjusted to a predetermined peak intensity by 8A is incident on a first fly-eye lens system 18C which is provided behind the optical path of the excimer laser beam and constitutes an incident end of a double fly-eye lens system described later. It is shaped so as to be similar to the entire shape of the end and is efficiently incident on the first fly-eye lens system 18C, and is composed of, for example, a cylinder lens and a beam expander (both not shown).

【0122】前記ダブルフライアイレンズ系は、照明光
の強度分布を一様化するためのもので、ビーム整形光学
系18B後方のエキシマレーザ光の光路上に順次配置さ
れた第1フライアイレンズ系18Cと、集光レンズ18
Eと、第2フライアイレンズ系18Gとから構成され
る。この場合、第1フライアイレンズ系18Cと集光レ
ンズ18Eとの間には、被照射面(レチクル面又はウエ
ハ面)に生じる干渉縞や微弱なスペックルを平滑化する
ための振動ミラー18Dが配置されている。この振動ミ
ラー18Dの振動(偏向角)は駆動系36を介して主制
御装置50によって制御されるようになっている。
The double fly-eye lens system is for uniformizing the intensity distribution of the illumination light, and the first fly-eye lens system is sequentially arranged on the optical path of the excimer laser light behind the beam shaping optical system 18B. 18C and the condenser lens 18
E and a second fly-eye lens system 18G. In this case, between the first fly-eye lens system 18C and the condenser lens 18E, a vibrating mirror 18D for smoothing interference fringes and weak speckles generated on the irradiated surface (reticle surface or wafer surface) is provided. Are located. The vibration (deflection angle) of the vibration mirror 18D is controlled by the main controller 50 via the drive system 36.

【0123】第2フライレンズ系18Gの入射端側に
は、照明光の被照射面における開口数の方向性(照明N
A差)を調整する照明NA補正板18Fが配置されてい
る。
On the incident end side of the second fly lens system 18G, the directionality of the numerical aperture (illumination N
The illumination NA correction plate 18F for adjusting the (A difference) is arranged.

【0124】本実施形態のようなダブルフライアイレン
ズ系と振動ミラー18Bとを組み合わせた構成について
は、例えば特開平1−235289号公報、特開平7−
142354号公報に詳しく開示されている。
For a configuration in which the double fly-eye lens system and the vibrating mirror 18B are combined as in the present embodiment, for example, Japanese Patent Application Laid-Open Nos.
No. 142354 discloses this in detail.

【0125】前記第2フライアイレンズ系18Gの射出
面の近傍に、円板状部材から成る照明系開口絞り板18
Hが配置されている。この照明系開口絞り板18Hに
は、ほぼ等角度間隔で、例えば通常の円形開口より成る
開口絞り、小さな円形開口より成りコヒーレンスファク
タであるσ値を小さくするための開口絞り、輪帯照明用
の輪帯状の開口絞り、及び変形光源法用に例えば4つの
開口を偏心させて配置して成る変形開口絞り等が配置さ
れている。この照明系開口絞り板18Hは、主制御装置
50により制御される不図示のモータ等により回転され
るようになっており、これによりいずれかの開口絞りが
パルス照明光の光路上に選択的に設定され、ケーラー照
明における光源面形状が輪帯、小円形、大円形、或いは
4つ目等に制限される。
An illumination system aperture stop plate 18 made of a disc-shaped member is provided near the exit surface of the second fly-eye lens system 18G.
H is arranged. The illumination system aperture stop plate 18H is provided at substantially equal angular intervals, for example, an aperture stop composed of a normal circular aperture, an aperture stop composed of a small circular aperture, for reducing the σ value which is a coherence factor, and an annular aperture. A ring-shaped aperture stop, a modified aperture stop formed by eccentrically arranging four apertures, and the like for the modified light source method are arranged. The illumination system aperture stop plate 18H is rotated by a motor (not shown) or the like controlled by the main controller 50, whereby any one of the aperture stops is selectively placed on the optical path of the pulse illumination light. It is set, and the light source surface shape in Koehler illumination is limited to an annular zone, a small circle, a large circle, a fourth circle, or the like.

【0126】照明系開口絞り板18H後方のパルス照明
光の光路上に、反射率が大きく透過率が小さなビームス
プリッタ18Jが配置され、更にこの後方の光路上に、
固定レチクルブラインド18L及び可動レチクルブライ
ンド18Mを介在させて第1リレーレンズ18K及び第
2リレーレンズ18Nから成るリレー光学系が配置され
ている。
A beam splitter 18J having a large reflectance and a small transmittance is arranged on the optical path of the pulse illumination light behind the illumination system aperture stop plate 18H.
A relay optical system including a first relay lens 18K and a second relay lens 18N is arranged with a fixed reticle blind 18L and a movable reticle blind 18M interposed therebetween.

【0127】固定レチクルブラインド18Lは、レチク
ルRのパターン面に対する共役面から僅かにデフォーカ
スした面に配置され、レチクルR上の照明領域を規定す
る所定形状の開口部が形成されている。本実施形態で
は、この開口部が走査露光時のレチクルRの移動方向
(Y方向)と直交したX方向に直線的に伸びたスリット
状又は矩形状に形成されているものとする。
The fixed reticle blind 18L is arranged on a plane slightly defocused from a conjugate plane with respect to the pattern plane of the reticle R, and has an opening having a predetermined shape that defines an illumination area on the reticle R. In the present embodiment, it is assumed that the opening is formed in a slit shape or a rectangular shape linearly extending in the X direction orthogonal to the moving direction (Y direction) of the reticle R during scanning exposure.

【0128】また、固定レチクルブラインド18Lの近
傍に走査方向の位置及び幅が可変の開口部を有する可動
レチクルブラインド18Mが配置され、走査露光の開始
時及び終了時にその可動レチクルブラインド18Mを介
して照明領域を更に制限することによって、不要な部分
の露光が防止されるようになっている。この可動レチク
ルブラインド18Mは、駆動系43を介して主制御装置
50によって制御される。
A movable reticle blind 18M having an opening whose position and width in the scanning direction is variable is arranged near the fixed reticle blind 18L, and illumination is performed via the movable reticle blind 18M at the start and end of scanning exposure. By further restricting the area, exposure of an unnecessary portion is prevented. The movable reticle blind 18M is controlled by the main controller 50 via the drive system 43.

【0129】リレー光学系を構成する第2リレーレンズ
18Nの出口部分には、照明テレセン補正板18Pが配
置されており、さらにこの後方のパルス照明光の光路上
には、第2リレーレンズ18N及び照明テレセン補正板
18Pを通過したパルス照明光をレチクルRに向けて反
射するミラー18Qが配置され、このミラー18Q後方
のパルス照明光の光路上に主コンデンサーレンズ系18
Rが配置されている。
At the exit of the second relay lens 18N constituting the relay optical system, an illumination telecentric correction plate 18P is arranged, and further on the optical path of the pulse illumination light behind the second relay lens 18N, A mirror 18Q that reflects the pulsed illumination light passing through the illumination telecentric correction plate 18P toward the reticle R is disposed, and the main condenser lens system 18 is disposed on the optical path of the pulsed illumination light behind the mirror 18Q.
R is arranged.

【0130】このようにして構成された照明光学系18
の作用を簡単に説明すると、エキシマレーザ光源11か
らのエキシマレーザ光が管34、ビーム受光系32を介
して照明光学系18内に入射すると、このエキシマレー
ザ光は可変減光器18Aにより所定のピーク強度に調整
された後、ビーム整形光学系18Bに入射する。そし
て、このエキシマレーザ光は、ビーム整形光学系18B
で後方の第1フライアイレンズ系18Cに効率よく入射
するようにその断面形状が整形される。次いで、このエ
キシマレーザ光が第1フライアイレンズ系18Cに入射
すると、第1フライアイレンズ系18Cの射出端側に多
数の2次光源が形成される。これらの多数の点光源の各
々から発散するパルス紫外光は、振動ミラー18D、集
光レンズ系18E、照明NA補正板18Fを介して第2
フライアイレンズ系18Gに入射する。これにより、第
2フライアイレンズ系18Gの射出端に多数の微少な光
源像を所定形状の領域内に一様分布させた個々の光源像
から成る多数の2次光源が形成される。この多数の2次
光源から射出されたパルス紫外光は、照明系開口絞り板
18H上のいずれかの開口絞りを通過した後、反射率が
大きく透過率が小さなビームスプリッタ18Jに至る。
The illumination optical system 18 thus configured
When the excimer laser light from the excimer laser light source 11 is incident on the illumination optical system 18 via the tube 34 and the beam receiving system 32, the excimer laser light is given a predetermined amount by the variable dimmer 18A. After being adjusted to the peak intensity, the light enters the beam shaping optical system 18B. The excimer laser light is applied to the beam shaping optical system 18B.
Thus, the cross-sectional shape is shaped so as to efficiently enter the rear first fly-eye lens system 18C. Next, when this excimer laser light is incident on the first fly-eye lens system 18C, a number of secondary light sources are formed on the exit end side of the first fly-eye lens system 18C. The pulsed ultraviolet light diverging from each of these many point light sources passes through the vibrating mirror 18D, the condenser lens system 18E, and the illumination NA correction plate 18F to the second.
The light enters the fly-eye lens system 18G. As a result, a large number of secondary light sources are formed at the exit end of the second fly-eye lens system 18G. The pulsed ultraviolet light emitted from the many secondary light sources passes through one of the aperture stops on the illumination system aperture stop plate 18H, and then reaches a beam splitter 18J having a large reflectance and a small transmittance.

【0131】このビームスプリッタ18Jで反射された
露光光としてのパルス紫外光は、第1リレーレンズ18
Kによって固定レチクルブラインド18Lの開口部を一
様な強度分布で照明する。但し、その強度分布には、エ
キシマレーザ光源11からのパルス紫外光の可干渉性に
依存した干渉縞や微弱なスペックルが数%程度のコント
ラストで重畳し得る。そのためウエハ面上には、干渉縞
や微弱なスペックルによる露光量むらが生じ得るが、そ
の露光量むらは先に挙げた特開平7−142354号公
報のように、走査露光時のレチクルRやウエハWの移動
とパルス紫外光の発振とに同期させて振動ミラー18D
を振ることで平滑化される。
The pulsed ultraviolet light as exposure light reflected by the beam splitter 18J is applied to the first relay lens 18
K illuminates the opening of the fixed reticle blind 18L with a uniform intensity distribution. However, interference fringes and weak speckles depending on the coherence of the pulsed ultraviolet light from the excimer laser light source 11 can be superimposed on the intensity distribution with a contrast of about several percent. For this reason, exposure unevenness due to interference fringes and weak speckles may occur on the wafer surface. The vibration mirror 18D is synchronized with the movement of the wafer W and the oscillation of the pulsed ultraviolet light.
Is smoothed.

【0132】こうして固定レチクルブラインド18Lの
開口部を通ったパルス紫外光は、可動レチクルブライン
ド18Mを通過した後、第2リレーレンズ18N及び照
明テレセン補正板18Pを通過してミラー18Qによっ
て光路が垂直下方に折り曲げられた後、主コンデンサー
レンズ系18Rを経て、レチクルステージRST上に保
持されたレチクルR上の所定の照明領域(X方向に直線
的に伸びたスリット状又は矩形状の照明領域)を均一な
照度分布で照明する。ここで、レチクルRに照射される
矩形スリット状の照明光は、図1中の投影光学系PLの
円形投影視野の中央にX方向(非走査方向)に細長く延
びるように設定され、その照明光のY方向(走査方向)
の幅はほぼ一定に設定されている。
The pulsed ultraviolet light that has passed through the opening of the fixed reticle blind 18L passes through the movable reticle blind 18M, passes through the second relay lens 18N and the illumination telecentric correction plate 18P, and the optical path is vertically moved downward by the mirror 18Q. After being bent into a predetermined shape, a predetermined illumination area (a slit-shaped or rectangular illumination area linearly extending in the X direction) on the reticle R held on the reticle stage RST is made uniform through the main condenser lens system 18R. Illumination with a suitable illuminance distribution. Here, the rectangular slit-shaped illumination light applied to the reticle R is set so as to extend in the X direction (non-scanning direction) in the center of the circular projection field of view of the projection optical system PL in FIG. Y direction (scanning direction)
Is set almost constant.

【0133】一方、ビームスプリッタ18Jを透過した
パルス照明光は、不図示の集光レンズを介して光電変換
素子よりなるインテグレータセンサ46に入射し、そこ
で光電変換される。そして、このインテグレータセンサ
46の光電変換信号が、後述するピークホールド回路及
びA/D変換器を介して主制御装置50に供給される。
インテグレータセンサ46としては、例えば遠紫外域で
感度があり、且つエキシマレーザ光源11のパルス発光
を検出するために高い応答周波数を有するPIN型のフ
ォトダイオード等が使用できる。このインテグレータセ
ンサ46の出力と、ウエハWの表面上でのパルス紫外光
の照度(露光量)との相関係数は予め求められて、主制
御装置50内のメモリに記憶されている。
On the other hand, the pulsed illumination light transmitted through the beam splitter 18J is incident on an integrator sensor 46 composed of a photoelectric conversion element via a not-shown condenser lens, where it is photoelectrically converted. Then, the photoelectric conversion signal of the integrator sensor 46 is supplied to the main controller 50 via a peak hold circuit and an A / D converter, which will be described later.
As the integrator sensor 46, for example, a PIN-type photodiode or the like having sensitivity in the deep ultraviolet region and having a high response frequency for detecting pulse emission of the excimer laser light source 11 can be used. The correlation coefficient between the output of the integrator sensor 46 and the illuminance (exposure amount) of the pulsed ultraviolet light on the surface of the wafer W is obtained in advance and stored in the memory in the main controller 50.

【0134】前記投影光学系PLとしては、ここでは、
物体面(レチクルR)側と像面(ウエハW)側の両方が
テレセントリックで円形の投影視野を有し、石英や螢石
を光学硝材とした屈折光学素子(レンズ素子)のみから
成る1/4(又は1/5)縮小倍率の屈折光学系が使用
されている。そして、レチクルR上の回路パターン領域
のうちのパルス紫外光によって照明された部分からの結
像光束が、投影光学系PLを介して、後述するウエハス
テージWST上のホルダに静電吸着されたウエハW上の
レジスト層に1/4又は1/5に縮小されて投影され
る。
As the projection optical system PL, here,
Both the object plane (reticle R) side and the image plane (wafer W) side are telecentric and have a circular projection visual field, and are only composed of a refractive optical element (lens element) using quartz or fluorite as an optical glass material. A refracting optical system with a (or 1/5) reduction magnification is used. An imaging light flux from a portion of the circuit pattern area on reticle R illuminated with the pulsed ultraviolet light is electrostatically attracted to a holder on wafer stage WST to be described later via projection optical system PL. The resist is projected onto the resist layer on W at a reduced size of 1/4 or 1/5.

【0135】なお、投影光学系PLを特開平3−282
527号公報に開示されているように屈折光学素子と反
射光学素子(凹面鏡やビームスプリッタ等)とを組み合
わせたいわゆるカタディオプトリック系としてもよいこ
とは勿論である。
Note that the projection optical system PL is described in Japanese Patent Laid-Open No. 3-282.
As disclosed in Japanese Unexamined Patent Publication No. 527, a so-called catadioptric system combining a refractive optical element and a reflective optical element (a concave mirror, a beam splitter, or the like) may be used.

【0136】前記LC/MAC系は、投影光学系PLの
各種光学特性(結像性能)を微調整するもので、本実施
形態では、投影光学系PL内の物体面に近い位置に設け
られ光軸方向への微小移動及び光軸直交面に対する微小
傾斜が可能なテレセン部レンズ系G2とこのレンズ系G
2を光軸方向(傾斜を含む)に微動させる駆動機構96
とから成るMACと、投影光学系PL内の外気に対して
密封された特定の空気間隔室(密封室)内の気体圧力を
パイプ94を介して例えば±20mmHg程度の範囲内
で加減圧することによって投影像の結像倍率を微調整す
るレンズコントローラ102とを含んで構成されてい
る。前記MACは投影像の倍率又はディストーション
(等方的な歪曲収差、又は樽形、糸巻き形、台形等の非
等方的な歪曲収差等)を調整することができる。
The LC / MAC system finely adjusts various optical characteristics (imaging performance) of the projection optical system PL. In this embodiment, the LC / MAC system is provided at a position near the object plane in the projection optical system PL. Telecentric lens system G2 capable of minute movement in the axial direction and slight inclination with respect to the plane orthogonal to the optical axis, and this lens system G
Drive mechanism 96 for finely moving 2 in the optical axis direction (including the inclination)
And pressurizing and reducing the gas pressure in a specific air space (sealed chamber) sealed from the outside air in the projection optical system PL through a pipe 94 within a range of, for example, about ± 20 mmHg. And a lens controller 102 for finely adjusting the imaging magnification of the projected image. The MAC can adjust the magnification or distortion of the projected image (isotropic distortion, or anisotropic distortion such as barrel, pincushion, trapezoid, etc.).

【0137】この場合、レンズコントローラ102はレ
ンズ系G2の駆動機構96に対する制御系にもなってお
り、レンズ系G2の駆動によって投影像の倍率を変える
か、投影光学系PL内の密封室の圧力制御によって投影
像の倍率を変えるかを切替え制御したり、或いは併用制
御したりする。レンズコントローラ102も主制御装置
50の管理下に置かれている。
In this case, the lens controller 102 also serves as a control system for the driving mechanism 96 of the lens system G2, and changes the magnification of the projected image by driving the lens system G2, or changes the pressure of the sealed chamber in the projection optical system PL. Switching control is performed to change the magnification of the projection image by control, or combined control is performed. The lens controller 102 is also under the control of the main controller 50.

【0138】但し、波長193nmのArFエキシマレ
ーザ光源を照明光とした場合は、照明光路内と投影光学
系PLの鏡筒内とが窒素ガスやヘリウムガスで置換され
るため、投影光学系PL内の特定の空気間隔室内の屈折
率を変更しにくいので、この空気間隔室内の圧力を加減
圧する機構を省略してもよい。
However, when an ArF excimer laser light source having a wavelength of 193 nm is used as the illumination light, the inside of the illumination optical path and the inside of the barrel of the projection optical system PL are replaced with nitrogen gas or helium gas. Since it is difficult to change the refractive index in the specific air space, the mechanism for increasing or decreasing the pressure in the air space may be omitted.

【0139】また、投影光学系PL内の像面に近い位置
には、投影される像のうち特に像高の大きい部分(投影
視野内の周辺に近い部分)に生じ易いアス・コマ収差を
低減させるためのアス・コマ収差補正板G3が含まれて
いる。
Further, at a position near the image plane in the projection optical system PL, ascoma aberration, which is particularly likely to occur in a portion of the projected image having a particularly high image height (a portion near the periphery in the projection visual field), is reduced. And an as-coma aberration correcting plate G3 for causing the image to be corrected.

【0140】さらに、本実施形態では、円形視野内の実
効的な像投影領域(固定レチクルブラインド18Lの開
口部で規定)に形成される投影像に含まれるランダムな
ディストーション成分を有効に低減させるための像歪み
補正板G1が、投影光学系PLのレンズ系G2とレチク
ルRとの間に配置されている。この補正板G1は、数ミ
リ程度の厚みを持つ平行な石英板の表面を局所的に研磨
し、その研磨部分を通る結像光束を微小に偏向させるも
のである。このような補正板G1の作り方の一例は、特
開平8−203805号公報に詳細に開示されており、
本実施形態においても基本的にはその公報に示された手
法を応用するものとする。
Further, in this embodiment, in order to effectively reduce a random distortion component contained in a projected image formed in an effective image projection area (defined by the opening of the fixed reticle blind 18L) in the circular visual field. The image distortion correction plate G1 is disposed between the reticle R and the lens system G2 of the projection optical system PL. The correction plate G1 locally polishes the surface of a parallel quartz plate having a thickness of about several millimeters and slightly deflects an image forming light beam passing through the polished portion. An example of how to make such a correction plate G1 is disclosed in detail in JP-A-8-203805.
Also in the present embodiment, basically, the technique disclosed in the publication is applied.

【0141】次に、ステージ装置1について説明する。
このステージ装置1は、図1及び図2に示されるよう
に、前記架台部(第1コラム)16を構成する定盤22
と、この定盤22上にXY面内で相対移動可能に支持さ
れた可動型定盤38と、この可動型定盤38上にXY面
内で該可動型定盤38に対して相対移動可能に支持され
た基板ステージとしてのウエハステージWSTとを備え
ている。
Next, the stage device 1 will be described.
As shown in FIGS. 1 and 2, the stage device 1 includes a platen 22
A movable surface plate 38 supported on the surface plate 22 so as to be relatively movable in the XY plane; and a movable surface plate 38 on the movable surface plate 38 relative to the movable surface plate 38 in the XY plane. And a wafer stage WST as a substrate stage supported by the wafer stage.

【0142】ウエハステージWSTは、投影光学系PL
下方で可動型定盤38上に設けられた第1の平面磁気浮
上型リニアアクチュエータ42(図5(B)参照)によ
って浮上支持されるとともに、投影光学系PLの光軸A
Xと直交するXY2次元平面内で自在に駆動されるよう
になっている。また、可動型定盤38は、ウエハステー
ジWSTと同様に、定盤22上に設けられた第2の平面
磁気浮上型リニアアクチュエータ44(図5(B)参
照)によって浮上支持されるとともに、XY2次元平面
内で自在に駆動されるようになっている。なお、図2に
おいては、図示の便宜上、上記の平面磁気浮上型リニア
アクチュエータ42、44が纏めて駆動系48として図
示されている。この駆動系48、すなわち平面磁気浮上
型リニアアクチュエータ42、44は、ウエハステージ
コントローラ78によって制御されるようになってい
る。なお、可動型定盤38の制御方法、役割等について
は後に詳述する。
The wafer stage WST includes a projection optical system PL
The first planar magnetic levitation linear actuator 42 (see FIG. 5B) provided below the movable platen 38 and levitation supported, and the optical axis A of the projection optical system PL.
It is designed to be freely driven in an XY two-dimensional plane orthogonal to X. Similarly to wafer stage WST, movable platen 38 is levitated and supported by a second planar magnetic levitation linear actuator 44 (see FIG. 5B) provided on platen 22, and XY2 It is designed to be driven freely in a three-dimensional plane. In FIG. 2, the planar magnetic levitation linear actuators 42 and 44 are collectively shown as a drive system 48 for convenience of illustration. The drive system 48, that is, the planar magnetic levitation linear actuators 42 and 44 are controlled by a wafer stage controller 78. The control method and role of the movable surface plate 38 will be described later in detail.

【0143】前記ウエハステージWSTは、図1に示さ
れるように、可動型定盤38上をXY2次元平面内で自
在に移動可能な第2プレートとしての移動ステージ52
と、この移動ステージ52上に搭載された駆動機構とし
てのレベリング駆動機構58と、このレベリング駆動機
構58により支持されウエハWを保持する第1プレート
としての基板テーブルTBとを備えている。
The wafer stage WST is, as shown in FIG. 1, a movable stage 52 as a second plate which can be freely moved on a movable platen 38 in an XY two-dimensional plane.
A leveling drive mechanism 58 as a drive mechanism mounted on the moving stage 52; and a substrate table TB as a first plate supported by the leveling drive mechanism 58 and holding the wafer W.

【0144】移動ステージ52は、本実施形態では正三
角形状に形成され、その一端面がレチクルステージRS
Tの走査方向であるY軸方向(第1方向、第1軸方向)
に直交する向きで可動型定盤38上に配置されている。
In the present embodiment, the moving stage 52 is formed in a regular triangular shape, and one end surface thereof has a reticle stage RS.
Y-axis direction, which is the scanning direction of T (first direction, first axis direction)
Are arranged on the movable platen 38 in a direction orthogonal to the table.

【0145】前記基板テーブルTBは、移動ステージ5
2と全く同一形状の正三角形状に形成され、平面視で見
て移動テーブル52に重なる状態でレベリング駆動機構
58を構成する3つのアクチュエータZACに支持され
ている。この基板テーブルTB上には、ほぼ円形のウエ
ハホルダ54が設けられており(図3(C)参照)、こ
のウエハホルダ54にウエハWが静電吸着され、平坦化
矯正されて保持されている。このウエハホルダ54はウ
エハWの露光時の熱蓄積による膨脹変形を押さえるため
に温度制御されている。
The substrate table TB includes a moving stage 5
2 and is supported by three actuators ZAC constituting a leveling drive mechanism 58 in a state of overlapping with the moving table 52 in a plan view. A substantially circular wafer holder 54 is provided on the substrate table TB (see FIG. 3C), and the wafer W is electrostatically attracted to the wafer holder 54 and is held after being flattened and corrected. The temperature of the wafer holder 54 is controlled in order to suppress expansion deformation due to heat accumulation of the wafer W during exposure.

【0146】前記レベリング駆動機構58は、基板テー
ブルTBを正三角形の3つの頂点近傍でそれぞれ支持す
るとともに各支持点でXY平面に垂直なZ方向に独立し
て駆動可能な3つのアクチュエータ(ピエゾ、ボイスコ
イルモータ等)ZACX1、ZACX2、ZACY(図
3(A)参照)と、これら3つのアクチュエータZAC
X1、ZACX2、ZACYを独立に制御することによ
り基板テーブルTBを光軸AXの方向(Z方向)に微動
するとともに、XY平面に対して傾斜させるアクチュエ
ータ制御装置56とによって構成される。アクチュエー
タ制御装置56に対する駆動指令はウエハステージコン
トローラ78から出力される。
The leveling drive mechanism 58 supports three actuators (piezo, piezo, Voice coil motor, etc.) ZACX1, ZACX2, ZACY (see FIG. 3 (A)) and these three actuators ZAC
The actuator controller 56 is configured to finely move the substrate table TB in the direction of the optical axis AX (Z direction) by independently controlling X1, ZACX2, and ZACY, and to tilt the substrate table TB with respect to the XY plane. A drive command to the actuator control device 56 is output from the wafer stage controller 78.

【0147】なお、図2では図示が省略されているが、
投影光学系PLの結像面とウエハW表面とのZ方向の偏
差(フォーカス誤差)や傾斜(レベリング誤差)を検出
するフォーカス・レベリングセンサが投影光学系PLの
近傍に設けられ、ウエハステージコントローラ78はそ
のセンサからのフォーカス誤差信号やレベリング誤差信
号に応答してアクチュエータ制御装置56に駆動指令を
出力する。そのようなフォーカス・レベリング検出系の
一例は、特開平7−201699号公報に詳細に開示さ
れている。
Although illustration is omitted in FIG. 2,
A focus / leveling sensor for detecting a deviation (focus error) and an inclination (leveling error) in the Z direction between the imaging plane of the projection optical system PL and the surface of the wafer W is provided near the projection optical system PL, and the wafer stage controller 78 is provided. Outputs a drive command to the actuator control device 56 in response to a focus error signal or a leveling error signal from the sensor. An example of such a focus / leveling detection system is disclosed in detail in JP-A-7-201699.

【0148】ウエハステージWST、すなわち基板テー
ブルTBの図3(A)の各干渉計ビームの方向の位置
は、図2に示されるレーザ干渉計システム76によって
逐次計測され、その位置情報はウエハステージコントロ
ーラ78に送られる。ウエハステージコントローラ78
は、所定の演算によりXY座標位置を求め、この求めた
座標位置と位置決めすべき目標位置情報とに基づいてウ
エハステージWSTを駆動させるための指令信号を駆動
系48へ出力する。
The positions of the wafer stage WST, that is, the directions of the interferometer beams in FIG. 3A on the substrate table TB are sequentially measured by the laser interferometer system 76 shown in FIG. 78. Wafer stage controller 78
Calculates a XY coordinate position by a predetermined calculation, and outputs a command signal for driving wafer stage WST to drive system 48 based on the obtained coordinate position and target position information to be positioned.

【0149】ここで、図3(A)〜(C)を用いて上記
レーザ干渉計システム76の具体的な構成について詳述
する。
Here, a specific configuration of the laser interferometer system 76 will be described in detail with reference to FIGS.

【0150】図3(A)には、レーザ干渉計システム7
6を構成する第1〜第3の干渉計76X1、76Y、7
6X2及びそれら3つの干渉計からの干渉計ビームRI
X1、RIY、RIX2が基板テーブルTBとともに平
面図にて示されている。
FIG. 3A shows a laser interferometer system 7.
First to third interferometers 76X1, 76Y, 7 that constitute 6
6X2 and interferometer beams RI from those three interferometers
X1, RIY, RIX2 are shown in plan view together with the substrate table TB.

【0151】この図3(A)からもわかるように、本実
施形態では、基板テーブルTBは平面視で正三角形状に
形成され、その3つの側面にはそれぞれ鏡面加工がなさ
れて第1〜第3の反射面60a、60b、60cが形成
されている。そして、第2の干渉計76Yは、走査方向
であるY軸方向(第1軸方向)の干渉計ビームRIYを
第2反射面60bに垂直に照射し、その反射光を受光す
ることにより、基板テーブルTBのY軸方向位置(或い
は速度)を計測するようになっている。また、第1の干
渉計76X1は、Y軸方向に対して所定角度θ1(θ1
はここでは−60°)傾斜した方向の干渉計ビームRI
X1を第1反射面60aに垂直に照射し、その反射光を
受光することにより干渉計ビームRIX1の方向である
第3軸方向の位置(或いは速度)を計測するようになっ
ている。同様に、第3の干渉計76X2は、Y軸方向に
対して所定角度θ2(θ2はここでは+60°)傾斜し
た方向の干渉計ビームRIX2を第3反射面60cに垂
直に照射し、その反射光を受光することにより干渉計ビ
ームRIX2の方向である第4軸方向の位置(或いは速
度)を計測するようになっている。
As can be seen from FIG. 3A, in the present embodiment, the substrate table TB is formed in an equilateral triangle shape in plan view, and the three side surfaces thereof are mirror-finished to form the first to the first. Three reflecting surfaces 60a, 60b, 60c are formed. Then, the second interferometer 76Y vertically irradiates the second reflection surface 60b with the interferometer beam RIY in the Y-axis direction (first axis direction) that is the scanning direction, and receives the reflected light, thereby obtaining the substrate. The position (or speed) of the table TB in the Y-axis direction is measured. Further, the first interferometer 76X1 has a predetermined angle θ1 (θ1
Here is -60 °) interferometer beam RI in a tilted direction
By irradiating X1 perpendicularly to the first reflecting surface 60a and receiving the reflected light, the position (or speed) in the third axial direction which is the direction of the interferometer beam RIX1 is measured. Similarly, the third interferometer 76X2 vertically irradiates an interferometer beam RIX2 in a direction inclined at a predetermined angle θ2 (θ2 is + 60 ° here) with respect to the Y-axis direction to the third reflecting surface 60c, and reflects the beam. By receiving the light, the position (or speed) in the fourth axis direction which is the direction of the interferometer beam RIX2 is measured.

【0152】ところで、ウエハステージWSTのXY移
動や基板テーブルTBの微動によってXY面内で生じ得
る微小回転誤差(ヨーイング成分も含む)が露光精度に
悪影響を与えることを考慮して、本実施形態ではレーザ
干渉計システム76を構成する各干渉計としては、複数
軸の干渉計が用いられている。
By the way, in consideration of the fact that a minute rotation error (including a yawing component) which can be generated in the XY plane due to the XY movement of the wafer stage WST or the fine movement of the substrate table TB has a bad influence on the exposure accuracy, this embodiment is not limited to this embodiment. As each interferometer constituting the laser interferometer system 76, a multi-axis interferometer is used.

【0153】図3(B)には、第2の干渉計76Yから
の干渉計ビームRIYが該干渉計を構成する一部の光学
系とともにより詳細に示されている。この図3(B)に
示されるように、基板テーブルTBの第2反射面60b
には、干渉計76Yから射出された平面視で見て2軸の
測長ビームである第1、第2の測長ビームRIY1 、R
IY2 が照射されている。これらの測長ビームRI
1 、RIY2 は、同一水平面上でX方向に所定距離離
れて第2反射面60bに垂直に照射されている。このら
の測長ビームRIY1 、RIY2 は、不図示の光源から
射出されて直線偏光光束として、それぞれ偏光ビームス
プリッタ62A,62Bを透過後、λ/4板64A,6
4Bを介して円偏光となり第2反射面60bを照射す
る。その戻り光は、再びλ/4板64A,64Bを透過
後最初の偏光条件と直交した直線偏光光束となり、偏光
ビームスプリッタ62A,62Bにてそれぞれ反射さ
れ、コーナーキューブ部66A,66Bに入射する。こ
こで、3面にて反射した光束は再び偏光ビームスプリッ
タ62A,62B、λ/4板64A,64Bを通過して
円偏光になって第2反射面60bに達する。そして、そ
の反射光がλ/4板64A,64Bを通過する際に最初
と同じ偏光条件の直線偏光となって偏光ビームスプリッ
タ62A,62Bを通過後、入射光束と平行に干渉計本
体側に戻るようになっている。すなわち、各測長ビーム
RIY1 、RIY2 による計測はいわゆるダブルパス構
成によって行われるようになっている。
FIG. 3B shows the interferometer beam RIY from the second interferometer 76Y in more detail together with some optical systems constituting the interferometer. As shown in FIG. 3B, the second reflection surface 60b of the substrate table TB
Include first and second measurement beams RIY 1 , R 2, which are two-axis measurement beams viewed from above, which are emitted from the interferometer 76Y.
IY 2 has been irradiated. These measurement beams RI
Y 1 and RIY 2 irradiate the second reflection surface 60b vertically on the same horizontal plane at a predetermined distance in the X direction. These measurement beams RIY 1 and RIY 2 are emitted from a light source (not shown) and transmitted as linearly polarized light beams through the polarization beam splitters 62A and 62B, respectively.
It becomes circularly polarized light via 4B and irradiates the second reflection surface 60b. The returned light is transmitted through the λ / 4 plates 64A and 64B again, becomes a linearly polarized light beam orthogonal to the first polarization condition, is reflected by the polarization beam splitters 62A and 62B, respectively, and enters the corner cube parts 66A and 66B. Here, the light beams reflected on the three surfaces again pass through the polarization beam splitters 62A and 62B and the λ / 4 plates 64A and 64B, become circularly polarized light, and reach the second reflection surface 60b. Then, when the reflected light passes through the λ / 4 plates 64A and 64B, it becomes linearly polarized light having the same polarization condition as the first, passes through the polarization beam splitters 62A and 62B, and returns to the interferometer main body in parallel with the incident light flux. It has become. That is, the measurement by each of the measurement beams RIY 1 and RIY 2 is performed by a so-called double-pass configuration.

【0154】前記戻り光束は、干渉計本体部内で不図示
の固定鏡からの参照ビームの戻り光束と重なり、それら
の重なり光束の干渉縞をカウントすることで、通常の倍
の精度で基板テーブルTBの第2反射面60bの図3
(B)中の一点鎖線で示す軸Y1 、Y2 上の位置をそれ
ぞれ独立に計測可能となっている。また、これらの測長
ビームRIY1 、RIY2 による計測値の差に基づいて
基板テーブルTBの回転を求めることができる。
The returning light beam overlaps with the returning light beam of the reference beam from a fixed mirror (not shown) in the main body of the interferometer, and the interference fringes of the overlapping light beams are counted, whereby the accuracy of the substrate table TB is doubled. FIG. 3 of the second reflecting surface 60b of FIG.
(B), the positions on the axes Y 1 and Y 2 indicated by the dashed lines can be independently measured. Further, the rotation of the substrate table TB can be obtained based on the difference between the measurement values of the measurement beams RIY 1 and RIY 2 .

【0155】しかし、回転計測ができるのみでは、特
に、本実施形態のように、基板テーブル側面を鏡面加工
して移動鏡とする構成の場合には、十分でない。このよ
うな場合には、干渉計からの測長ビームをウエハW表面
と同一高さに設定できないからである。かかる点を考慮
して、図3(C)に示されるように、第2の干渉計76
Yからは測長ビームRIY1 (又はRIY2 )の照射位
置からXY平面に直交する面方向(下向)に所定距離離
れた位置に照射される第3の測長ビームRIY3が照射
されている。従って、測長ビームRIY1 (又はRIY
2 )と測長ビームRIY3 との差に基づいて基板テーブ
ルTBのXY面に対する傾斜を求めることができる。
However, the ability to measure rotation only is not sufficient, especially in the case of a configuration in which the side surface of the substrate table is mirror-finished as a movable mirror as in this embodiment. In such a case, the measurement beam from the interferometer cannot be set at the same height as the wafer W surface. In consideration of such a point, as shown in FIG.
From Y, a third measurement beam RIY 3 is irradiated from a position irradiated by the measurement beam RIY 1 (or RIY 2 ) at a predetermined distance in a plane direction (downward) perpendicular to the XY plane. I have. Therefore, the measurement beam RIY 1 (or RIY 1
It is possible to obtain the inclination with respect to the XY plane of the substrate table TB based on the difference 2) and the measurement beam RIY 3.

【0156】かかる意味からすれば、測長ビームRIY
1 、RIY2 の照射位置からそれぞれXY平面に直交す
る面方向(下向)に所定距離離れた位置に、第3の測長
ビーム、第4の測長ビームをそれぞれ照射するようにし
ても良い。すなわち、基板テーブルTBのXY面内の回
転及びXY面内に対する傾斜を求めることができるよう
に、第2反射面60b上で、同一直線状にない少なくと
も3本の測長ビームを干渉計76Yから第2反射面60
bに照射するような構成が望ましい。また、当然なが
ら、計測精度の向上のためには、第3、第4の測長ビー
ムによる計測もいわゆるダブルパス構成であることが望
ましい。
In this sense, the measurement beam RIY
The third and fourth length measuring beams may be respectively radiated to positions separated by a predetermined distance in the plane direction (downward) perpendicular to the XY plane from the irradiation positions of 1 and RIY 2 respectively. . That is, at least three non-collinear measuring beams from the interferometer 76Y are output from the interferometer 76Y on the second reflecting surface 60b so that the rotation of the substrate table TB in the XY plane and the inclination with respect to the XY plane can be obtained. Second reflecting surface 60
It is desirable to adopt a configuration that irradiates b. In addition, it is needless to say that the measurement by the third and fourth measurement beams should also have a so-called double-pass configuration in order to improve the measurement accuracy.

【0157】その他の干渉計76X1、76X2も上記
の干渉計76Yと同様に、3本の測長ビームを第1反射
面60a、第3反射面60cに照射し、それぞれの反射
光を受光することにより第1反射面60a、第3反射面
60cの各測長ビームの照射ポイントの各測長ビーム方
向の位置をそれぞれ独立して計測するようになってい
る。図3(A)においては、干渉計76X1、76X
2、76Yからのそれぞれ3本(又は4本)の測長ビー
ムが、代表的に干渉計ビームRIX1、RIX2、RI
Yとして示されているものである。
Similarly to the above interferometer 76Y, the other interferometers 76X1 and 76X2 irradiate three measuring beams to the first reflecting surface 60a and the third reflecting surface 60c and receive the respective reflected lights. Thus, the positions of the irradiation points of the respective measurement beams on the first reflection surface 60a and the third reflection surface 60c in the respective measurement beam directions are independently measured. In FIG. 3A, the interferometers 76X1, 76X
Each of the three (or four) length measurement beams from 2, 76Y is typically interferometer beams RIX1, RIX2, RI
It is shown as Y.

【0158】この場合、図3(A)に示されるように、
正三角形状のウエハテーブルTBの各側面の反射面60
a,60b,60cに、干渉計76X1,76Y,76
X2が少なくも各3本の測長ビームからな成る干渉計光
束を垂直に照射し、各干渉計光束の対向する位置にチル
ト,Z方向を駆動するためのアクチュエータZACX
1,ZACY,ZACX2が配置され、それぞれの干渉
計により計測された対応する反射面のチルト角度に応じ
てアクチュエータZACX1,ZACY,ZACX2を
独立に制御できるため、高いチルト駆動制御応答が得ら
れる構成となっている。
In this case, as shown in FIG.
Reflecting surface 60 on each side of equilateral triangular wafer table TB
a, 60b, 60c, interferometers 76X1, 76Y, 76
X2 is an actuator ZACX for vertically irradiating an interferometer luminous flux composed of at least three length-measuring beams, and driving tilt and Z directions to opposing positions of each interferometer luminous flux.
1, ZACY, and ZACX2 are arranged, and the actuators ZACX1, ZACY, and ZACX2 can be independently controlled according to the tilt angles of the corresponding reflection surfaces measured by the respective interferometers, so that a high tilt drive control response can be obtained. Has become.

【0159】図2に戻り、基板テーブルTBの一部に
は、投影光学系PLを通して投影されるレチクルR上の
テストパターンの像やアライメントマークの像を光電検
出するための空間像検出器KESが固定されている。こ
の空間像検出器KESは、その表面がウエハWの表面の
高さ位置とほぼ同じになるように取り付けられている。
ただし実際には、基板テーブルTBをZ方向の全移動ス
トローク(例えば1mm)の中心に設定したときに、投
影光学系PLの結像面と空間像検出器KESの表面とが
合致するように設定されている。
Referring back to FIG. 2, a spatial image detector KES for photoelectrically detecting an image of a test pattern or an alignment mark on the reticle R projected through the projection optical system PL is provided in a part of the substrate table TB. Fixed. The aerial image detector KES is mounted so that the surface thereof is substantially the same as the height position of the surface of the wafer W.
However, actually, when the substrate table TB is set at the center of the entire movement stroke (for example, 1 mm) in the Z direction, the image plane of the projection optical system PL and the surface of the aerial image detector KES coincide with each other. Have been.

【0160】空間像検出器KESは、露光量計測、照度
ムラ計測、結像特性計測等に用いられるものである。こ
こで、空間像検出器KESの構成及びそれを用いた結像
特性計測について詳述する。図4には、図2中の基板テ
ーブルTB上に取り付けられた空間像検出器KESの構
成とそれに関連した信号処理系の構成が概略的に示され
ている。
The aerial image detector KES is used for measuring the amount of exposure, measuring the illuminance unevenness, measuring the imaging characteristics, and the like. Here, the configuration of the aerial image detector KES and the measurement of imaging characteristics using the same will be described in detail. FIG. 4 schematically shows a configuration of the aerial image detector KES mounted on the substrate table TB in FIG. 2 and a configuration of a signal processing system related thereto.

【0161】この図4において、空間像検出器KES
は、基板テーブルTB上のウエハWの表面とほぼ同じ高
さ(例えば±1mm程度の範囲)になるように設けられ
た遮光板140、その遮光板140の所定位置に形成さ
れた数十μm〜数百μm程度の矩形開口(ナイフエッジ
開口)141、開口141を透過した投影光学系PLか
らの結像光束を大きなNA(開口数)で入射する石英の
光パイプ142、及び光パイプ142によってほぼ損失
なく伝送される結像光束の光量を光電検出する半導体受
光素子(シリコンフォトダイオード、PINフォトダイ
オード等)143を備えている。
In FIG. 4, aerial image detector KES
Is a light-shielding plate 140 provided so as to have substantially the same height (for example, in the range of about ± 1 mm) as the surface of the wafer W on the substrate table TB, and several tens of μm formed at a predetermined position on the light-shielding plate 140. A rectangular aperture (knife-edge aperture) 141 having a diameter of about several hundred μm, an imaging light flux transmitted from the projection optical system PL through the aperture 141 and having a large NA (numerical aperture) are incident by a quartz light pipe 142 and a light pipe 142. A semiconductor light receiving element (silicon photodiode, PIN photodiode, or the like) 143 that photoelectrically detects the amount of an image forming light beam transmitted without loss is provided.

【0162】本実施形態の如く、露光用照明光をエキシ
マレーザ光源11から得る場合、空間像検出器KESの
受光素子143からの光電信号は、エキシマレーザ光源
11のパルス発光に応答したパルス波形となる。すなわ
ち、投影光学系PLの物体面に設置された不図示のテス
トレチクル上のある物点からの像光路をMLeとする
と、その像光路MLeが空間像検出器KESの矩形開口
141に合致するように基板テーブルTB(即ちウエハ
ステージWST)をX,Y方向に位置決めした状態で、
図2中のエキシマレーザ光源11をパルス発光させる
と、受光素子143からの光電信号も時間幅が10〜2
0ns程度のパルス波形となる。
When the illumination light for exposure is obtained from the excimer laser light source 11 as in this embodiment, the photoelectric signal from the light receiving element 143 of the aerial image detector KES has a pulse waveform corresponding to the pulse emission of the excimer laser light source 11. Become. That is, assuming that an image optical path from a certain object point on a test reticle (not shown) installed on the object plane of the projection optical system PL is MLe, the image optical path MLe matches the rectangular aperture 141 of the aerial image detector KES. With the substrate table TB (ie, wafer stage WST) positioned in the X and Y directions,
When the excimer laser light source 11 in FIG. 2 emits pulses, the photoelectric signal from the light receiving element 143 also has a time width of 10 to 2.
It has a pulse waveform of about 0 ns.

【0163】これを考慮して、本実施形態では、空間像
検出器KESの信号処理系内に、受光素子143からの
光電信号を入力し増幅するとともに、前述したレーザ干
渉計システム76のレシーバ76Eで作られる10nm
毎の計数用パルス信号に応答してサンプル動作とホール
ド動作とを交互に行うサンプルホールド回路(以下、
「S/H回路」という)150Aが設けられている。こ
の他、上記信号処理系内には、S/H回路150Aの出
力をデジタル値に変換するA−D変換器152Aと、そ
のデジタル値を記憶する波形メモリ回路(RAM)15
3Aと、波形解析用コンピュータ154とを備えてい
る。また、この場合、RAM153Aのアドレスカウン
タとしてレーザ干渉計システム76から送られてくる1
0nm毎の計数用パルス信号を計数するアップダウンカ
ウンタ151が設けられている。
In consideration of this, in the present embodiment, the photoelectric signal from the light receiving element 143 is input and amplified into the signal processing system of the aerial image detector KES, and the receiver 76E of the laser interferometer system 76 is described. 10nm made of
A sample-and-hold circuit (hereinafter, referred to as a sample-and-hold circuit) that alternately performs a sample operation and a hold operation in response to a counting pulse signal for each
An “S / H circuit” 150A is provided. In addition, the signal processing system includes an A / D converter 152A for converting the output of the S / H circuit 150A into a digital value, and a waveform memory circuit (RAM) 15 for storing the digital value.
3A and a computer 154 for waveform analysis. Also, in this case, 1 sent from the laser interferometer system 76 as an address counter of the RAM 153A.
An up-down counter 151 that counts a pulse signal for counting every 0 nm is provided.

【0164】本実施形態では、エキシマレーザ光源11
の制御用コンピュータ11B(図2参照)は、レーザ干
渉計システム76からの計測値に基づきウエハステージ
コントローラ78で演算され、後述する同期制御系8
0、主制御装置50に送られる座標位置情報に応じてパ
ルス発光のトリガを行う。すなわち、本実施形態ではエ
キシマレーザ光源11のパルス発光が基板テーブルTB
の座標位置に応じて行われ、そのパルス発光に同期して
S/H回路150Aが受光素子143からのパルス信号
波形のピーク値をホールドするようになっている。そし
て、このS/H回路150Aでホールドされたピーク値
は、A−D変換器152Aによってデジタル値に変換さ
れ、そのデジタル値は波形メモリ回路(RAM)153
Aに記憶される。RAM153Aの記憶動作時の番地
(アドレス)は、前記アップダウンカウンタ151によ
って作られ、基板テーブルTBの位置とRAM153A
の記憶動作時の番地(アドレス)とが一義的に対応付け
られる。
In this embodiment, the excimer laser light source 11
The control computer 11B (see FIG. 2) is operated by the wafer stage controller 78 based on the measurement values from the laser interferometer system 76,
0, triggering pulse emission according to the coordinate position information sent to main controller 50. That is, in the present embodiment, the pulse emission of the excimer laser light source 11 is
The S / H circuit 150A holds the peak value of the pulse signal waveform from the light receiving element 143 in synchronization with the pulse emission. The peak value held by the S / H circuit 150A is converted into a digital value by an A / D converter 152A, and the digital value is stored in a waveform memory circuit (RAM) 153.
A is stored. The address (address) at the time of the storage operation of the RAM 153A is generated by the up / down counter 151, and the position of the substrate table TB and the RAM 153A are stored.
Is uniquely associated with the address at the time of the storage operation.

【0165】ところで、エキシマレーザ光源11からの
パルス光のピーク強度は各パルス毎に数%程度の変動が
ある。そこで、その変動による像計測精度の劣化を防止
するために、本実施形態の信号処理回路内には、図4に
示されるように、前述した照明光学系内に設けられた強
度検出用の光電検出器(インテグレータセンサ)46か
らの光電信号(パルス波形)が入力されるS/H回路1
50B(これは前記SH回路150Aと同様の機能を有
する)、該S/H回路150Bの出力をデジタル値に変
換するA−D変換器152Bと、そのデジタル値を記憶
する波形メモリ回路(RAM)153B(記憶動作時の
アドレス生成はRAM153Aと共通)とが設けられて
いる。
By the way, the peak intensity of the pulse light from the excimer laser light source 11 fluctuates by about several% for each pulse. Therefore, in order to prevent the image measurement accuracy from deteriorating due to the fluctuation, as shown in FIG. 4, the signal for the intensity detection provided in the illumination optical system is provided in the signal processing circuit of the present embodiment. S / H circuit 1 to which a photoelectric signal (pulse waveform) from detector (integrator sensor) 46 is input
50B (which has the same function as the SH circuit 150A), an A / D converter 152B for converting the output of the S / H circuit 150B into a digital value, and a waveform memory circuit (RAM) for storing the digital value 153B (the address generation during the storage operation is common to the RAM 153A).

【0166】これによって基板テーブルTBの位置とR
AM153Bの記憶動作時の番地(アドレス)とが一義
的に対応付けられた状態で、エキシマレーザ光源11か
らの各パルス光のピーク強度がRAM153Bに記憶さ
れる。
Thus, the position of the substrate table TB and R
The peak intensity of each pulse light from the excimer laser light source 11 is stored in the RAM 153B in a state where the address (address) at the time of the storage operation of the AM 153B is uniquely associated.

【0167】以上のようにして各RAM153A,15
3Bに記憶されたデジタル波形は波形解析用のコンピュ
ータ(CPU)154に読み込まれ、RAM153Aに
記憶された像強度に応じた計測波形がRAM153Bに
記憶された照明パルス光の強度ゆらぎ波形で規格化(除
算)される。規格化された計測波形は波形解析用コンピ
ュータ154内のメモリに一時的に保持されるととも
に、計測すべき像強度の中心位置が各種の波形処理プロ
グラムによって求められる。
As described above, each of the RAMs 153A, 153A
The digital waveform stored in 3B is read into a waveform analysis computer (CPU) 154, and a measurement waveform corresponding to the image intensity stored in RAM 153A is normalized by the intensity fluctuation waveform of the illumination pulse light stored in RAM 153B ( Division). The standardized measurement waveform is temporarily stored in a memory in the waveform analysis computer 154, and the center position of the image intensity to be measured is obtained by various waveform processing programs.

【0168】本実施形態では、空間像検出器KESの開
口141のエッジを使ってテストレチクル上のテストパ
ターン像を検出するので、波形解析用コンピュータ15
4によって解析される像の中心位置は、テストパターン
像の中心と開口141のエッジとがXY面内で合致する
場合にレーザ干渉計システム76によって計測される基
板テーブルTB(ウエハステージ14)の座標位置とし
て求まる。
In this embodiment, the test pattern image on the test reticle is detected using the edge of the opening 141 of the aerial image detector KES.
4 is the coordinates of the substrate table TB (wafer stage 14) measured by the laser interferometer system 76 when the center of the test pattern image coincides with the edge of the opening 141 in the XY plane. Determined as position.

【0169】こうして解析されたテストパターン像の中
心位置の情報は主制御装置50に送られ、主制御装置5
0はテストレチクル上の複数点(例えば理想格子点)に
形成されたテストパターンの各投影像の位置を順次計測
するための動作を、エキシマレーザ光源11の制御用コ
ンピュータ11B、ウエハステージコントローラ78、
及び波形解析用コンピュータ154に指示する。
The information on the center position of the test pattern image analyzed in this way is sent to main controller 50, where main controller 5
Reference numeral 0 denotes an operation for sequentially measuring the position of each projected image of a test pattern formed at a plurality of points (eg, ideal lattice points) on the test reticle. The computer 11B for controlling the excimer laser light source 11, the wafer stage controller 78,
And instruct the computer 154 for waveform analysis.

【0170】上記のようにして、空間像検出器KESに
よって投影光学系PLの結像性能や照明光学系の照明特
性を計測し、その計測結果に基づいて図2中に示した各
種の光学要素や機構を調整することができる。
As described above, the imaging performance of the projection optical system PL and the illumination characteristics of the illumination optical system are measured by the aerial image detector KES, and various optical elements shown in FIG. And mechanism can be adjusted.

【0171】更に、本実施形態の基板テーブルTB上に
は、その表面がウエハWの表面の高さ位置とほぼ同じに
なるようにされた基準マーク板FMが設けられている
(図5(A)参照)。この基準マーク板FMの表面には
後述する各種アライメント系によって検出可能な基準マ
ークが形成され、それらの基準マークは、各アライメン
ト系の検出中心点のチェック(キャリブレーション)、
それら検出中心点間のベースライン長の計測、レチクル
Rのウエハ座標系に対する位置チェック、又はレチクル
Rのパターン面と共役な最良結像面のZ方向の位置チェ
ック等のために使われる。なお、上記基準マークは、前
述したKESの表面に形成すれば、同一基準板により
X,Y,Zチルト方向のキャリブレーションが可能とな
るので、各基準板に対応した累積誤差を軽減することが
できる。
Further, on the substrate table TB of the present embodiment, there is provided a reference mark plate FM whose surface is substantially the same as the height position of the surface of the wafer W (FIG. 5A )reference). On the surface of the fiducial mark plate FM, fiducial marks that can be detected by various alignment systems described later are formed, and these fiducial marks are used to check (calibrate) the detection center point of each alignment system.
It is used for measuring the baseline length between the detection center points, checking the position of the reticle R with respect to the wafer coordinate system, or checking the position in the Z direction of the best imaging plane conjugate to the pattern surface of the reticle R. If the reference marks are formed on the surface of the above-described KES, calibration in the X, Y, and Z tilt directions can be performed using the same reference plate, so that the accumulated error corresponding to each reference plate can be reduced. it can.

【0172】図1に示されるウエハ搬送ロボット19
は、不図示のウエハ載置部からウエハステージWSTま
でウエハWを搬送するウエハ搬送系の一部を構成するも
ので、所定のローディング位置(受渡し位置)に移動し
てきたウエハステージWSTのホルダとの間でウエハW
の受け渡しを行うロボットアーム(ウエハロード/アン
ロードアーム)21を備えている。
The wafer transfer robot 19 shown in FIG.
Is a part of a wafer transfer system that transfers a wafer W from a wafer mounting portion (not shown) to a wafer stage WST. Wafer W between
Arm (wafer load / unload arm) 21 for transferring the robot.

【0173】本実施形態の走査型露光装置10では、ア
ライメント系として、投影光学系PLを介さないでウエ
ハW上の各ショット領域毎に形成されたアライメントマ
ークや、基準マーク板FM上の基準マークを光学的に検
出するオフアクシス・アライメントセンサ(アライメン
ト光学系)が用いられている。このアライメント光学系
ALGは、図2に示されるように、投影光学系PLの側
方に配置されている。このアライメント光学系は、ウエ
ハW上のレジスト層に対して非感光性の照明光(一様照
明又はスポット照明)を対物レンズを通して照射し、ア
ライメントマークや基準マークからの反射光を対物レン
ズを介して光電的に検出する。光電検出されたマーク検
出信号は、信号処理回路68に入力されるが、この信号
処理回路68には、ウエハステージコントローラ78、
同期制御系80及び主制御装置50を介してレーザ干渉
計システム76の計測値が入力されている。そして、信
号処理回路68は、上記の光電検出されたマーク検出信
号を所定のアルゴリズムの下で波形処理し、この処理結
果とレーザ干渉計システム76の計測値とに基づいて、
マークの中心がアライメント光学系ALG内の検出中心
(指標マーク、撮像面上の基準画素、受光スリット、或
いはスポット光等)と合致するようなウエハステージW
STの座標位置(ショットアライメント位置)、或いは
検出中心に対するウエハマーク、基準マークの位置ずれ
量を求めるようになっている。その求められたアライメ
ント位置または位置ずれ量の情報は、主制御装置50に
送られ、ウエハステージWSTのアライメント時の位置
決め、ウエハW上の各ショット領域に対する走査露光の
開始位置の設定等に使われる。
In the scanning type exposure apparatus 10 of this embodiment, as an alignment system, an alignment mark formed for each shot area on the wafer W without passing through the projection optical system PL, or a reference mark on the reference mark plate FM. An off-axis alignment sensor (alignment optical system) that optically detects the light is used. This alignment optical system ALG is arranged on the side of the projection optical system PL, as shown in FIG. The alignment optical system irradiates the resist layer on the wafer W with non-photosensitive illumination light (uniform illumination or spot illumination) through an objective lens, and reflects reflected light from an alignment mark or a reference mark through the objective lens. To detect photoelectrically. The mark detection signal photoelectrically detected is input to a signal processing circuit 68, which includes a wafer stage controller 78,
The measurement value of the laser interferometer system 76 is input via the synchronous control system 80 and the main controller 50. Then, the signal processing circuit 68 performs waveform processing on the above-described photoelectrically detected mark detection signal under a predetermined algorithm, and based on the processing result and the measurement value of the laser interferometer system 76,
Wafer stage W such that the center of the mark coincides with the detection center (index mark, reference pixel on image pickup surface, light receiving slit, spot light, etc.) in alignment optical system ALG.
The position shift amount of the wafer mark and the reference mark with respect to the ST coordinate position (shot alignment position) or the detection center is obtained. Information on the obtained alignment position or positional deviation amount is sent to main controller 50, and is used for positioning at the time of alignment of wafer stage WST, setting of a scanning exposure start position for each shot area on wafer W, and the like. .

【0174】さらに、本実施形態の走査型露光装置10
では、レチクルステージRSTとウエハステージWST
とを同期移動させるための同期制御系80が、制御系内
に設けられている。この同期制御系80は、特に走査露
光時に、レチクルステージRSTとウエハステージWS
Tとを同期移動させる際に、レチクルステージコントロ
ーラ33による駆動系29の制御とウエハステージコン
トローラ78による駆動系48の制御とを相互に連動さ
せるために、レチクルレーザ干渉計30、干渉計システ
ム76で計測されるレチクルRとウエハWの各位置や各
速度の状態をリアルタイムにモニタし、それらの相互の
関係が所定のものとなるように管理する。その同期制御
系80は、主制御装置50からの各種のコマンドやパラ
メータによって制御される。
Further, the scanning exposure apparatus 10 of the present embodiment
Then, reticle stage RST and wafer stage WST
And a synchronous control system 80 for synchronously moving the control signal and the control signal are provided in the control system. The synchronization control system 80 is used to control the reticle stage RST and the wafer stage WS, especially during scanning exposure.
When synchronizing the movement of T with the reticle stage controller 33, the control of the drive system 29 by the reticle stage controller 33 and the control of the drive system 48 by the wafer stage controller 78 are interlocked with each other. The positions and speeds of the reticle R and the wafer W to be measured are monitored in real time, and managed so that their mutual relationship becomes a predetermined one. The synchronous control system 80 is controlled by various commands and parameters from the main controller 50.

【0175】さらに、本実施形態の走査型露光装置10
では、図1及び図2では図示を省略したが、実際には、
走査露光の際に質量RmのレチクルステージRSTの等
速移動の前後のプリスキャン時、オーバースキャン時に
発生する加減速度Arに応じて、質量Rmのレチクルス
テージRSTからレチクルベース定盤28に作用する反
力−Ar×Rmが脚部26を介して架台部16に伝わら
ないようにするために、リアクションアクチュエータ7
4が設けられている。
Further, the scanning exposure apparatus 10 of the present embodiment
Although illustration is omitted in FIGS. 1 and 2, actually,
During scanning exposure, the reticle stage RST having the mass Rm acts on the reticle base platen 28 from the reticle stage RST having the mass Rm in accordance with the acceleration / deceleration Ar generated during prescanning before and after the constant speed movement of the reticle stage RST during overscanning. In order to prevent force-Ar × Rm from being transmitted to the gantry 16 via the leg 26, the reaction actuator 7
4 are provided.

【0176】このリアクションアクチュエータ74は、
図6に示されるように、架台部16を支えるベース板B
Sに対し弾性体70でラフに位置決めされたリアクショ
ンフレーム72によって支持されており、レチクルステ
ージRST,レチクルベース定盤28等の重量によって
決定される重心部とほぼ同じ高さに配置されている。
The reaction actuator 74
As shown in FIG. 6, a base plate B supporting the gantry 16
S is supported by a reaction frame 72 roughly positioned by an elastic body 70 with respect to S, and is disposed at substantially the same height as the center of gravity determined by the weight of the reticle stage RST, the reticle base surface plate 28, and the like.

【0177】リアクションアクチュエータ74として
は、実際には、左右一対のリアクションアクチュエータ
74L、74Rが設けられているが、図6ではこれらが
代表的にリアクションアクチュエータ74として示され
ている。このリアクションアクチュエータ74は、レチ
クルステージRSTの加減速時に、上記重心の横シフト
及び回転をキャンセルするように反力と反対の力をレチ
クルベース定盤28に与えるように、不図示の制御装置
により制御されるようになっており、これによりレチク
ル加減速時の振動が脚部26を介して架台部16に伝わ
らないようになっている。
In practice, a pair of left and right reaction actuators 74L and 74R are provided as the reaction actuator 74, but these are representatively shown as the reaction actuator 74 in FIG. The reaction actuator 74 is controlled by a control device (not shown) so as to apply a force opposite to the reaction force to the reticle base platen 28 so as to cancel the lateral shift and rotation of the center of gravity when the reticle stage RST is accelerated or decelerated. As a result, vibration during reticle acceleration / deceleration is not transmitted to the gantry 16 via the leg 26.

【0178】このようなリアクションアクチュエータ
は、送りねじ方式のレチクルステージを用いる場合よ
り、リニアモータあるいは2次元磁気浮上型リニアアク
チュエータ等を用いる場合に、その必要性及び効果が高
いものと言える。
It can be said that such a reaction actuator has a higher necessity and effect when a linear motor or a two-dimensional magnetic levitation linear actuator is used than when a reticle stage of a feed screw system is used.

【0179】次に、図1に示される制御ラックの構成に
ついて説明する。
Next, the configuration of the control rack shown in FIG. 1 will be described.

【0180】制御ラック14は、エキシマレーザ光源1
1及び露光装置本体12各部のユニット(照明光学系1
8、レチクルステージRST、ウエハステージWST、
搬送ロボット19等)の各々を個別に制御する分散型シ
ステムとして構築され、エキシマレーザ光源11を含む
各ユニット制御用のプロセッサ・ボードの複数を収納す
るプロセッサ・ボード・ラック部104、各プロセッサ
・ボードを統括的に制御する主制御装置(ミニコンピュ
ータ)50(図2参照)を収納するラック部、そしてオ
ペレータとのマン・マシン・インターフェイス用の操作
パネル106、及びディスプレイ108等を収納するラ
ック部等を積み重ねたシングル・ラック構成となってい
る。この制御ラック14によってエキシマレーザ光源1
1及び露光装置本体12の全体的な動作が管理される。
The control rack 14 includes the excimer laser light source 1
1 and each unit of the exposure apparatus main body 12 (the illumination optical system 1).
8, reticle stage RST, wafer stage WST,
A processor board rack unit 104 for storing a plurality of processor boards for controlling each unit including the excimer laser light source 11, each processor board being constructed as a distributed system for individually controlling each of the transfer robots 19 and the like. That houses a main controller (minicomputer) 50 (see FIG. 2) that controls the entire system, an operation panel 106 for man-machine interface with an operator, and a rack that houses a display 108, etc. And a single rack configuration. The excimer laser light source 1 is controlled by the control rack 14.
1 and the overall operation of the exposure apparatus body 12 are managed.

【0181】プロセッサ・ボード・ラック部104内の
各プロセッサ・ボードにはマイクロプロセッサ等のユニ
ット側コンピュータが設けられ、これらのユニット側コ
ンピュータが主制御装置(ミニコンピュータ)50と連
携することによって複数枚のウエハの一連の露光処理が
実行される。
Each processor board in the processor board rack section 104 is provided with a unit computer such as a microprocessor. A series of exposure processing of the wafer is executed.

【0182】その一連の露光処理の全体的なシーケンス
は主制御装置50内の不図示のメモリに記憶された所定
のプロセスプログラムに従って統括制御される。
The overall sequence of the series of exposure processing is totally controlled according to a predetermined process program stored in a memory (not shown) in main controller 50.

【0183】プロセスプログラムはオペレータが作成し
た露光処理ファイル名のもとに、露光すべきウエハに関
する情報(処理枚数、ショットサイズ、ショット配列デ
ータ、アライメントマーク配置データ、アライメント条
件等)、使用するレチクルに関する情報(パターンの種
別データ、各マークの配置データ、回路パターン領域の
サイズ等)、そして露光条件に関する情報(露光量、フ
ォーカスオフセット量、走査速度のオフセット量、投影
倍率オフセット量、各種の収差や像歪みの補正量、照明
系のσ値や照明光NA等の設定、投影光学系のNA値設
定等)をパラメータ群のパッケージとして記憶するもの
である。
The process program is based on the exposure processing file name created by the operator, information on the wafer to be exposed (number of processed wafers, shot size, shot array data, alignment mark arrangement data, alignment conditions, etc.), and the reticle to be used. Information (pattern type data, arrangement data of each mark, size of circuit pattern area, etc.) and information on exposure conditions (exposure amount, focus offset amount, scanning speed offset amount, projection magnification offset amount, various aberrations and images The distortion correction amount, the setting of the σ value of the illumination system and the illumination light NA, the setting of the NA value of the projection optical system, etc.) are stored as a package of parameter groups.

【0184】主制御装置50は、実行指示されたプロセ
スプログラムを解読してウエハの露光処理に必要な各構
成要素の動作を、対応するユニット側コンピュータにコ
マンドとして次々に指令していく。このとき、各ユニッ
ト側コンピュータは1つのコマンドを正常終了すると、
その旨のステータスを主制御装置50に送出し、これを
受けた主制御装置50はユニット側コンピュータに対し
て次のコマンドを送る。
Main controller 50 decodes the process program instructed to be executed, and instructs the operation of each component necessary for wafer exposure processing to the corresponding unit-side computer as a command one after another. At this time, when each unit computer completes one command normally,
The status to that effect is sent to the main controller 50, and the main controller 50 receiving the status sends the next command to the unit-side computer.

【0185】このような一連の動作のなかで、例えば、
ウエハ交換のコマンドが主制御装置50から送出される
と、ウエハステージWSTの制御ユニットであるウエハ
ステージコントローラ78と、ウエハ搬送ロボット19
の制御ユニットとが協同して、ウエハステージWSTと
アーム21(ウエハW)とは図1のような位置関係に設
定される。
In such a series of operations, for example,
When a wafer exchange command is sent from main controller 50, wafer stage controller 78, which is a control unit for wafer stage WST, and wafer transfer robot 19
The wafer stage WST and the arm 21 (wafer W) are set in a positional relationship as shown in FIG.

【0186】さらに主制御装置50内のメモリには、複
数のユーティリティソフトウェアが格納されている。そ
のソフトウェアの代表的なものは、投影光学系や照明
光学系の光学特性を自動的に計測し、投影像の質(ディ
ストーション特性、アス・コマ特性、テレセン特性、照
明開口数特性等)を評価するための計測プログラム、
評価された投影像の質に応じた各種の補正処理を実施す
るための補正プログラムの2種類である。
Further, a plurality of utility software are stored in a memory in main controller 50. The representative software automatically measures the optical characteristics of the projection optical system and illumination optical system and evaluates the quality of the projected image (distortion characteristics, ascoma characteristics, telecentric characteristics, illumination numerical aperture characteristics, etc.) Measurement program for
There are two types of correction programs for performing various correction processes according to the quality of the evaluated projected image.

【0187】次に、可動型定盤38の役割及びその制御
方法等について、図5(A)、(B)を参照しつつ説明
する。図5(A)には、定盤22付近の概略平面図が示
され、図5(B)には図5(A)の矢印A方向から見た
概略正面図が示されている。図5(A)では、ウエハス
テージWSTが矢印Bの距離だけ移動した時の加減速に
よる可動型定盤38への反力による可動型定盤38の移
動距離が矢印Cにて示されている。
Next, the role of the movable platen 38 and its control method will be described with reference to FIGS. FIG. 5A shows a schematic plan view of the vicinity of the surface plate 22, and FIG. 5B shows a schematic front view seen from the direction of arrow A in FIG. 5A. In FIG. 5A, arrow C indicates the moving distance of movable surface plate 38 due to the reaction force on movable surface plate 38 due to acceleration / deceleration when wafer stage WST moves by the distance indicated by arrow B. .

【0188】可動型定盤38の上面には、ウエハステー
ジWSTの下面(底面)に設けられた不図示の永久磁石
とともに平面磁気浮上型リニアアクチュエータ42を構
成する複数のコイル(図示省略)がXY2次元方向に張
り巡らされている。そして、ウエハステージWSTは、
平面磁気浮上型リニアアクチュエータ42によって可動
型定盤38の上方に浮上支持されるととももに、前記コ
イルに流す電流を制御することにより任意の2次元方向
に駆動される構成となっている。
A plurality of coils (not shown) constituting a planar magnetic levitation linear actuator 42 together with a permanent magnet (not shown) provided on the lower surface (bottom surface) of the wafer stage WST are provided on the upper surface of the movable platen 38 in XY2. It is stretched in the dimension direction. And, the wafer stage WST is
In addition to being levitated above the movable platen 38 by the planar magnetic levitation linear actuator 42, the coil is controlled in an arbitrary two-dimensional direction by controlling the current flowing through the coil.

【0189】同様に、定盤22の上面には、可動型定盤
38の下面(底面)に設けられた不図示の永久磁石とと
もに平面磁気浮上型リニアアクチュエータ44を構成す
る複数のコイル(図示省略)がXY2次元方向に張り巡
らされている。そして、可動型定盤38は、平面磁気浮
上型リニアアクチュエータ44によって定盤22の上方
に浮上支持されるととももに、前記コイルに流す電流を
制御することにより任意の2次元方向に駆動される構成
となっている。
Similarly, a plurality of coils (not shown) constituting the planar magnetic levitation linear actuator 44 together with a permanent magnet (not shown) provided on the lower surface (bottom surface) of the movable surface plate 38 are provided on the upper surface of the surface plate 22. ) Are stretched in the XY two-dimensional directions. The movable surface plate 38 is levitated above the surface plate 22 by the planar magnetic levitation linear actuator 44, and is driven in an arbitrary two-dimensional direction by controlling the current flowing through the coil. Configuration.

【0190】この場合、ウエハステージWSTと可動型
定盤38、可動型定盤38と定盤22とは、それぞれ非
接触のため、それぞれの間の摩擦が非常に小さくなって
いる結果、ウエハステージWST、可動型定盤38を含
む系全体として運動量保存則が成立する。
In this case, since the wafer stage WST and the movable surface plate 38 and the movable surface plate 38 and the surface plate 22 are not in contact with each other, the friction therebetween is extremely small. The momentum conservation law is established for the whole system including the WST and the movable surface plate 38.

【0191】従って、ウエハステージWSTの質量を
m、可動型定盤38の質量をMとし、ウエハステージW
STが可動型定盤38に対し速度vで移動すると、運動
量保存則から可動型定盤38は、これと反対方向にV=
mv/(M+m)の速度で定盤12に対し移動すること
となる。しかるに、加速度は速度の時間微分であるか
ら、ウエハステージWSTが加速度aで移動した場合
(力F=maが作用した場合)、可動型定盤38は力F
の反力により逆方向にA=ma/(M+m)の加速度を
受けることとなる。
Therefore, when the mass of wafer stage WST is m and the mass of movable platen 38 is M, wafer stage WST
When the ST moves at a speed v with respect to the movable platen 38, the movable platen 38 moves in the opposite direction to V =
It moves with respect to the surface plate 12 at a speed of mv / (M + m). However, since the acceleration is the time derivative of the velocity, when the wafer stage WST moves at the acceleration a (when the force F = ma is applied), the movable platen 38 applies the force F
, An acceleration of A = ma / (M + m) is received in the opposite direction.

【0192】この場合、ウエハステージWSTは可動型
定盤38上に載っているので、該ウエハステージWST
は、定盤22に対しv×(1−m/(M+m))の速
度、従ってa×(1−m/(M+m))の加速度で移動
する。このため、ウエハステージWSTの質量m(重量
mg)と可動型定盤38の質量M(重量Mg)とが近い
と所望のウエハステージWSTの加速度、最高速度を得
られなくなる。また、移動距離は速度に比例するため、
可動型定盤38の移動量が大きくなり、フットプリント
が悪化することとなる。
In this case, since wafer stage WST is mounted on movable platen 38, wafer stage WST
Moves at a speed of v × (1-m / (M + m)) with respect to the surface plate 22, and therefore, at an acceleration of a × (1-m / (M + m)). Therefore, if the mass m (weight mg) of the wafer stage WST is close to the mass M (weight Mg) of the movable platen 38, it is not possible to obtain the desired acceleration and maximum speed of the wafer stage WST. Also, since the travel distance is proportional to the speed,
The moving amount of the movable platen 38 increases, and the footprint deteriorates.

【0193】例えば、m:M=1:4とすると、12イ
ンチウエハ全面露光のために300mmウエハステージ
WSTを移動したい場合、前記式V=mv/(M+m)
より、300mmの1/5である60mm分の可動型定
盤38のストロークを確保することが必要になる。
For example, assuming that m: M = 1: 4, if it is desired to move the 300 mm wafer stage WST for the entire 12-inch wafer exposure, the above equation V = mv / (M + m)
Therefore, it is necessary to secure a stroke of the movable platen 38 for 60 mm, which is 1/5 of 300 mm.

【0194】そこで、本実施形態では、ウエハステージ
加速度、最高速度、フットプリントの悪化を1桁以下に
抑えるため、ウエハステージWSTの質量mと可動型定
盤38の質量Mの比がm:M=1:9以下になるよう
に、すなわちウエハステージWSTの重量が可動型定盤
38の重量の1/9以下になるように設定している。
Therefore, in this embodiment, the ratio of the mass m of the wafer stage WST to the mass M of the movable platen 38 is m: M in order to suppress the deterioration of the wafer stage acceleration, the maximum speed, and the footprint to one digit or less. = 1: 9 or less, that is, the weight of the wafer stage WST is set to be 1/9 or less of the weight of the movable platen 38.

【0195】また、可動型定盤38の必要ストロークを
小さくするために、ウエハステージコントローラ78で
は、可動型定盤38駆動用の平面磁気浮上型リニアアク
チュエータ44に対する制御応答を露光,アライメント
時とその他の時とで可変するようにしている。
In order to reduce the required stroke of the movable platen 38, the wafer stage controller 78 determines the control response to the planar magnetic levitation linear actuator 44 for driving the movable platen 38 during exposure, alignment, and the like. It is made to be variable at the time of.

【0196】これを更に詳述する。露光の際は、ウエハ
ステージWSTとレチクルステージRSTが同期して移
動するが、可動型定盤38駆動用の平面磁気浮上型リニ
アアクチュエータ44の制御応答を数Hzにて制御すれ
ば、数十Hzで制御されるウエハステージWST駆動用
の平面磁気浮上型リニアアクチュエータ42の可動型定
盤38に対する反力には殆ど追従できず、運動量保存則
から可動型定盤38が自由に運動してその反力を吸収し
てしまい、その反力の影響が外部に及ばない。
This will be described in more detail. At the time of exposure, the wafer stage WST and the reticle stage RST move synchronously. However, if the control response of the planar magnetic levitation linear actuator 44 for driving the movable platen 38 is controlled at several Hz, it will be several tens of Hz. Can hardly follow the reaction force of the planar magnetic levitation linear actuator 42 for driving the wafer stage WST controlled by the movable surface plate 38, and the movable surface plate 38 moves freely according to the law of conservation of momentum. The force is absorbed, and the effect of the reaction does not reach the outside.

【0197】また、ウエハステージコントローラ78で
は、レチクルステージRSTの位置やウエハステージW
STの位置の変化にて露光装置本体12が全体的に傾い
た場合に、平面磁気浮上型リニアアクチュエータ44の
制御応答を数Hzにて制御することにより、その傾き方
向に可動型定盤38が移動する低周波位置ずれを防止す
るようになっている。
In wafer stage controller 78, position of reticle stage RST and wafer stage W
When the exposure apparatus main body 12 is tilted as a whole due to the change in the position of ST, the control response of the planar magnetic levitation linear actuator 44 is controlled at several Hz, so that the movable platen 38 moves in the tilt direction. The moving low-frequency position shift is prevented.

【0198】また、m:M=1:9に設定しても、ウエ
ハステージWSTが300mmフルに移動すれば、可動
型定盤38も30mm程度反対方向に動くが、ショット
露光間の非スキャン方向ステッピング長はせいぜい30
mm程度なので、その時の可動型定盤38の移動は3m
m程度である。そこで、本実施形態では、ウエハステー
ジコントローラ78が、スキャン露光後の同期制御性能
に影響を及ぼさないウエハステージ減速時(非スキャン
方向ステッピング加速時)に可動型定盤38駆動用の平
面磁気浮上型リニアアクチュエータ44のステッピング
と同方向応答周波数を数十Hzに上げ、可動型定盤38
の定盤22に対するXY方向の相対移動の位置を検出す
る位置計測装置としてのリニアエンコーダ45(図5
(B)参照)を用いたフィードバック制御により、可動
型定盤38がステッピング前の元の位置に戻るように制
御するようになっている。これにより、可動型定盤38
の移動量を小さくすることが可能となり(図5(A)中
の仮想線38’参照)、更に、その間可動型定盤38と
定盤22が固定状態と考えることができるので、ウエハ
加速度、最高速度も10%向上させることができる。
Even if m: M = 1: 9, if the wafer stage WST moves 300 mm full, the movable platen 38 also moves in the opposite direction by about 30 mm. Stepping length is at most 30
mm, so the movable platen 38 moves 3m at that time.
m. Therefore, in the present embodiment, the wafer stage controller 78 operates the planar magnetic levitation type for driving the movable platen 38 during deceleration of the wafer stage (at the time of non-scanning stepping acceleration) which does not affect the synchronization control performance after scan exposure. The response frequency in the same direction as the stepping of the linear actuator 44 is increased to several tens Hz, and the movable surface plate 38 is increased.
The linear encoder 45 (FIG. 5) as a position measuring device for detecting the position of the relative movement of the
(B), the movable platen 38 is controlled to return to the original position before stepping. Thereby, the movable platen 38
5A can be reduced (see the phantom line 38 'in FIG. 5A). In addition, since the movable platen 38 and the platen 22 can be considered to be in a fixed state, the wafer acceleration, The maximum speed can also be improved by 10%.

【0199】このような制御方法は、それ以外のアライ
メント間でのウエハステージWSTの移動や、ウエハを
交換する際のローディング位置への移動時にも同様に適
用することができる。
Such a control method can be similarly applied to the movement of wafer stage WST between other alignments and the movement to the loading position when exchanging wafers.

【0200】また、架台部16を支持する防振装置20
には、床振動等の高周波振動防止のためのエアパット及
び、それに伴う低周波振動除去のためのリニアアクチュ
エータが搭載されているが、レチクルステージRST、
ウエハステージWSTの位置により僅かに装置が傾くこ
とがある。この場合、防振装置20を構成する前記リニ
アアクチュエータに所定の電圧をかけ続けて傾きを修正
する必要があるが、常時アクチュエータに負荷をかける
ので、アクチュエータ等の部品の寿命を縮めることにな
る。このような場合に、本実施形態では、ウエハステー
ジコントローラ78が上記の如くして可動型定盤38を
所定量移動させて、装置全体の重心を強制することで、
装置傾きを修正し、リニアアクチュエータに負荷がかか
らないようにすることができ、アクチュエータ等の部品
の寿命を延ばすことが可能になる。
The vibration isolator 20 supporting the gantry 16
Has an air pad for preventing high-frequency vibration such as floor vibration and a linear actuator for removing low-frequency vibration accompanying the air pad.
The apparatus may slightly tilt depending on the position of wafer stage WST. In this case, it is necessary to correct the inclination by continuously applying a predetermined voltage to the linear actuator constituting the vibration isolator 20. However, since the load is always applied to the actuator, the life of the actuator and other components is shortened. In such a case, in this embodiment, the wafer stage controller 78 moves the movable platen 38 by a predetermined amount as described above, thereby forcing the center of gravity of the entire apparatus.
The inclination of the device can be corrected so that no load is applied to the linear actuator, and the life of components such as the actuator can be extended.

【0201】上記のような種々の工夫により、本実施形
態では、可動型定盤38の形状及びその移動範囲を、ウ
エハステージWSTの形状と移動範囲に応じて、図5
(A)中の実線及び仮想線でそれぞれ示すような頂点の
無い三角形状としている。この場合、ウエハステージW
STのスキャン方向(走査方向)は図5(A)中の紙面
上下方向である。本実施形態では、定盤22をほぼ正方
形に形成し、これを支持する4つの防振装置20を剛性
を上げるために4角形の配置としているが、スペースを
有効に生かすために、定盤22の形状を図5(A)中の
仮想線38’で示されるのと同様の形状にし、防振装置
20を図5(A)中の点線20’で示されるような3点
配置としても良い。これにより、明らかに、フットプリ
ントを改善することが可能である。但し、この場合に
は、防振装置の剛性を上げることが必要である。
In the present embodiment, with the various measures described above, the shape of the movable platen 38 and its moving range are changed according to the shape and the moving range of the wafer stage WST in FIG.
(A) It has a triangular shape without vertices as shown by a solid line and a virtual line in FIG. In this case, the wafer stage W
The scanning direction of ST (scanning direction) is the vertical direction of the drawing in FIG. In the present embodiment, the surface plate 22 is formed in a substantially square shape, and the four vibration isolating devices 20 supporting the surface plate are arranged in a quadrangular shape in order to increase rigidity. May be formed in a shape similar to that shown by a virtual line 38 'in FIG. 5A, and the vibration isolator 20 may be arranged in a three-point arrangement as shown by a dotted line 20' in FIG. 5A. . This can obviously improve the footprint. However, in this case, it is necessary to increase the rigidity of the vibration isolator.

【0202】次に、レチクルステージRSTとウエハス
テージWSTとを走査方向(Y方向)に相対移動させる
ステージ制御系(ウエハステージコントローラ78、レ
チクルステージコントローラ33、同期制御系80)に
よって行われる1つのショット領域の露光の際のウエハ
ステージの基本的な走査手順について図7を参照しつ
つ、簡単に説明する。
Next, one shot performed by a stage control system (wafer stage controller 78, reticle stage controller 33, synchronization control system 80) for relatively moving reticle stage RST and wafer stage WST in the scanning direction (Y direction). The basic scanning procedure of the wafer stage when exposing an area will be briefly described with reference to FIG.

【0203】図7(A)には、投影光学系PLの有効フ
ィールドPL’に内接するウエハ上のスリット状の照明
領域(レチクルR上の照明領域と共役な領域;以下、
「照明スリット」という)STと1つの区画領域として
のショット領域S1との関係が平面図にて示され、図7
(B)には、ステージ移動時間とステージ速度との関係
が示されている。なお、実際には、ショット領域S1が
照明スリットSTに対して矢印Yの反対方向に移動する
ことで露光が行なわれるが、ここでは、図7(B)のス
テージ移動時間とステージ速度の関係表と対応付けるた
め、ウエハ上照明スリットSTがショット領域S1に対
し移動するように示されている。
FIG. 7A shows a slit-shaped illumination area on the wafer (an area conjugate to the illumination area on reticle R; hereinafter, referred to as an effective area PL ′ of projection optical system PL).
FIG. 7 is a plan view showing the relationship between ST (referred to as “illumination slit”) ST and shot area S1 as one partitioned area.
(B) shows the relationship between the stage movement time and the stage speed. Actually, the exposure is performed by moving the shot area S1 in the direction opposite to the arrow Y with respect to the illumination slit ST, but here, the table of FIG. It is shown that the on-wafer illumination slit ST moves with respect to the shot area S1 in order to correspond to

【0204】まず、基本的(一般的な)走査手順として
は、ショット領域S1のショット端から所定量離れた位
置に照明スリットSTの中心Pが位置付けられ、ウエハ
ステージWSTの加速が開始される。ウエハステージW
STが所定の速度に近づいた時点で、レチクルRとウエ
ハWの同期制御が開始される。このウエハステージの加
速開始時点から同期制御の開始時点までの時間t1を、
加速時間と呼ぶ。同期制御開始後、ウエハとレチクルの
変位誤差が所定の関係になるまでレチクルステージRS
Tによる追従制御が行われ、露光が開始される。この同
期制御開始後、露光開始までの時間t2を、整定時間と
呼ぶ。
First, as a basic (general) scanning procedure, the center P of the illumination slit ST is positioned at a predetermined distance from the shot end of the shot area S1, and acceleration of the wafer stage WST is started. Wafer stage W
When ST approaches a predetermined speed, synchronous control of reticle R and wafer W is started. Time t1 from the start of the acceleration of the wafer stage to the start of the synchronous control is represented by
Called acceleration time. After the start of the synchronous control, the reticle stage RS
The tracking control by T is performed, and the exposure is started. The time t2 from the start of the synchronous control to the start of the exposure is called a settling time.

【0205】上記の加速開始から露光開始までの時間
(t1+t2)がプリスキャン時間と呼ばれる。加速時
間t1での平均加速度をa、整定時間をt2とすると、
プリスキャン時における移動距離は(1/2)・a・t
2 +a・t1・t2で表わされる。
The time (t1 + t2) from the start of the acceleration to the start of the exposure is called a prescan time. Assuming that the average acceleration at the acceleration time t1 is a and the settling time is t2,
The moving distance during prescan is (1/2) · at
It is represented by 1 2 + a · t1 · t2.

【0206】また、等速移動により露光が行われる露光
時間t3は、ショット長をL、照明スリットSTの走査
方向の幅をwとした場合、t3=(L+w)/(a・t
1)となり、移動距離はL+wとなる。
The exposure time t3 during which exposure is performed by constant-speed movement is t3 = (L + w) / (at) where the shot length is L and the width of the illumination slit ST in the scanning direction is w.
1), and the moving distance is L + w.

【0207】このt3の終了時点でショット領域S1に
対するレチクルパターンの転写は終了するが、スループ
ット向上のため、ステップ・アンド・スキャン方式で
は、通常レチクルRを交互スキャン(往復スキャン)さ
せることで、順次次のショットに対する露光を行なうの
で、前記プリスキャンでの移動距離と同じ距離だけ、露
光終了時点から更にレチクルRを移動して、レチクルR
を次ショット露光のための走査開始位置まで戻す(従っ
て、これに対応してウエハWも走査方向に移動させる)
ことが必要である。このための時間が、等速度オーバー
スキャン時間t4、減速オーバースキャン時間t5であ
り、総じて(t4+t5)がオーバースキャン時間であ
る。このオーバースキャン時間における移動距離は、減
速オーバースキャン時間t5における減速度をbとする
と、−(1/2)・b・t52 −b・t5・t4とな
り、この距離が(1/2)・a・t12 +a・t1・t
2となるようにt4、t5、減速度bが設定される。
The transfer of the reticle pattern to the shot area S1 is completed at the end of the time t3. However, in order to improve the throughput, in the step-and-scan method, the normal reticle R is alternately scanned (reciprocally scanned), thereby sequentially. Since the exposure for the next shot is performed, the reticle R is further moved from the end of the exposure by the same distance as the movement distance in the prescan, and
Is returned to the scanning start position for the next shot exposure (therefore, the wafer W is also moved in the scanning direction correspondingly).
It is necessary. The time for this is the constant speed overscan time t4 and the deceleration overscan time t5, and (t4 + t5) is the overscan time as a whole. If the deceleration at the deceleration overscan time t5 is b, the moving distance in this overscan time is-(1/2) .b.t5 2 -b.t5.t4, and this distance is (1/2). a · t1 2 + a · t1 · t
T4 and t5 and the deceleration b are set so as to be 2.

【0208】一般の制御系ではa=−bなので、t1=
t5、t2=t4に設定するのが最も容易な制御法とな
る。このように、スキャン露光では等速同期スキャンを
行なうために、プリスキャン距離及び、オーバースキャ
ン距離が必要となり、ウエハ周辺ショットを露光する場
合であっても、プリスキャン及びオーバースキャン時の
間で干渉計光束が反射面(移動鏡)から外れることがあ
ってはならない。そのため、反射面をその分長くしてお
く必要がある。
Since a = -b in a general control system, t1 =
It is the easiest control method to set t5 and t2 = t4. As described above, in the scan exposure, a prescan distance and an overscan distance are required in order to perform a constant-speed synchronous scan, and even when exposing a wafer peripheral shot, the interferometer light flux is interposed between the prescan and the overscan. Must not deviate from the reflecting surface (moving mirror). Therefore, it is necessary to make the reflecting surface longer accordingly.

【0209】次に、図7(C)を用いて本実施形態にお
ける基板テーブルTBの各反射面の長さの設定について
説明する。図7(C)には、ウエハステージWST(基
板テーブルTB)が矢印Y方向にスキャンすることでウ
エハ周辺のショット領域Sを露光する場合のウエハ周辺
ショットSと移動鏡長延長分(L0,L1+L2,L
3)との関係が示されている。この図7(C)におい
て、干渉計ビームRIX1、RIX2の延長線がウエハ
W外周と交差する時の反射面60a、60cの長さが最
低必要な反射面の長さとなる。これに、ショットSがウ
エハW外周に欠けた状態で露光できるとした時の欠け分
仮想ショット長をL3、前述したプリスキャン及びオー
バースキャンに要する距離をL1+L2、干渉計ビーム
をXY面内で2本の測長ビームとした場合の該2本の測
長ビームの中心位置(点線部)と各測長ビームの中心ま
での距離と各ビーム半径と所定のマージンとの総和をL
0とすると、反射面の延長分はL0+L1+L2+L3
となり、その値が基板テーブルTBの三角形の頂点より
も小さくなるように、反射面の長さが設定されている。
これにより、スキャン露光時に反射面から測長ビームが
外れるという不都合を防止している。但し、ウエハ外周
でのショットはショット長L分完全に露光する必要は無
いので、ウエハ上に露光される部分のみを露光するよう
に制御することで、移動鏡の延長分をL0+L1+L2
としても良い。
Next, the setting of the length of each reflection surface of the substrate table TB in this embodiment will be described with reference to FIG. FIG. 7 (C) shows a wafer peripheral shot S when the wafer stage WST (substrate table TB) scans in the arrow Y direction to expose a shot area S around the wafer, and a moving mirror length extension (L0, L1 + L2). , L
3) is shown. In FIG. 7C, the length of the reflecting surfaces 60a and 60c when the extension line of the interferometer beams RIX1 and RIX2 intersects the outer periphery of the wafer W is the minimum necessary length of the reflecting surface. When the shot S can be exposed in a state where the shot S is chipped on the outer periphery of the wafer W, the missing virtual shot length is L3, the distance required for the pre-scan and the overscan described above is L1 + L2, and the interferometer beam is 2 in the XY plane. The total sum of the center position (dotted line portion) of the two measurement beams, the distance to the center of each measurement beam, the beam radius, and a predetermined margin when the two measurement beams are used is L
Assuming 0, the extension of the reflecting surface is L0 + L1 + L2 + L3
The length of the reflecting surface is set such that the value is smaller than the vertex of the triangle of the substrate table TB.
This prevents an inconvenience that the measurement beam deviates from the reflecting surface during the scanning exposure. However, since it is not necessary to completely expose the shot on the outer periphery of the wafer by the shot length L, the extension of the movable mirror is controlled to be L0 + L1 + L2 by controlling only the portion exposed on the wafer.
It is good.

【0210】1つのショット領域の露光の際のウエハス
テージの基本的な走査手順は、先に説明した通りである
が、隣接した複数のショット領域に順次レチクルパター
ンを転写する場合のウエハステージWST(基板テーブ
ルTB)の移動制御方法は、本発明の最大の特徴事項で
あるから、次に、これについて詳述する。ここでは、一
例として図8(A)に示される隣接したショットS1,
S2,S3を順次露光する場合について説明する。
The basic scanning procedure of the wafer stage at the time of exposing one shot area is as described above. However, when the reticle pattern is sequentially transferred to a plurality of adjacent shot areas, wafer stage WST ( The method of controlling the movement of the substrate table TB) is the greatest feature of the present invention, and will be described in detail below. Here, as an example, adjacent shots S1 and S1 shown in FIG.
A case where S2 and S3 are sequentially exposed will be described.

【0211】図8(A)は、ショットS1,S2,S3
を順次露光する場合のウエハ上照明スリットSTの中心
Pが各ショット上を通過する軌跡を示したものである。
この図8(A)から明らかなように、ウエハステージコ
ントローラ78及び同期制御系80では、スキャン方向
(Y方向)へのウエハステージWSTのプリスキャン及
びオーバースキャンと、非スキャン方向(X方向)への
ウエハステージWSTのステッピングを同じタイミング
で行っている。これによって、ウエハステージWSTの
ショット間の移動距離を短縮し、従ってこれに要する移
動時間を短縮し、スループットの向上を図るためであ
る。
FIG. 8A shows shots S1, S2, and S3.
3 shows a trajectory in which the center P of the on-wafer illumination slit ST passes over each shot in the case of sequentially exposing.
As is clear from FIG. 8A, the wafer stage controller 78 and the synchronization control system 80 perform pre-scanning and over-scanning of the wafer stage WST in the scanning direction (Y direction) and in the non-scanning direction (X direction). Of the wafer stage WST is performed at the same timing. Thereby, the moving distance between shots of wafer stage WST is shortened, so that the moving time required for this is shortened, and the throughput is improved.

【0212】ところで、前述の如く、プリスキャン時間
にはレチクルRをウエハWに完全に追従させるための整
定時間t2が含まれるため、非スキャン方向に関する加
減速制御はできるだけ整定時間t2の開始時点より早く
終了していることが望ましい。これを実現するため、本
実施形態では、ウエハステージコントローラ78及び同
期制御系80では、露光終了に続くウエハステージWS
Tのスキャン方向での等速オーバスキャン時間t4の間
に、ウエハステージWSTの非スキャン方向でのステッ
ピングを開始することとしており、その等速オーバスキ
ャン時間t4分だけ早く非スキャン方向に発生する加減
速制御を終了するような制御を行う。図8(B)には、
この場合のウエハステージWSTのスキャン方向の速度
Vyと時間の関係が示され、図8(C)にはそれに対応
した非スキャン方向の速度Vxと時間の関係が示されて
いる。このウエハステージの移動制御方法によると、整
定時間t2の間はスキャン方向の同期制御のみに専念で
きるので、整定時間t2(従ってt4も)の短縮が可能
となる。
As described above, since the pre-scan time includes the settling time t2 for causing the reticle R to completely follow the wafer W, the acceleration / deceleration control in the non-scan direction is performed as much as possible from the start of the settling time t2. It is desirable to finish early. To achieve this, in the present embodiment, the wafer stage controller 78 and the synchronization control system 80 use the wafer stage WS following the end of the exposure.
The stepping of the wafer stage WST in the non-scanning direction is started during the constant-speed overscan time t4 in the scanning direction of T. Control to end the deceleration control is performed. In FIG. 8B,
In this case, a relationship between speed Vy in the scanning direction of wafer stage WST and time is shown, and FIG. 8C shows a corresponding relationship between speed Vx in the non-scanning direction and time. According to this method of controlling the movement of the wafer stage, during the settling time t2, only the synchronous control in the scanning direction can be concentrated, so that the settling time t2 (and therefore t4) can be shortened.

【0213】ここで、ステッピング方向をX軸、スキャ
ン方向をY軸とし、ショットS1の露光時スキャン速度
を−VY、ステッピング時最高速度をVXとした場合に
ついて、時間配分を各軸について具体的に考えるものと
する。
Here, when the stepping direction is the X axis, the scan direction is the Y axis, the scan speed at the time of exposure of the shot S1 is -VY, and the maximum speed at the time of stepping is VX, the time distribution is specifically described for each axis. To think.

【0214】まずスキャン方向について考えると、ショ
ットS1の露光が終了して等速オーバスキャン時間t4
後に、ウエハステージWSTは減速(図8(A)中の−
Y方向に速度を有する時の+Y方向の加速)を開始す
る。このときの減速度をayとすると、図8(A)中の
点O(0,0)を基準点としてウエハステージWST
は、時間t4の間に−VY・t4だけスキャン方向に進
み、その後は、時間t4経過の時点を時間の基準点とし
て、−VY・t+(1/2)・ay・t2 というように
変化し、−VY・t+(1/2)・ay・t2 =−VY
・t・(1/2)を満足する時点、すなわちt=ty
=VY/ay(図8(B)参照)となった時点で別の区
画領域としてのショットS2に対するプリスキャンが開
始される分岐点B(図8(A)参照)となる。その後加
速期間は、加速開始点を時間の基準として1/2・ay
・t2 の軌跡を取り、ty 1=VY/ayとなるまで加
速し続け、その後、レチクルRとウエハWの同期制御期
間としてのt2を経て、露光が開始される。露光時間t
3はt3=(ショット長Ly+照明スリット幅w)/V
Yで表わされる。この時ウエハステージWSTの軌跡
は、放物線状となる。実際の放物線は、y=x2 又はy
=√xにて表されるが、ここではtを消去すると、x2
と√xが含まれる関数となるので、便宜上放物線状と
は、これらの関数も含めたものを示している。
First, considering the scanning direction, the exposure of the shot S1 is completed and the uniform overscan time t4
Later, wafer stage WST decelerates (− in FIG. 8A).
(Acceleration in the + Y direction when having a speed in the Y direction). Assuming that the deceleration at this time is ay, wafer stage WST is set using point O (0, 0) in FIG.
Advances in the scanning direction by −VY · t4 during the time t4, and thereafter changes to −VY · t + ()) · ay · t 2 with the time point after the lapse of the time t4 as a time reference point. And −VY · t + (1 /) · ay · t 2 = −VY
· Time point when t · (1 /) is satisfied, that is, t = t y 5
At the time when = VY / ay (see FIG. 8B), a branch point B (see FIG. 8A) at which the prescan for the shot S2 as another partitioned area is started. Thereafter, during the acceleration period, the acceleration start point is set to 1 / 2.ay with respect to time.
· T take 2 locus, it continues to accelerate until t y 1 = VY / ay, then, through t2 as synchronous control period of the reticle R and the wafer W, the exposure is started. Exposure time t
3 is t3 = (shot length Ly + illumination slit width w) / V
It is represented by Y. At this time, the trajectory of wafer stage WST is parabolic. The actual parabola is y = x 2 Or y
= √x, but here, if t is deleted, x 2
And √x, and for convenience, a parabolic shape indicates a function including these functions.

【0215】次にステッピング方向を考えると、ショッ
トS1の露光が終了後すぐに、ウエハステージWSTは
加速を開始する。加速度をaxとすると、ウエハステー
ジWSTのX座標は図8(A)中の点O(0,0)を基
準点として(1/2)・ax・t2 となり、t=tx
=VX/ax(図8(C)参照)にて最高速度に達す
る。ここで、ステッピング長Lx≦ax・tx 2 の場
合は、tx 5=√(Lx/ax)の時点から減速(+X
方向に速度を有する時の−X方向の加速)を開始する。
その後減速期間は減速開始点を時間の基準点として、a
x・tx 5・t−(1/2)・ax・t2 のように変化
し、ax・tx 5・t−(1/2)・ax・t2 =(1
/2)・ax・tx 5・tとなる時点、すなわち減速開
始点から時間tx 5を経過する時点まで減速して停止す
る。
Next, considering the stepping direction, wafer stage WST starts accelerating immediately after exposure of shot S1 is completed. When the acceleration and ax, wafer stage X coordinate 8 as a reference point O (0,0) point in (A) (1/2) · ax · t 2 next WST, t = t x 5
= VX / ax (see FIG. 8C), the maximum speed is reached. Here, the stepping length Lx ≦ ax · t x 5 2 Case of, t x 5 = deceleration from the time of √ (Lx / ax) (+ X
(Acceleration in the -X direction when having speed in the direction).
Thereafter, during the deceleration period, the deceleration start point is used as a time reference point, and a
x · t x 5 · t- (1/2) · ax · t 2 It changes as, ax · t x 5 · t- (1/2) · ax · t 2 = (1
/ 2) · ax · t x 5 · t become time, that is, reduced to the point of elapse of t x 5 from the deceleration start point time stops.

【0216】すなわち、スキャン方向は、図8(B)に
示されるように、前ショットの露光終了時点からt4+
y 5+ty 1+t2で次ショットの露光を開始する
が、ステッピング方向には図7(C)に示されるよう
に、前ショットの露光終了時点からtx5+t4+tx
1の時点では加減速が終了しており、これより、ty
=tx 1,ty 5=tx 5とした場合、前述の如くt2
=t4であることを考慮すると、スキャン方向の整定時
間t2における同期制御開始よりt4だけ早くステッピ
ング動作が終了することが分かる。
That is, as shown in FIG. 8B, the scanning direction is from the end of exposure of the previous shot to t4 +
t y 5 + in t y 1 + t2 but starts exposure of the next shot, as the stepping direction as shown in FIG. 7 (C), tx5 + t4 + tx from the exposure end time of the previous shot
Acceleration / deceleration has been completed at time point 1, and from this, t y 1
= T x 1, t y 5 = t x 5, t 2 as described above
= T4, it can be seen that the stepping operation ends earlier than the start of the synchronization control at the settling time t2 in the scanning direction by t4.

【0217】このことを、別の表現にすれば、スキャン
方向の速度がゼロとなる点、すなわち減速が終了して次
ショットの露光のための加速が開始される点である図8
(A)のB点(Bx,By)のX座標BxがショットS
1とS2の境界よりS2寄りとなるように、ウエハステ
ージWSTのスキャン方向のオーバースキャン及びプリ
スキャン動作に並行して、非スキャン方向のステッピン
グ動作が行われるように、ウエハステージコントローラ
78及び同期制御系80が、ウエハステージWSTの
X、Yそれぞれの方向の移動を制御するようになってい
るということである。
In other words, the point at which the speed in the scanning direction becomes zero, that is, the point at which deceleration ends and acceleration for the exposure of the next shot is started, is shown in FIG.
The X coordinate Bx of the point B (Bx, By) in FIG.
The wafer stage controller 78 and the synchronous control are performed such that the stepping operation in the non-scanning direction is performed in parallel with the overscanning and prescanning operations in the scanning direction of the wafer stage WST so as to be closer to S2 from the boundary between 1 and S2. This means that the system 80 controls the movement of the wafer stage WST in the X and Y directions.

【0218】また、今までの説明ではステッピング時の
加速度を±axとしていたが、加速時のaxに対し減速
時の加速度を−bxとし、|−bx|<axとなる条件
に設定すれば、加速時と減速時とで加速度の大きさを同
一にした場合と比べると、ステッピング時間は長くかか
るものの、減速時の加速度の大きさそのものが小さくな
るので、その減速に伴うウエハステージWSTを含む装
置振動自体を小さく抑えられるという効果がある。従っ
て、非スキャン方向ステッピングが終了した時点におけ
る整定時間が短くなる。
In the description so far, the acceleration at the time of stepping is ± ax. However, if the acceleration at the time of deceleration is -bx with respect to the acceleration at the time of acceleration and | -bx | <ax, As compared with the case where the magnitude of the acceleration is the same during acceleration and deceleration, although the stepping time is longer, the magnitude of the acceleration itself during deceleration becomes smaller, so the apparatus including the wafer stage WST accompanying the deceleration is used. There is an effect that the vibration itself can be reduced. Therefore, the settling time at the time when the stepping in the non-scanning direction ends is shortened.

【0219】また、上記の説明では、ステッピング長L
x≦ax・tx 2 の場合を説明したが、Lx>ax・
x 2 の場合、tx 6=(Lx−ax・tx 2 )/
VXを満足する時間tx6だけ最高速度VXにて走査後
に減速動作に入るようにウエハステージWSTのX方向
位置を制御すればよいこととなる。但し、いずれにして
もt4+ty 5+ty 1≧tx 5+tx 6+tx1とな
るように加速度ax,最高速度VXを設定することが重
要である。このようにすれば、ステッピング時間は全て
プリスキャン及びオーバスキャンと並行動作されること
となり、スループットが向上する。
In the above description, the stepping length L
Having described the case of x ≦ ax · t x 5 2 , Lx> ax ·
For t x 5 2, t x 6 = (Lx-ax · t x 5 2) /
It is sufficient to control the position of wafer stage WST in the X direction so as to start the deceleration operation after scanning at maximum speed VX for time tx6 that satisfies VX. However, in any t4 + t y 5 + t y 1 ≧ t x 5 + t x 6 + tx1 become as acceleration ax, to set the maximum speed VX is important. By doing so, all the stepping times are performed in parallel with the prescan and overscan, and the throughput is improved.

【0220】すなわち、上記の図8(A)〜(C)を用
いて説明したウエハステージWST(基板テーブルT
B)の移動制御方法を採用した走査露光方法によると、
レチクルRとウエハWとを走査方向であるY方向(第1
方向)に同期移動して、ショットS1が走査露光された
後、X方向(非走査方向)に関する、ショットS1に隣
接するショットS2の位置にウエハWが到達する前に
(ショット間の非走査方向のステッピングが終了する前
の減速中に)ウエハWの走査方向の加速が開始され、レ
チクルRのパターンを用いてショットS2が走査露光さ
れる。換言すれば、ショットS1の露光の終了後にショ
ットS2への移動が開始されるがこの途中で走査方向に
ついてのウエハの加速が開始されるので、ショットS2
への非走査方向の移動時間に該ショットS2の露光のた
めの走査方向加速時間を少なくとも一部オーバーラップ
させることができ、ショットS2の位置にウエハWが到
達してからショットS2の露光のための走査方向の加速
が開始される従来例に比べてスループットを向上させる
ことができることは明らかである。
That is, wafer stage WST (substrate table T) described with reference to FIGS.
According to the scanning exposure method employing the movement control method of B),
The reticle R and the wafer W are scanned in the Y direction (first scanning direction).
After the shot S1 is scanned and exposed, before the wafer W reaches the position of the shot S2 adjacent to the shot S1 in the X direction (non-scanning direction) (in the non-scanning direction between shots). The acceleration of the wafer W in the scanning direction is started (during deceleration before the stepping is completed), and the shot S2 is scanned and exposed using the pattern of the reticle R. In other words, the movement to the shot S2 is started after the exposure of the shot S1 is completed, but the acceleration of the wafer in the scanning direction is started during this movement.
In the non-scanning direction movement time, the scanning direction acceleration time for exposure of the shot S2 can be at least partially overlapped, and the exposure time of the shot S2 after the wafer W reaches the position of the shot S2 It is clear that the throughput can be improved as compared with the conventional example in which the acceleration in the scanning direction is started.

【0221】なお、図8の場合には、ウエハWの非走査
方向への加速は、ショットS1の走査露光終了後の走査
方向の等速移動時に開始されているが、これは走査方向
の整定時間t2における同期制御開始よりt4だけ早く
ステッピング動作が終了することを意図してこのように
したものであり、これに限らず、ウエハWの非走査方向
への加速をウエハWの減速中に開始するようにしても良
い。
In the case of FIG. 8, the acceleration of the wafer W in the non-scanning direction is started at the time of the uniform movement in the scanning direction after the end of the scanning exposure of the shot S1, but this is settling in the scanning direction. This is intended to end the stepping operation by the time t4 earlier than the start of the synchronous control at the time t2, and is not limited to this, and the acceleration of the wafer W in the non-scanning direction is started during the deceleration of the wafer W. You may do it.

【0222】この場合において、ウエハWは、ショット
S2の走査露光前に、走査方向と交差する方向に沿って
加速されて、走査方向の移動速度がウエハWの感度特性
に応じた速度に設定されているので、露光中はその速度
を維持しレチクルを同期制御すれば良いので、制御が容
易である。
In this case, the wafer W is accelerated in a direction intersecting the scanning direction before the scanning exposure of the shot S2, and the moving speed in the scanning direction is set to a speed corresponding to the sensitivity characteristic of the wafer W. Therefore, during exposure, the speed can be maintained and the reticle can be synchronously controlled, so that the control is easy.

【0223】また、図8の(B)、(C)から明らかな
ように、ウエハWは、ショットS1の走査露光とショッ
トS2の走査露光との間で、走査方向の移動速度と非走
査方向の移動速度との少なくとも一方が零とならないよ
うに移動されるので、ショットS1の走査露光とショッ
トS2の走査露光との間で、停止することなく移動が行
われ、その分スループットが向上する。
As is clear from FIGS. 8B and 8C, the wafer W is moved between the scanning exposure of the shot S1 and the scanning exposure of the shot S2 in the scanning direction and the non-scanning direction. Is moved so that at least one of the moving speeds does not become zero. Therefore, the moving is performed without stopping between the scanning exposure of the shot S1 and the scanning exposure of the shot S2, thereby improving the throughput.

【0224】また、図8(A)から明らかなように、ウ
エハWは、ショットS1の走査露光とショットS2の走
査露光との間で、走査方向の移動速度が零となるB点の
X方向の位置がショットS1よりもショットS2に近く
なるように移動されていることから、上記の如く、ショ
ットS1とショットS2との間のウエハWの非走査方向
の加速度と減速度とが等しい場合であっても、必ずショ
ットS2露光の開始前のある一定時間(上記の例ではt
2)前には非走査方向の速度がゼロとなっている。従っ
て、ショットS1の走査露光とショットS2の走査露光
との間のウエハWの非走査方向の加速後の減速度を大き
くする必要がなく、露光開始時点でこの影響が残ること
がなく同期整定時間が不要に長くなることがない。
As is apparent from FIG. 8A, the wafer W is moved between the scanning exposure of the shot S1 and the scanning exposure of the shot S2 in the X direction at the point B where the moving speed in the scanning direction becomes zero. Is moved so as to be closer to the shot S2 than to the shot S1, so that the acceleration in the non-scanning direction of the wafer W between the shot S1 and the shot S2 is equal to the deceleration as described above. However, even if there is a certain time before the start of the exposure of the shot S2, a certain time (t
2) Before, the speed in the non-scanning direction is zero. Therefore, it is not necessary to increase the deceleration of the wafer W after the acceleration in the non-scanning direction between the scanning exposure of the shot S1 and the scanning exposure of the shot S2. Is not unnecessarily long.

【0225】但し、ウエハWは、ショットS1の走査露
光とショットS2の走査露光との間で、必ずしも図8
(A)に示されるような移動軌跡で移動させる必要はな
く、例えば、ショットS1の走査露光終了後にウエハW
の走査方向の速度成分が零となるウエハの非走査方向の
位置(B点のX方向の位置)を、ショットS2のX方向
位置よりもショットS1側とし、かつショットS2を走
査露光するために、走査方向及び非走査方向に対して斜
めにウエハWを移動しても良い。あるいは、ショットS
1の走査露光とショットS2の走査露光との間で、ショ
ットS1の走査露光終了後の走査方向の速度成分が零と
なるウエハWの非走査方向位置(B点のX方向の位置)
が、ショットS1の非走査方向の位置とショットS2の
非走査方向の位置との間になるようにウエハWを移動し
ても良い。これらの場合には、ショットS1の走査露光
が終了すると、ウエハWは走査方向速度を減速しつつ非
走査方向への移動が行われ、ウエハWは曲線状(又は直
線状)の経路に沿って走査方向及び非走査方向に対し斜
めに移動される。従って、ショットS1の走査露光終了
後のウエハWの移動軌跡は従来のコ字経路に比べて短く
なり、最短距離に近い経路でウエハWが移動され、その
分スループットの向上が可能となる。なお、この場合、
ウエハWの移動軌跡はV字状であっても良いが、ショッ
トS1の走査露光とショットS2の走査露光との間でウ
エハWを停止することなく移動して、その軌跡を放物線
状(又はU字状)とすることが望ましい。
However, the wafer W is not necessarily moved between the scanning exposure of the shot S1 and the scanning exposure of the shot S2 in FIG.
It is not necessary to move the wafer W along the movement trajectory shown in FIG.
In order to scan and expose the shot S2, the position of the wafer in the non-scanning direction (the position of the point B in the X direction) at which the speed component in the scanning direction becomes zero is set to be closer to the shot S1 than the position of the shot S2 in the X direction. Alternatively, the wafer W may be moved obliquely with respect to the scanning direction and the non-scanning direction. Or shot S
Between the scanning exposure of No. 1 and the scanning exposure of shot S2, the position in the non-scanning direction of the wafer W where the speed component in the scanning direction after the scanning exposure of the shot S1 is zero (the position of the point B in the X direction).
However, the wafer W may be moved between the position of the shot S1 in the non-scanning direction and the position of the shot S2 in the non-scanning direction. In these cases, when the scanning exposure of the shot S1 is completed, the wafer W is moved in the non-scanning direction while reducing the speed in the scanning direction, and the wafer W moves along a curved (or straight) path. It is moved obliquely with respect to the scanning direction and the non-scanning direction. Accordingly, the movement trajectory of the wafer W after the scanning exposure of the shot S1 is completed is shorter than that of the conventional U-shaped path, and the wafer W is moved along a path that is closer to the shortest distance, thereby improving the throughput. In this case,
Although the movement trajectory of the wafer W may be V-shaped, the wafer W is moved without stopping between the scanning exposure of the shot S1 and the scanning exposure of the shot S2, and the trajectory is parabolic (or U-shaped). Character).

【0226】また、図8(A)、(図8(C))から明
らかなように、ショットS1の走査露光後に、走査方向
及び非走査方向と交差する方向にウエハWを加速後、所
定時間(t2+α)走査方向に定速移動した後に露光を
開始するので、露光開始後にウエハWの非走査方向の速
度成分が走査露光に影響を与えることがない。
Also, as is clear from FIGS. 8A and 8C, after the scanning exposure of the shot S1, the wafer W is accelerated in a direction intersecting the scanning direction and the non-scanning direction, and thereafter, for a predetermined time. (T2 + α) Since exposure is started after moving at a constant speed in the scanning direction, the speed component of the wafer W in the non-scanning direction does not affect the scanning exposure after the start of exposure.

【0227】また、この場合、ウエハWの走査方向及び
非走査方向と交差する方向への移動中に、従ってウエハ
Wの非走査方向の速度成分が零となる前に、レチクルR
の加速が開始されるので、ウエハが定速移動に移ってか
らレチクルRの加速が開始される場合に比べて、レチク
ルRとウエハWとが等速同期状態になるまでの時間が短
縮され、その分スループットの向上が可能である。な
お、前記の加速度、減速度(負の加速度)は、動作中の
平均加減速のことを指し、加減速を円滑に行うための加
減速マップ制御においても本実施形態と同等の効果があ
ることは言うまでもない。
In this case, during movement of the wafer W in a direction intersecting the scanning direction and the non-scanning direction, and before the velocity component of the wafer W in the non-scanning direction becomes zero, the reticle R
Is accelerated, the time required for the reticle R and the wafer W to be in a constant-speed synchronization state is reduced as compared with the case where the acceleration of the reticle R is started after the wafer moves to the constant speed movement, The throughput can be improved accordingly. Note that the acceleration and deceleration (negative acceleration) refer to average acceleration / deceleration during operation, and the same effect as in the present embodiment can be obtained in acceleration / deceleration map control for smooth acceleration / deceleration. Needless to say.

【0228】次に、図9を用いて、図2の干渉計システ
ムを構成する第1〜第3の干渉計76X1、76Y、7
6X2の測長ビームの装置中での配置及びウエハステー
ジコントローラ78による基板テーブルTBのX、Y位
置及び回転の演算方法等について詳述する。図9は、ウ
エハWを交換するためのローディングポジションにウエ
ハステージWSTが位置する可動型定盤38近傍の平面
図である。
Next, referring to FIG. 9, first to third interferometers 76X1, 76Y, and 7Y constituting the interferometer system of FIG.
The arrangement of the 6 × 2 measurement beam in the apparatus, the method of calculating the X and Y positions and rotation of the substrate table TB by the wafer stage controller 78, and the like will be described in detail. FIG. 9 is a plan view near the movable platen 38 where the wafer stage WST is located at the loading position for exchanging the wafer W.

【0229】この図9に示されるように、XY座標系
(ステージ座標系)上でのウエハステージWSTの位置
をモニタするための干渉計76X1,76Y,76X2
は、平面視でそれぞれ測長ビームを2本有し、これら各
2本の測長ビームは、ヨーイング計測用にそれぞれ2本
の独立した光束として基板テーブルTBの3つの反射面
60a、60b、60cを照射している(なお、傾斜方
向計測用の干渉計測長ビームは図示が省略されてい
る)。
As shown in FIG. 9, interferometers 76X1, 76Y, 76X2 for monitoring the position of wafer stage WST on the XY coordinate system (stage coordinate system).
Has two length measuring beams in plan view, and each of these two length measuring beams is used as three independent light beams for yawing measurement as three reflecting surfaces 60a, 60b, and 60c of the substrate table TB. (Note that the interference measurement long beam for tilt direction measurement is not shown).

【0230】第1、第3の干渉計76X1,76X2か
らそれぞれ射出される一方の測長ビーム(第1測長軸R
IX11、第3測長軸RIX21の測長ビーム)の延長線及
び、第2の干渉計76Yから射出している2本の測長ビ
ームの中心線の延長線が交差する位置に投影光学系PL
の光軸が位置しており、また、干渉計76X1,76X
2からそれぞれ射出している残りの測長ビーム(第2測
長軸RIX12、第4測長軸RIX22の測長ビーム)の延
長線が交差する位置であって、第2の干渉計76Yから
射出している2本の測長ビームの中心線の延長線が交差
する位置に、アライメント光学系ALGの検出中心が位
置している。
One of the measurement beams (the first measurement axis R) emitted from the first and third interferometers 76X1 and 76X2, respectively.
IX11, the projection optical system PL is located at a position where an extension of the measurement beam of the third measurement axis RIX21) and an extension of the center line of the two measurement beams emitted from the second interferometer 76Y intersect.
Are located, and the interferometers 76X1 and 76X
2 is a position where the extension lines of the remaining measurement beams (the measurement beams of the second measurement axis RIX12 and the fourth measurement axis RIX22) emitted from the second interferometer intersect each other, and are emitted from the second interferometer 76Y. The detection center of the alignment optical system ALG is located at a position where the extension lines of the center lines of the two measurement beams intersect.

【0231】この場合、ウエハステージコントローラ7
8では、常に、干渉計76Yから射出される2本の測長
ビームによるY軸方向位置の計測値y1,y2の平均値
(y1+y2)/2を基板テーブルTBのY位置として
算出する。すなわち、干渉計76Yの実質的な測長軸
は、投影光学系PLの光軸及びアライメント光学系AL
Gの検出中心を通るY軸である。この干渉計76Yから
射出される2本の測長ビームは、いかなる場合にも基板
テーブルTBの第2反射面60bから外れることがない
ようになっている。また、基板テーブルTBの回転(ヨ
ーイング)は、干渉計76X1,76X2、76Yのい
ずれの各2つの計測値を用いても求めることはできる
が、後述するように、アライメントの際に干渉計76X
1,76X2の測長ビームの1本が基板テーブル反射面
から外れる可能性があるため、ウエハステージコントロ
ーラ78では、基板テーブルTBの回転も干渉計76Y
から射出される2本の測長ビームによるY軸方向位置の
計測値のy1,y2の差に基づいて演算するようになっ
ている。なお、干渉計76X1,76X2、76Yのそ
れぞれの計測値に基づいて各々回転を求められる場合に
は、ウエハステージコントローラ78では、それぞれ求
めた回転量の任意のいずれか、あるいは任意の2つ又は
3つの加算平均により回転を求めるようにしても良い。
In this case, wafer stage controller 7
In step 8, the average value (y1 + y2) / 2 of the measured values y1 and y2 of the positions in the Y-axis direction by the two measurement beams emitted from the interferometer 76Y is always calculated as the Y position of the substrate table TB. That is, the substantial measurement axis of the interferometer 76Y is the optical axis of the projection optical system PL and the alignment optical system AL.
The Y axis passes through the G detection center. The two measurement beams emitted from the interferometer 76Y do not come off the second reflection surface 60b of the substrate table TB in any case. The rotation (yaw) of the substrate table TB can be obtained by using any two measured values of the interferometers 76X1, 76X2, and 76Y. However, as described later, the interferometer 76X is used at the time of alignment.
Since there is a possibility that one of the 1,76X2 length measuring beams may deviate from the reflection surface of the substrate table, the wafer stage controller 78 also rotates the substrate table TB with the interferometer 76Y.
The calculation is performed based on the difference between y1 and y2 of the measured values of the position in the Y-axis direction by the two length measurement beams emitted from. When the rotation can be obtained based on the measured values of the interferometers 76X1, 76X2, and 76Y, the wafer stage controller 78 sets any one of the obtained rotation amounts, or any two or three of the rotation amounts. The rotation may be obtained by two averaging.

【0232】また、本実施形態では、第1の干渉計76
X1は、Y軸方向に対して所定角度θ1(θ1はここで
は−60°)傾斜した方向の干渉計ビームRIX1を第
1反射面60aに垂直に照射し、第3の干渉計76X2
は、Y軸方向に対して所定角度θ2(θ2はここでは+
60°)傾斜した方向の干渉計ビームRIX2を第3反
射面60cに垂直に照射する。
Also, in the present embodiment, the first interferometer 76
X1 irradiates the first interferometer beam RIX1 in a direction inclined at a predetermined angle θ1 (θ1 is −60 ° here) with respect to the Y-axis direction perpendicularly to the first reflection surface 60a, and the third interferometer 76X2
Is a predetermined angle θ2 with respect to the Y-axis direction (θ2 is +
(60 °) The interferometer beam RIX2 in the inclined direction is irradiated perpendicularly to the third reflecting surface 60c.

【0233】従って、干渉計ビームRIX1の反射光に
基づいて計測される計測値をX1、干渉計ビームRIX
2に基づいて計測される計測値をX2とすると、次式
(1)により、ウエハステージWSTのX座標位置を求
めることができる。 X={(X1/sinθ1)−(X2/sinθ2)}×(1/2)…(1)
Therefore, the measured value based on the reflected light of the interferometer beam RIX1 is represented by X1, the interferometer beam RIX
Assuming that the measurement value measured based on 2 is X2, the X coordinate position of wafer stage WST can be obtained by the following equation (1). X = {(X1 / sin θ1) − (X2 / sin θ2)} × (1/2) (1)

【0234】この場合、干渉計ビームRIX1とRIX
2とは、Y軸に関して対称な方向となっているので、s
inθ1=sinθ2=sinθであるから、 X=(X1−X2)/(2sinθ)…(1’) によりウエハステージWSTのX座標位置を求めること
ができる。
In this case, the interferometer beams RIX1 and RIX
2 is a direction symmetric with respect to the Y axis,
Since inθ1 = sinθ2 = sinθ, the X coordinate position of wafer stage WST can be obtained from X = (X1−X2) / (2sinθ) (1 ′).

【0235】但し、いわゆるアッベ誤差が生じないよう
にすることが重要であるから、ウエハステージコントロ
ーラ78では、露光時には干渉計76X1、76X2か
ら投影光学系PLの光軸に向けてそれぞれ射出される第
1、第3測長軸の測長ビームの計測値を用いて、上式
(1)’によりウエハステージWSTのX位置を演算
し、アライメント時には干渉計76X1、76X2から
アライメント光学系ALGの検出中心に向けてそれぞれ
射出される第2、第4測長軸の測長ビームの計測値を用
いて、上式(1)’によりウエハステージWSTのX位
置を演算するようになっている。
However, since it is important to prevent a so-called Abbe error from occurring, in the wafer stage controller 78, at the time of exposure, the first and second light beams emitted from the interferometers 76X1 and 76X2 toward the optical axis of the projection optical system PL are exposed. 1. The X position of the wafer stage WST is calculated by the above equation (1) ′ using the measurement value of the measurement beam on the third measurement axis, and the alignment center of the alignment optical system ALG is obtained from the interferometers 76X1 and 76X2 during alignment. The X position of the wafer stage WST is calculated by the above equation (1) ′ using the measurement values of the measurement beams of the second and fourth measurement axes respectively emitted toward the.

【0236】但し、ウエハステージWSTの走査方向に
対して、第1、第3反射面60a、60cの傾きが予め
定められた角度(θ1+90°)、(θ2−90°)に
それぞれなるように設定する必要がある。予め第1、第
3反射面60a、60cの傾きがそのようになるように
調整し、その後、ウエハステージWST上の基準マーク
板FMを用いたレチクルアライメント時にθ1及びθ2
の残留傾き差を計測し、その差分に基づいて、上記式
(1)又は(1)’で求めたXを補正することで安定し
たステージ位置の計測を行なうことができる。
However, with respect to the scanning direction of wafer stage WST, the inclination of first and third reflecting surfaces 60a and 60c is set to be a predetermined angle (θ1 + 90 °) or (θ2-90 °). There is a need to. The inclination of the first and third reflecting surfaces 60a and 60c is adjusted in advance so as to be the same, and then θ1 and θ2 during reticle alignment using the reference mark plate FM on the wafer stage WST.
Is measured, and based on the difference, the X obtained by the above equation (1) or (1) ′ is corrected, whereby stable measurement of the stage position can be performed.

【0237】また、本実施形態の場合、従来の2方向干
渉計と異なり、相互に120°回転した位置に各干渉計
光束があるので、一方向から干渉計光路用空調を行なう
と、少なくとも1ヶ所はウエハステージWSTの影に隠
れて空調が困難となる。そのため、3ヶ所の内、少なく
とも2ヶ所に対して独立に空調を行なう吹き出し口をを
設けており、3ヶ所の干渉計光束に対し淀みなく温調さ
れた気体を送風できるような構成となっている。この送
風方法としては干渉計側からステージに向けて送風する
光束平行空調方法と、光束の上から下に向けて送風する
光束直交空調方法があるが、熱源の位置に応じて熱源が
風下にくるように、各軸独立に空調方法を選択すれば良
い。
In the present embodiment, unlike the conventional two-way interferometer, each interferometer beam is located at a position rotated by 120 ° from each other. The two locations are hidden by the shadow of the wafer stage WST, making air conditioning difficult. Therefore, at least two of the three locations are provided with air outlets that independently perform air conditioning, and the configuration is such that a temperature-controlled gas can be sent to the three interferometer beams without stagnation. I have. This air blowing method includes a light beam parallel air conditioning method that blows air from the interferometer side to the stage, and a light beam orthogonal air conditioning method that blows the light beam from top to bottom. Thus, the air conditioning method may be selected independently for each axis.

【0238】次に、本実施形態の走査型露光装置10に
おけるウエハ交換から露光終了までの動作を、ウエハス
テージWSTに関する動作を中心として図9〜図12を
参照しつつ説明する。
Next, the operation from the wafer exchange to the end of the exposure in the scanning type exposure apparatus 10 of the present embodiment will be described with reference to FIGS.

【0239】図9に示されるウエハローディング位置で
は、干渉計システム76の全ての干渉計からの全ての測
長ビームが基板テーブルTBのそれぞれの反射面に照射
されている。これは、ウエハ交換と同時に基準マーク板
FM上の異なるマークを投影光学系PL内を透過する露
光光を用いる不図示のレチクルアライメントセンサと、
前記アライメント光学系(オフアクシス・アライメント
センサ)ALGとにより同時に観察できるように、基準
マーク板FMを基板テーブルTBの三角形頂点部の一端
に配置したため、このときに測長ビームが基板テーブル
TBのそれぞれの反射面から外れないようにしたもので
ある。これにより、ウエハ交換と同時に、アンロードさ
れる露光済みウエハの露光の際に、反射面(移動鏡)か
らその測長ビームが外れたアライメント光学系ALG用
の干渉計のリセット動作、レチクルアライメント及びベ
ースライン計測を行なうことが可能になっている。この
レチクルアライメント、ベースライン計測には特開平7
−176468号公報に開示されるクイックアライメン
トモードが使用される。図9には、ウエハW上の1回の
スキャンで露光可能なショットを実線で書き入れてお
り、四角形の破線は、プリスキャン、オーバースキャン
でウエハステージWSTが移動しなければならない位置
を示している。
At the wafer loading position shown in FIG. 9, all the measurement beams from all the interferometers of the interferometer system 76 are irradiated on the respective reflection surfaces of the substrate table TB. This includes a reticle alignment sensor (not shown) that uses exposure light that transmits different marks on the reference mark plate FM through the projection optical system PL at the same time as wafer replacement,
Since the reference mark plate FM is arranged at one end of the triangular apex of the substrate table TB so that it can be observed simultaneously with the alignment optical system (off-axis alignment sensor) ALG, at this time, the measurement beam is applied to each of the substrate tables TB. In such a way as not to deviate from the reflecting surface. Thereby, at the time of exposing the exposed wafer to be unloaded simultaneously with the wafer exchange, the reset operation of the interferometer for the alignment optical system ALG in which the measurement beam is deviated from the reflecting surface (moving mirror), the reticle alignment, and Baseline measurement can be performed. This reticle alignment and baseline measurement are described in
The quick alignment mode disclosed in JP-176468 is used. In FIG. 9, shots that can be exposed by one scan on the wafer W are written by solid lines, and square dashed lines indicate positions where the wafer stage WST must move in prescan and overscan. .

【0240】上記のウエハ交換及びベースライン計測が
終了した時点でアライメント、例えば特開昭61−44
429号公報に開示されるエンハンスド・グローバル・
アライメント(EGA)によるサンプルアライメントが
実行される。すなわち、ウエハステージWSTは、図1
0のウエハW上に記入された矢印(→)に従った順序
で、ウエハ上の少なくとも3つのショット(図10では
8個のショット)にそれぞれ形成されたアライメントマ
ークがアライメント光学系ALGで検出されるように移
動されるとともに、各マーク検出位置におけるウエハス
テージWSTの位置、すなわちアライメント光学系AL
Gの検出中心点(又は光軸)を測長軸が通る干渉計の計
測値を用いて、代表的な複数のマークの位置を計測す
る。この場合のアライメントマークの計測順序は次のよ
うにして決められる。
When the wafer exchange and the baseline measurement are completed, alignment is performed, for example, as described in JP-A-61-44.
No. 429, enhanced global
The sample alignment by the alignment (EGA) is executed. That is, wafer stage WST corresponds to FIG.
The alignment marks formed on at least three shots (eight shots in FIG. 10) on the wafer are detected by the alignment optical system ALG in the order according to the arrow (→) written on the wafer W of “0”. And the position of wafer stage WST at each mark detection position, ie, alignment optical system AL.
The positions of a plurality of representative marks are measured by using a measurement value of an interferometer in which a length measurement axis passes through a G detection center point (or an optical axis). The measurement order of the alignment marks in this case is determined as follows.

【0241】すなわち、ウエハの露光終了がローディン
グ位置に近い左上ショットとなるので、最もスループッ
トが早い完全交互スキャンを行なった時に、総露光ショ
ット行が偶数行の場合は左下ショット、奇数行の場合は
右下ショットが露光開始点となる。従って、基準マーク
板FMでの計測後、その位置に近いショットからアライ
メントが開始され露光開始ショット位置に近い位置でア
ライメントが終了するような効率の良い(処理時間が早
い)アライメントマークの計測順序をウエハステージコ
ントローラ78では決定するのである。
That is, since the end of the wafer exposure is the upper left shot close to the loading position, when a full alternate scan with the highest throughput is performed, the lower left shot is obtained when the total exposure shot row is an even row, and the lower left shot is obtained when the total exposure shot row is an odd row. The lower right shot is the exposure start point. Therefore, after the measurement on the reference mark plate FM, the alignment sequence starts with a shot close to that position and ends at a position close to the exposure start shot position. The wafer stage controller 78 decides.

【0242】上記の計測順序に従ったEGA計測が終了
すると、ウエハステージコントローラ78によりウエハ
ステージWSTの位置計測に用いる干渉計の測長軸が露
光用干渉計光軸(第1、第3測長軸)に切り換えられた
後、ウエハW上の複数ショット領域に対するステップ・
アンド・スキャン方式の露光が開始される。この場合、
図11にも示されるように、総露光行が偶数行なので、
左下より露光が開始され、順次交互にスキャン露光が行
なわれる。最初の1行が左→右の順で露光されると、次
の行は右→左へと交互にステッピングが行なわれ、最終
的に図12のように左上の露光が終了した時点で、図9
のウエハ交換位置までウエハステージWSTが移動する
という動作を繰り返すというシーケンスとなる。上記の
交互スキャンの際に、前述した効率の良いステッピング
制御が行われることは、図11及び図12からも分かる
通りである。
When the EGA measurement according to the above measurement order is completed, the length axis of the interferometer used for measuring the position of wafer stage WST by wafer stage controller 78 is changed to the optical axis of the exposure interferometer (first and third length measurement axes). Axis), the step / step for a plurality of shot areas on the wafer W is performed.
Exposure of the AND scan method is started. in this case,
As shown in FIG. 11, since the total exposure rows are even rows,
Exposure is started from the lower left, and scan exposure is performed sequentially and alternately. When the first line is exposed in the order of left to right, the next line is alternately stepped from right to left, and finally when the upper left exposure is completed as shown in FIG. 9
Is repeated to move the wafer stage WST to the wafer exchange position. It can be seen from FIGS. 11 and 12 that the above-described efficient stepping control is performed during the above-described alternate scanning.

【0243】なお、上記のウエハW上のショット領域に
レチクルRとウエハWとを同期移動して、ウエハW上の
複数のショット領域S1、S2、S3、……にレチクル
Rのパターンを順次転写するステップ・アンド・スキャ
ン方式の走査露光方法において、レチクルRの往復移動
によってレチクルRのパターンが転写されるウエハW上
の任意の2つのショット領域、例えばショットS1、S
2の走査露光間でウエハWを停止することなく移動する
ことが望ましい。この場合には、ウエハW上の順次レチ
クルRのパターンが転写される隣接領域例えばショット
S1、S2の走査露光間でウエハWが停止することがな
いので、その部分に関してはより一層スループットが向
上するからである。
The reticle R and the wafer W are synchronously moved to the above-described shot area on the wafer W, and the pattern of the reticle R is sequentially transferred to a plurality of shot areas S1, S2, S3,. In the step-and-scan scanning exposure method, any two shot areas on the wafer W to which the pattern of the reticle R is transferred by the reciprocating movement of the reticle R, for example, the shots S1 and S
It is desirable to move the wafer W without stopping between the two scanning exposures. In this case, since the wafer W does not stop between adjacent areas on the wafer W where the pattern of the reticle R is sequentially transferred, for example, between the scanning exposures of the shots S1 and S2, the throughput is further improved for that portion. Because.

【0244】この意味からすれば、ウエハWは、レチク
ルRのパターンを転写すべきウエハW上の最後のショッ
ト領域の走査露光が終了するまで、ウエハWの走査方向
及び非走査方向の少なくとも一方の速度成分が零となら
ないように移動されることがより望ましい。かかる場合
には、結果的に複数ショット領域の全てにステップ・ア
ンド・スキャン方式の走査露光が行われる間ウエハが停
止することがないので、最もスループットが向上するか
らである。
In this sense, the wafer W is kept in at least one of the scanning direction and the non-scanning direction of the wafer W until the scanning exposure of the last shot area on the wafer W to which the pattern of the reticle R is to be transferred is completed. More preferably, the velocity component is moved so as not to become zero. In such a case, as a result, the wafer is not stopped while scanning exposure of the step-and-scan method is performed on all of the plurality of shot areas, so that the throughput is most improved.

【0245】以上説明したように、本実施形態の走査型
露光装置10では、レチクルRとウエハWの露光前のウ
エハ助走(加速時間)によるプリスキャン及び、ウエハ
の露光後の等速移動時間と減速時間によるオーバスキャ
ンと同期して次のショットを露光するためのウエハの非
走査方向(非スキャン方向)へのステッピングを行い、
非スキャン方向へのステッピング動作が、ウエハプリス
キャンから露光動作に移るまでの整定時間前には終了す
ることとしたので、スキャン前後のプリスキャン、オー
バースキャン時間を隣のショットにステッピングするス
テッピング時間に完全にオーバーラップさせることがで
き、プリスキャン、オーバースキャン動作と隣のショッ
トにステッピングするステッピング動作とが別々に行わ
れていた従来例に比べて、スループットを向上させるこ
とができる。また、整定時間ではスキャン方向のウエハ
とレチクルとの同期制御のみを行えば良いので結果的に
整定時間を短縮することができ、その分スループットを
向上させることが可能となる。
As described above, in the scanning exposure apparatus 10 of the present embodiment, the pre-scan by the wafer advance (acceleration time) before exposure of the reticle R and the wafer W, and the uniform speed movement time after exposure of the wafer. Stepping in the non-scanning direction (non-scanning direction) of the wafer for exposing the next shot in synchronization with the overscan due to the deceleration time,
Since the stepping operation in the non-scanning direction ends before the settling time before the wafer pre-scan shifts to the exposure operation, the pre-scan and pre-scan times before and after the scan are reduced to the stepping time for stepping to the next shot. It is possible to completely overlap, and it is possible to improve the throughput as compared with the conventional example in which the prescan and overscan operations and the stepping operation for stepping to the next shot are performed separately. Further, only the synchronous control of the wafer and the reticle in the scanning direction needs to be performed in the settling time, so that the settling time can be shortened as a result, and the throughput can be improved accordingly.

【0246】また、本実施形態では、ウエハの露光後の
等速移動時間と減速時間によるオーバスキャンに対応す
る部分の非スキャン方向加速度が、ウエハ助走(加速時
間)によるプリスキャンに対応する部分の非スキャン方
向負の加速度より絶対値が大きくなるような制御も可能
なので、高加速によるボディの揺れ等を同期制御のため
の整定時間前には完全に減衰させられるため、その分制
御性が良くなり、スループットを向上させることが可能
となる。
In this embodiment, the non-scanning direction acceleration of the portion corresponding to overscan due to the constant speed movement time and the deceleration time after exposure of the wafer is the same as that of the portion corresponding to prescan by wafer advance (acceleration time). Control is also possible in which the absolute value is larger than the negative acceleration in the non-scan direction, so that the body shake etc. due to high acceleration can be completely attenuated before the settling time for the synchronous control, so the controllability is improved accordingly. Thus, the throughput can be improved.

【0247】また、本実施形態に係る走査型露光装置1
0によると、露光時に、ウエハWの非走査方向の位置
を、走査方向であるY軸に対してそれぞれθ1、θ2の
角度を成す2つの異なる方向に光軸を有する第1、第3
の干渉計76X1、76X2の計測値に基づいて演算で
求め、ウエハWの走査方向の位置はY軸方向の測長軸を
有する第2の干渉計76Yにて測長を行なうようにした
ので、基板テーブルTB(従ってウエハステージWS
T)の形状を三角形状(上記実施形態では正三角形状)
にすることが可能となる。これにより、図13に示され
るように、高加減速、最高速度上昇時にも従来の四角形
形状のステージst3に比べて、ウエハステージWST
の軽量化を図れるとともに、フットプリントを改善し、
スループットを向上させることができる。図13は、干
渉計多軸化及びプリスキャン、オーバースキャンによっ
て図中に矢印(→)にて表示される干渉計光軸がケラレ
ないようにするための移動鏡距離悪化分Dx,Dyによ
り、四角形形状ステージst3が、ウエハを保持するた
めに必要最低限の大きさの四角形形状ステージst1に
比べて著しく大きくなっているのに対し、本実施形態の
ステージWSTでは同じDx,Dyの距離悪化分があっ
てもステージ形状は、四角形形状ステージst3に比べ
てはるかに小さいもので済むことを示している。
Further, the scanning type exposure apparatus 1 according to the present embodiment
According to 0, the position of the wafer W in the non-scanning direction at the time of exposure is set to the first and third optical axes in two different directions forming angles of θ1 and θ2 with respect to the Y-axis which is the scanning direction.
The position of the wafer W in the scanning direction is measured by the second interferometer 76Y having a length measuring axis in the Y-axis direction, and is obtained by calculation based on the measured values of the interferometers 76X1 and 76X2. The substrate table TB (therefore, the wafer stage WS
T) is triangular (in the above embodiment, equilateral triangular)
It becomes possible to. As a result, as shown in FIG. 13, even at the time of high acceleration / deceleration and maximum speed increase, the wafer stage WST
, While improving the footprint,
Throughput can be improved. FIG. 13 is a graph showing the deterioration of the moving mirror distance Dx and Dy for preventing the vignetting of the optical axis of the interferometer, which is indicated by an arrow (→) in the figure due to the multi-axis interferometer and the prescan and overscan. The square stage st3 is significantly larger than the minimum required square stage st1 for holding a wafer, whereas the stage WST of the present embodiment has the same Dx and Dy distance deterioration. This indicates that the stage shape needs to be much smaller than that of the square stage st3.

【0248】また、走査方向の位置を計測する第2の干
渉計76Yの計測値に基づきウエハステージWSTのヨ
ーイングを算出するようにしたので、そのヨーイング量
を露光時のウエハステージ回転誤差としてレチクルRを
保持するレチクルステージ側で補正することが可能であ
るから、ウエハステージWSTに回転制御機構が不要と
なり、その分ウエハステージを軽量化することができ
る。
Further, since the yawing of wafer stage WST is calculated based on the measurement value of second interferometer 76Y for measuring the position in the scanning direction, the yawing amount is used as a reticle R error as a wafer stage rotation error during exposure. Can be corrected on the side of the reticle stage that holds the rotation angle, so that a rotation control mechanism is not required for the wafer stage WST, and the weight of the wafer stage can be reduced accordingly.

【0249】また、第1、第3の干渉計76X1、76
X2のそれぞれの1光軸(第1測長軸、第3測長軸)の
延長した交点は投影光学系PLの光軸と一致し、それぞ
れ他方の光軸(第2測長軸、第4測長軸)の延長した交
点はアライメント光学系ALGの検出中心と一致させて
いるので、露光時及びアライメント時にもアッベ誤差の
ないステージ位置の計測が可能となり、重ね合わせ精度
が向上する。
The first and third interferometers 76X1, 76X
The extended intersection of each one optical axis (first and third length measuring axes) of X2 coincides with the optical axis of the projection optical system PL, and the other optical axis (second measuring axis and fourth length axis) respectively. Since the intersection of the extended length measurement axis) coincides with the detection center of the alignment optical system ALG, the stage position without Abbe error can be measured even during exposure and alignment, and the overlay accuracy is improved.

【0250】また、第1、第2及び第3の干渉計はウエ
ハWを保持するウエハステージWSTのそれぞれ異なる
側面に形成された第1、第2及び第3反射面との距離を
測長し、ウエハステージ周辺の露光時に、レチクルRと
ウエハWの相対走査時にウエハの助走,等速移動までの
整定時間によるプリスキャン距離及び、ウエハWの露光
後の等速移動時間及び減速時間によるオーバスキャン距
離により各干渉計光軸がウエハステージWSTのそれぞ
れ異なる第1、第2及び第3反射面から外れないよう
に、ウエハステージWSTの加速度及び、最高速度、整
定時間を決定することとしたので、余分に反射面を延ば
す必要がない。このため、ウエハステージ(基板テーブ
ルTB)の3つの側面の範囲内に反射面を設定できるの
で、ウエハステージWSTのバランスが良くなり、ステ
ージ剛性を高めることが可能となり、その結果、ウエハ
ステージのフォーカス,チルト制御応答を向上させるこ
とができる。
The first, second and third interferometers measure the distances to the first, second and third reflecting surfaces formed on different side surfaces of wafer stage WST holding wafer W, respectively. At the time of exposure around the wafer stage, the prescan distance based on the settling time until the wafer approaches and moves at a constant speed during the relative scanning of the reticle R and the wafer W, and the overscan based on the constant speed movement time and the deceleration time after the exposure of the wafer W The acceleration, maximum speed, and settling time of the wafer stage WST are determined so that the optical axis of each interferometer does not deviate from the different first, second, and third reflecting surfaces of the wafer stage WST depending on the distance. There is no need to extend the reflective surface extra. Therefore, since the reflecting surface can be set within the range of the three side surfaces of the wafer stage (substrate table TB), the balance of the wafer stage WST is improved, and the stage rigidity can be increased. As a result, the focus of the wafer stage can be improved. , The tilt control response can be improved.

【0251】また、前記第1、第2及び第3の各干渉計
光軸が前記ウエハステージのそれぞれ異なる第1、第2
及び第3反射面から外れないウエハステージ上の位置
に、ベースライン計測、結像特性計測、照射量計測を行
なう基準マーク板FM及び空間像検出器KESを配置す
ることとしたので、基準マーク板FM及び空間像検出器
KESによる計測のために移動鏡(あるいは反射面)を
延ばす必要が無くなることもウエハステージWSTの軽
量化につながる。
The first, second, and third interferometer optical axes are different from each other on the wafer stage.
And the reference mark plate FM and the aerial image detector KES for performing baseline measurement, imaging characteristic measurement, and irradiation amount measurement are arranged at positions on the wafer stage that do not deviate from the third reflection surface. Eliminating the need to extend the moving mirror (or reflecting surface) for measurement by the FM and the aerial image detector KES also leads to a reduction in the weight of the wafer stage WST.

【0252】また、ウエハステージWSTを駆動するた
めの駆動系が設置された可動型定盤38はウエハステー
ジWSTの移動時加減速に伴う反力に応じて移動するよ
うに構成したので、ウエハステージWSTの重心移動に
よる偏荷重を可動型定盤38の重心移動によりキャンセ
ルすることが可能となり、これにより防振装置20の負
荷を軽減することができるとともに、偏荷重によるボデ
ィの歪を最小限に抑えることが可能となり、レチクルR
とウエハWの位置決め精度を向上させることができる。
Also, the movable surface plate 38 provided with a drive system for driving the wafer stage WST is configured to move in accordance with the reaction force accompanying the acceleration / deceleration during the movement of the wafer stage WST. The offset load due to the movement of the center of gravity of the WST can be canceled by the movement of the center of gravity of the movable platen 38, thereby reducing the load on the vibration isolator 20 and minimizing the distortion of the body due to the offset load. Reticle R
And the positioning accuracy of the wafer W can be improved.

【0253】また、前記可動型定盤38は数Hzの応答
周波数で駆動制御可能であり、ウエハステージWSTの
移動の際の加減速時にはその反力を打ち消すように駆動
制御し(自由に運動させ)、また、ステージ姿勢(偏荷
重)により可動型定盤38が任意の方向に移動しないよ
うに前記応答周波数で制御することもできるので、レチ
クルの位置可変や、環境変化による偏荷重の防止が可能
となる。
The movable surface plate 38 can be driven and controlled at a response frequency of several Hz, and is driven and controlled so as to cancel the reaction force during acceleration / deceleration of the movement of the wafer stage WST (free movement). In addition, the response frequency can be controlled so that the movable platen 38 does not move in any direction by the stage posture (unbalanced load), so that the position of the reticle can be changed and the unbalanced load due to environmental change can be prevented. It becomes possible.

【0254】更に、ウエハステージWSTの重量が可動
型定盤38の重量の1/9以下になるように設定されて
いるので、可動型定盤38がウエハステージWSTの移
動時加減速に伴う反力に応じて移動する距離が、ウエハ
ステージWSTの移動距離の1/10以下になり、可動
型定盤38の必要移動範囲を小さく設定することができ
る。
Further, since the weight of wafer stage WST is set so as to be 1/9 or less of the weight of movable surface plate 38, the movement of movable surface plate 38 due to acceleration / deceleration during movement of wafer stage WST is reduced. The moving distance according to the force becomes 1/10 or less of the moving distance of wafer stage WST, and the necessary moving range of movable table 38 can be set small.

【0255】また、位置制御精度を必要とする露光及び
アライメント前の可動型定盤38の応答周波数と、それ
以外の応答周波数を可変とし、可動型定盤38は2方向
の位置がリニアエンコーダ45によってモニタされてお
り、位置制御精度を必要とする露光及びアライメント以
外の駆動動作時に可動型定盤38の位置を所定の位置に
補正することとしたので、ウエハ加減速時の反作用にて
可動型定盤38が逆方向に移動する距離を1桁以上少な
くすることができる。すなわち、露光及びアライメント
時に高精度で制御することが可能な上に、その他の条件
にて可動型定盤38の位置を任意の位置に設定し直すこ
とが可能となり、フットプリントを小さくすることがで
きる。
Further, the response frequency of the movable surface plate 38 before exposure and alignment that requires position control accuracy and the other response frequencies are variable. The position of the movable platen 38 is corrected to a predetermined position during a driving operation other than exposure and alignment that requires position control accuracy. The distance that the platen 38 moves in the reverse direction can be reduced by one digit or more. That is, in addition to being able to control with high accuracy at the time of exposure and alignment, it is possible to reset the position of the movable platen 38 to an arbitrary position under other conditions, and to reduce the footprint. it can.

【0256】なお、上記実施形態では、本発明に係る走
査露光方法を図8(A)〜(C)を用いて詳細に説明し
たが、本発明がこれに限定されないことは勿論である。
先の説明中と同一の符号をもって、他の例を説明すれ
ば、ショットS1の走査露光終了後に、ウエハWの走査
方向の移動速度が零となるまで、ウエハWを減速させつ
つ走査方向と交差する方向に移動し、かつショットS2
の走査露光前に、ウエハWを加速させつつ走査方向と交
差する方向に移動しても良い。このようにすれば、ショ
ットS1の走査露光終了後に、ウエハWはV字状の経路
に沿って移動されるので、最短距離に近い経路でウエハ
Wが移動され、その分スループットの向上が可能とな
る。あるいは、ショットS1の走査露光終了後のウエハ
Wの減速中、及びショットS2の走査露光前のウエハW
の加速中に、ウエハWを走査方向及び非走査方向と交差
する方向に移動しても良い。かかる場合にも、結果的に
ウエハWはV字状の経路に沿って移動されるので、最短
距離に近い経路でウエハが移動され、その分スループッ
トの向上が可能となる。
In the above embodiment, the scanning exposure method according to the present invention has been described in detail with reference to FIGS. 8A to 8C. However, it goes without saying that the present invention is not limited to this.
To describe another example using the same reference numerals as those in the above description, after the scanning exposure of the shot S1 is completed, the wafer W is decelerated until the moving speed of the wafer W in the scanning direction becomes zero, while intersecting the scanning direction. Move in the direction of shot S2
Before the scanning exposure, the wafer W may be moved in a direction crossing the scanning direction while being accelerated. With this configuration, after the scanning exposure of the shot S1 is completed, the wafer W is moved along the V-shaped path, so that the wafer W is moved along the path closest to the shortest distance, and the throughput can be improved accordingly. Become. Alternatively, during the deceleration of the wafer W after the scanning exposure of the shot S1, and the wafer W before the scanning exposure of the shot S2.
The wafer W may be moved in a direction intersecting the scanning direction and the non-scanning direction during the acceleration. Even in such a case, as a result, the wafer W is moved along the V-shaped path, so that the wafer is moved along a path that is close to the shortest distance, and the throughput can be improved accordingly.

【0257】これらの場合も、ウエハWは、ショットS
1の走査露光とショットS2の走査露光との間で停止す
ることなく移動されることが望ましいことは言うまでも
ない。
In these cases, too, the wafer W
Needless to say, it is desirable to move without stopping between the scanning exposure of one and the scanning exposure of the shot S2.

【0258】なお、上記実施形態では、ウエハステージ
WSTとして正三角形状のステージを採用し、これに合
わせて3つの異なる方向からそれぞれウエハステージW
STの位置を計測する第1〜第3の干渉計から成る干渉
計システムを採用した場合について説明したが、これ
は、本発明の目的であるスループットの向上をより効果
的に達成しようとの観点からこのようにしたものであっ
て、本発明がこれに限定されないことは勿論である。す
なわち、通常の正方形、長方形のウエハステージであっ
ても、本発明は上記実施形態と同様に好適に適用でき、
スループットの向上という効果は、程度の差こそあれ十
分に得られるものである。
In the above embodiment, an equilateral triangular stage is employed as wafer stage WST, and wafer stages WST are respectively adjusted from three different directions.
The case where the interferometer system including the first to third interferometers for measuring the position of the ST is adopted has been described. However, this is based on the viewpoint of more effectively achieving the improvement of the throughput which is the object of the present invention. Therefore, it is needless to say that the present invention is not limited to this. That is, the present invention can be suitably applied to a normal square or rectangular wafer stage similarly to the above embodiment,
The effect of improving the throughput can be sufficiently obtained to some extent.

【0259】また、上記実施形態では、ウエハステージ
WSTが移動ステージ52、レベリング駆動機構、基板
テーブルTB等を備えた場合について説明したが、本発
明がこれに限定されることはなく、例えば、単なる板状
の部材を基板ステージとして用いても構わない。かかる
板状部材であっても、いわゆる2次元平面モータ(Z駆
動コイルを備えたもの)等を用いれば、XY平面に対す
る傾斜駆動、Z方向駆動は可能だからである。
Further, in the above embodiment, the case where wafer stage WST includes moving stage 52, leveling drive mechanism, substrate table TB, and the like has been described. However, the present invention is not limited to this. A plate-like member may be used as the substrate stage. Even if such a plate-shaped member is used, a so-called two-dimensional plane motor (having a Z drive coil) or the like can be used for tilt drive and Z-direction drive with respect to the XY plane.

【0260】また、上記実施形態では、第1〜第3反射
面60a、60b、60cの全てを基板テーブルTBの
側面に鏡面加工にて形成する場合について説明したが、
本発明がこれに限定されるものではなく、いずれか任意
の1つ又は2つを平面鏡から成る移動鏡の反射面にて構
成しても構わないことは勿論である。
In the above embodiment, the case where all of the first to third reflecting surfaces 60a, 60b and 60c are formed on the side surface of the substrate table TB by mirror finishing has been described.
The present invention is not limited to this, and it is a matter of course that any one or two of them may be constituted by a reflecting surface of a moving mirror composed of a plane mirror.

【0261】なお、上記実施形態では、投影光学系PL
として、石英や螢石を光学硝材とした屈折光学素子(レ
ンズ)のみで構成される縮小投影レンズを用いる場合に
ついて説明したが、本発明がこれに限定されることはな
く、その他のタイプの投影光学系であっても全く同様に
適用できるものである。そこで、図14を参照して、そ
の他のタイプの投影光学系について簡単に説明する。
In the above embodiment, the projection optical system PL
As described above, a case was described in which a reduction projection lens composed of only a refractive optical element (lens) using quartz or fluorite as an optical glass material was used. However, the present invention is not limited to this and other types of projection lens are used. The same applies to an optical system. Therefore, another type of projection optical system will be briefly described with reference to FIG.

【0262】図14(A)は、屈折光学素子(レンズ
系)GS1〜GS4、凹面鏡MRs、ビームスプリッタ
PBSを組み合わせた縮小投影光学系であり、この系の
特徴はレチクルRからの結像光束を大きなビームスプリ
ッタPBSを介して凹面鏡MRsで反射させて再びビー
ムスプリッタPBSに戻し、屈折レンズ系GS4で縮小
率を稼いで投影像面PF3(ウエハW)上に結像する点
であり、詳しくは特開平3−282527号公報に開示
されている。
FIG. 14A shows a reduction projection optical system combining refracting optical elements (lens systems) GS1 to GS4, concave mirrors MRs, and a beam splitter PBS. This point is reflected by the concave mirror MRs via the large beam splitter PBS, returned to the beam splitter PBS again, formed into an image on the projection image plane PF3 (wafer W) by obtaining a reduction ratio by the refraction lens system GS4. It is disclosed in Japanese Unexamined Patent Publication No. 3-282527.

【0263】図14(B)は、屈折光学素子(レンズ
系)GS1〜GS4、小ミラーMRa、凹面鏡MRsを
組み合わせた縮小投影光学系であり、この系の特徴は、
レチクルRからの結像光束を、レンズ系GS1,GS
2,凹面鏡MRsからなるほぼ等倍の第1結像系PL
1、偏心配置の小ミラーMRa、そしてレンズ系GS
3,GS4で構成されてほぼ所望の縮小率を持った第2
結像系PL2を通して投影像面PF3(ウエハW)上に
結像させる点であり、詳しくは特開平8−304705
号公報に開示されている。
FIG. 14B shows a reduction projection optical system in which refractive optical elements (lens systems) GS1 to GS4, a small mirror MRa, and a concave mirror MRs are combined.
The image forming light beam from the reticle R is transmitted to the lens systems GS1 and GS.
2. First imaging system PL of approximately equal magnification composed of concave mirrors MRs
1. Small mirror MRa with eccentric arrangement and lens system GS
And GS4, which has a substantially desired reduction ratio.
An image is formed on a projection image plane PF3 (wafer W) through an imaging system PL2.
No. 6,086,045.

【0264】なお、上記実施形態では、アライメント光
学系としてオフアクシス・アライメントセンサALGを
用いる場合について説明したが、これに限らず、TTL
(スルー・ザ・レンズ)タイプ等のオンアクシス・アラ
イメント光学系を用いても勿論良い。かかる場合には、
第2の干渉計76Yと同様に、第1、第3の干渉計76
X1、76X2から射出している2本の光束(測長ビー
ム)の中心線の延長線が交差する位置に投影光学系PL
の光軸が位置するようにし、3ヶ所全ての2軸光束で計
測した結果の差分の平均値によりウエハステージヨーイ
ングを決定すれば、ヨーイング計測精度が1/√3に向
上する。
In the above embodiment, the case where the off-axis alignment sensor ALG is used as the alignment optical system has been described.
Of course, an on-axis alignment optical system such as a (through-the-lens) type may be used. In such cases,
Similarly to the second interferometer 76Y, the first and third interferometers 76
The projection optical system PL is located at a position where the extension of the center line of the two light beams (length measuring beams) emitted from X1 and 76X2 intersects.
When the wafer stage yawing is determined based on the average value of the difference between the results measured with all three biaxial light beams, the yawing measurement accuracy is improved to 1 / √3.

【0265】ところで、本発明者は、主として二重露光
の際のスループットを向上させようとの観点から、ウエ
ハステージ(基板ステージ)を2つ備え、一方のウエハ
ステージ上のウエハに対する露光動作中に、他方のウエ
ハステージ上でウエハ交換、アライメント等の他の動作
を並行して行なう露光装置を先に提案した(特開平10
−163097号公報、特開平10−163098号公
報等参照)。これらの公報に記載の露光装置は、二重露
光でなく、通常の露光に用いれば、二重露光の場合より
更にスループットが向上することは明らかである。ま
た、これらの公報に記載の露光装置に、上記第1の実施
形態で説明した走査露光方法を採用すると、通常露光及
び二重露光のいずれの場合であっても、更に一層スルー
プットの向上を図ることが可能である。
By the way, the present inventor provided two wafer stages (substrate stages) mainly from the viewpoint of improving the throughput at the time of double exposure, during exposure operation on a wafer on one of the wafer stages. An exposure apparatus that performs other operations such as wafer exchange and alignment on the other wafer stage in parallel has been proposed (Japanese Patent Laid-Open No.
-163097, JP-A-10-163098, etc.). It is apparent that the exposure apparatuses described in these publications can improve the throughput further by using ordinary exposure instead of double exposure as compared with the case of double exposure. Further, when the scanning exposure method described in the first embodiment is adopted in the exposure apparatuses described in these publications, the throughput is further improved in both the normal exposure and the double exposure. It is possible.

【0266】しかしながら、かかる場合には、特開平1
0−163098号公報に記載のように、一方のウエハ
ステージ側と他方のウエハステージ側との動作を、お互
いに影響を与えないような動作同士を同期させて行う等
の制御上の工夫に加え、上記第1の実施形態で説明した
ようなウエハステージの制御を行わなければならいの
で、ステージ制御系の制御プログラムが大変複雑なもの
になってしまう。かかる不都合を改善すべくなされたの
が、次の第2の実施形態である。
However, in such a case, Japanese Patent Application Laid-Open
As described in Japanese Patent Application Laid-Open No. 0-163098, in addition to control measures such as performing operations on one wafer stage side and the other wafer stage side such that operations that do not affect each other are synchronized with each other. Since the wafer stage must be controlled as described in the first embodiment, the control program of the stage control system becomes very complicated. The following second embodiment has been made to improve such inconvenience.

【0267】《第2の実施形態》次に、本発明の第2の
実施形態を図15〜図19に基づいて説明する。
<< Second Embodiment >> Next, a second embodiment of the present invention will be described with reference to FIGS.

【0268】図15には、第2の実施形態に係る露光装
置110の概略構成が示されている。ここで、前述した
第1の実施形態と同一若しくは同等の部分については、
同一の符号を用いるとともにその説明を簡略にし、若し
くは省略するものとする。この露光装置110は、いわ
ゆるステップ・アンド・スキャン方式の走査露光型の投
影露光装置である。
FIG. 15 shows a schematic configuration of an exposure apparatus 110 according to the second embodiment. Here, for the same or equivalent parts as in the first embodiment described above,
The same reference numerals are used and the description is simplified or omitted. The exposure apparatus 110 is a so-called step-and-scan type scanning exposure type projection exposure apparatus.

【0269】この露光装置110は、基板としてのウエ
ハW1、W2をそれぞれ保持して独立して2次元方向に
移動する第1可動体としての2つの正方形のウエハステ
ージWST1、WST2を備えたステージ装置101、
このステージ装置101の上方に配置された投影光学系
PL、投影光学系PLの上方でマスクとしてのレチクル
Rを主として所定の走査方向、ここではY軸方向(図1
5における紙面直交方向)に駆動するレチクル駆動機
構、レチクルRを上方から照明する照明光学系18及び
これら各部を制御する制御系等を備えている。
Exposure apparatus 110 is a stage apparatus provided with two square wafer stages WST1 and WST2 as first movable bodies that respectively hold wafers W1 and W2 as substrates and move independently in two-dimensional directions. 101,
The projection optical system PL disposed above the stage apparatus 101 and the reticle R as a mask above the projection optical system PL are mainly used in a predetermined scanning direction, here, the Y-axis direction (FIG. 1).
5, a reticle drive mechanism that drives the reticle R from above, a control system that controls these components, and the like.

【0270】前記ステージ装置101は、前記架台部
(第1コラム)16を構成する定盤22と、この定盤2
2上にXY面内で相対移動可能に支持された第2可動体
としての長方形の可動型定盤138と、この可動型定盤
138上にXY面内で該可動型定盤138に対して相対
移動可能に支持された前記2つのウエハステージWST
1、WST2と、ウエハステージWST1、WST2の
位置を計測する干渉計システムとを備えている。可動型
定盤138としては、前述した第1の実施形態の可動型
定盤38と同様の構成のものが用いられている。なお、
この可動型定盤138の役割等については更に後述す
る。
The stage device 101 includes a surface plate 22 forming the gantry (first column) 16,
2, a rectangular movable platen 138 as a second movable body supported to be relatively movable in the XY plane, and the movable platen 138 on the movable platen 138 in the XY plane. The two wafer stages WST supported so as to be relatively movable.
1, WST2, and an interferometer system for measuring the positions of wafer stages WST1, WST2. As the movable surface plate 138, a structure similar to that of the movable surface plate 38 of the first embodiment described above is used. In addition,
The role of the movable platen 138 will be described later.

【0271】ウエハステージWST1、WST2は、投
影光学系PL下方で可動型定盤138上に設けられた駆
動装置としての平面磁気浮上型リニアアクチュエータ4
2a、42bによってそれぞれ浮上支持されるととも
に、投影光学系PLの光軸AXと直交するXY2次元平
面内で相互に独立して駆動されるようになっている。ま
た、可動型定盤138は、ウエハステージWST1、W
ST2と同様に、定盤22上に設けられた第2の駆動装
置としての平面磁気浮上型リニアアクチュエータ44に
よって浮上支持されるとともに、XY2次元平面内で自
在に駆動されるようになっている。なお、平面磁気浮上
型リニアアクチュエータ42a、42b、44は、図1
5のステージ制御装置160によって制御されるように
なっている。
Wafer stages WST1 and WST2 are planar magnetic levitation linear actuators 4 as driving devices provided on movable table 138 below projection optical system PL.
2a and 42b, respectively, and are driven independently of each other in an XY two-dimensional plane orthogonal to the optical axis AX of the projection optical system PL. Further, the movable type platen 138 includes wafer stages WST1 and WST1.
Similarly to ST2, it is levitated and supported by a planar magnetic levitation type linear actuator 44 as a second driving device provided on the surface plate 22, and is freely driven in an XY two-dimensional plane. The planar magnetic levitation linear actuators 42a, 42b, and 44 are shown in FIG.
5 is controlled by the stage controller 160.

【0272】前記ウエハステージWST1、WST2上
には、不図示のウエハホルダを介してウエハW1、W2
が静電吸着又は真空吸着等により固定されている。ウエ
ハホルダは、不図示のZ・θ駆動機構によって、XY平
面に直交するZ軸方向及びθ方向(Z軸回りの回転方
向)に微小駆動されるようになっている。また、ウエハ
ステージWST1、WST2の上面には、種々の基準マ
ークが形成された基準マーク板FM1、FM2がウエハ
W1、W2とそれぞれほぼ同じ高さになるように設置さ
れている。これらの基準マーク板FM1、FM2は、例
えば各ウエハステージの基準位置を検出する際に用いら
れる。
On wafer stages WST1 and WST2, wafers W1 and W2 are placed via a wafer holder (not shown).
Are fixed by electrostatic suction or vacuum suction. The wafer holder is minutely driven in a Z-axis direction and a θ-direction (a rotation direction around the Z-axis) orthogonal to the XY plane by a Z · θ drive mechanism (not shown). Further, on the upper surface of wafer stages WST1 and WST2, fiducial mark plates FM1 and FM2 on which various fiducial marks are formed are installed so as to be approximately the same height as wafers W1 and W2. These reference mark plates FM1 and FM2 are used, for example, when detecting the reference position of each wafer stage.

【0273】また、図16に示されるように、ウエハス
テージWST1のX軸方向一側の面(図15における左
側面)120とY軸方向一側の面(図15における紙面
奥側の面)121とは、鏡面仕上げがなされた反射面と
なっており、同様に、ウエハステージWST2のX軸方
向他側の面(図15における右側面)122とY軸方向
一側の面123とは、鏡面仕上げがなされた反射面とな
っている。これらの反射面に、後述する干渉計システム
を構成する各測長軸(BI1X、BI2X等)の干渉計
ビームが投射され、その反射光を各干渉計で受光するこ
とにより、各反射面の基準位置(一般には投影光学系側
面やアライメント光学系の側面に固定ミラーを配置し、
そこを基準面とする)からの変位を計測し、これによ
り、ウエハステージWST1、WST2の2次元位置が
それぞれ計測されるようになっている。なお、干渉計シ
ステムの測長軸の構成については、後に詳述する。
As shown in FIG. 16, a surface 120 on one side in the X-axis direction (left side surface in FIG. 15) of wafer stage WST1 and a surface on one side in the Y-axis direction (rear surface in FIG. 15). Reference numeral 121 denotes a mirror-finished reflection surface. Similarly, a surface 122 on the other side in the X-axis direction (the right side surface in FIG. 15) of the wafer stage WST2 and a surface 123 on one side in the Y-axis direction The mirror surface is a reflective surface. The interferometer beams of the respective measurement axes (BI1X, BI2X, etc.) constituting the interferometer system described later are projected on these reflecting surfaces, and the reflected light is received by the interferometers, whereby the reference of each reflecting surface is obtained. Position (generally, a fixed mirror is placed on the side of the projection optical system or the side of the alignment optical system,
Then, the displacement from the reference plane is measured, whereby the two-dimensional positions of the wafer stages WST1 and WST2 are respectively measured. The configuration of the measurement axis of the interferometer system will be described later in detail.

【0274】投影光学系PLのX軸方向の両側には、図
15に示されるように、同じ機能を持ったオフアクシス
(off-axis)方式のアライメント系124a、124b
が、投影光学系PLの光軸中心(レチクルパターン像の
投影中心と一致)よりそれぞれ同一距離だけ離れた位置
に設置されている。これらのアライメント系124a、
124bは、LSA(Laser Step Alignment)系、FI
A( Filed Image Alignment)系、LIA(Laser Inte
rferometric Alignment )系の3種類のアライメントセ
ンサを有しており、基準マーク板上の基準マーク及びウ
エハ上のアライメントマークのX、Y2次元方向の位置
計測を行うことが可能である。
On both sides of the projection optical system PL in the X-axis direction, as shown in FIG. 15, off-axis alignment systems 124a and 124b having the same function.
Are located at the same distance from the optical axis center of the projection optical system PL (coincident with the projection center of the reticle pattern image). These alignment systems 124a,
124b is an LSA (Laser Step Alignment) system, FI
A (Filed Image Alignment) system, LIA (Laser Inte
It has three types of alignment sensors of the rferometric Alignment type, and can measure the position of the reference mark on the reference mark plate and the alignment mark on the wafer in the X and Y two-dimensional directions.

【0275】ここで、LSA系は、レーザ光をマークに
照射して、回折・散乱された光を利用してマーク位置を
計測する最も汎用性のあるセンサであり、従来から幅広
いプロセスウエハに使用される。FIA系は、ハロゲン
ランプ等のブロードバンド(広帯域)光でマークを照明
し、このマーク画像を画像処理することによってマーク
位置を計測するセンサであり、アルミ層やウエハ表面の
非対称マークに有効に使用される。また、LIA系は、
回折格子状のマークに周波数をわずかに変えたレーザ光
を2方向から照射し、発生した2つの回折光を干渉させ
て、その位相からマークの位置情報を検出するセンサで
あり、低段差や表面荒れウエハに有効に使用される。
Here, the LSA system is the most versatile sensor that irradiates a laser beam onto a mark and measures the position of the mark by using diffracted and scattered light. Is done. The FIA system is a sensor that illuminates a mark with broadband (broadband) light such as a halogen lamp and measures the mark position by processing the mark image, and is used effectively for an asymmetric mark on an aluminum layer or a wafer surface. You. In addition, LIA system
A sensor that irradiates a diffraction grating mark with laser light whose frequency is slightly changed from two directions, interferes the two generated diffraction lights, and detects mark position information from its phase. Used effectively for rough wafers.

【0276】本第2の実施形態では、これら3種類のア
ライメントセンサを、適宜目的に応じて使い分け、ウエ
ハ上の3点の一次元マークの位置を検出してウエハの概
略位置計測を行ういわゆるサーチアライメントや、ウエ
ハ上の各ショット領域の正確な位置計測を行うファイン
アライメント等を行うようになっている。
In the second embodiment, these three types of alignment sensors are properly used according to the purpose, and a so-called search for detecting the positions of three one-dimensional marks on the wafer and measuring the approximate position of the wafer is performed. Alignment and fine alignment for accurately measuring the position of each shot area on the wafer are performed.

【0277】この場合、アライメント系124aは、ウ
エハステージWST1上に保持されたウエハW1上のア
ライメントマーク及び基準マーク板FM1上に形成され
た基準マークの位置計測等に用いられる。また、アライ
メント系124bは、ウエハステージWST2上に保持
されたウエハW2上のアライメントマーク及び基準マー
ク板FM2上に形成された基準マークの位置計測等に用
いられる。
In this case, alignment system 124a is used for position measurement of an alignment mark on wafer W1 held on wafer stage WST1 and a reference mark formed on reference mark plate FM1. Further, alignment system 124b is used for position measurement of alignment marks on wafer W2 held on wafer stage WST2 and reference marks formed on reference mark plate FM2.

【0278】これらのアライメント系124a、124
bを構成する各アライメントセンサからの情報は、アラ
イメント制御装置180によりA/D変換され、デジタ
ル化された波形信号を演算処理してマーク位置が検出さ
れる。この結果が主制御装置190に送られ、主制御装
置190からその結果に応じてステージ制御装置160
に対し露光時の同期位置補正等が指示されるようになっ
ている。
The alignment systems 124a and 124
The information from each of the alignment sensors constituting b is A / D converted by the alignment control device 180, and the digitized waveform signal is subjected to arithmetic processing to detect the mark position. The result is sent to main controller 190, and from main controller 190, stage controller 160 is controlled in accordance with the result.
, A synchronous position correction at the time of exposure or the like is instructed.

【0279】また、図示は省略されているが、投影光学
系PL、アライメント系124a、124bのそれぞれ
には、上記特開平10−163098号公報に開示され
るような、合焦位置を調べるためのオートフォーカス/
オートレベリング(AF/AL)計測機構が設けられて
いる。
Although not shown, each of the projection optical system PL and the alignment systems 124a and 124b is used to check the in-focus position as disclosed in the above-mentioned JP-A-10-163098. auto focus/
An auto-leveling (AF / AL) measurement mechanism is provided.

【0280】次に、レチクル駆動機構について、図15
及び図16に基づいて説明する。
Next, the reticle driving mechanism will be described with reference to FIG.
This will be described with reference to FIG.

【0281】このレチクル駆動機構は、レチクルベース
定盤28上をレチクルRを保持してXYの2次元方向に
移動可能なレチクルステージRSTと、このレチクルス
テージRSTを駆動する不図示のリニアモータ等から成
る駆動系29と、レチクルステージRSTに固定された
移動鏡31を介してレチクルステージRSTの位置を計
測するレチクルレーザ干渉計30とを備えている。
The reticle driving mechanism comprises a reticle stage RST that can move in the two-dimensional XY directions while holding a reticle R on the reticle base platen 28, and a linear motor (not shown) that drives the reticle stage RST. And a reticle laser interferometer 30 that measures the position of the reticle stage RST via a movable mirror 31 fixed to the reticle stage RST.

【0282】これを更に詳述すると、レチクルステージ
RSTには、図16に示されるように、2枚のレチクル
R1、R2がスキャン方向(Y軸方向)に直列に設置で
きるようになっており、このレチクルステージRST
は、不図示のエアーベアリング等を介してレチクルベー
ス定盤28上に浮上支持され、駆動系29によりX軸方
向の微小駆動、θ方向の微小回転及びY軸方向の走査駆
動がなされるようになっている。なお、駆動系29は、
リニアモータを駆動源とする機構であるが、図15では
図示の便宜上及び説明の便宜上から単なるブロックとし
て示しているものである。このため、レチクルステージ
RST上のレチクルR1、R2が例えば二重露光の際に
選択的に使用され、いずれのレチクルについてもウエハ
側と同期スキャンできるような構成となっている。
More specifically, as shown in FIG. 16, on the reticle stage RST, two reticles R1 and R2 can be set in series in the scanning direction (Y-axis direction). This reticle stage RST
Is floated and supported on a reticle base surface plate 28 via an air bearing or the like (not shown) so that a driving system 29 performs minute driving in the X-axis direction, minute rotation in the θ direction, and scanning driving in the Y-axis direction. Has become. The drive system 29 is
Although the mechanism uses a linear motor as a drive source, it is shown as a simple block in FIG. 15 for convenience of illustration and description. For this reason, the reticles R1 and R2 on the reticle stage RST are selectively used, for example, at the time of double exposure, and any of the reticles can be synchronously scanned with the wafer side.

【0283】レチクルステージRST上には、X軸方向
の他側の端部に、レチクルステージRSTと同じ素材
(例えばセラミック等)から成る平行平板移動鏡31X
がY軸方向に延設されており、この移動鏡31XのX軸
方向の他側の面には鏡面加工により反射面が形成されて
いる。この移動鏡31Xの反射面に向けて測長軸BI6
Xで示される干渉計(図示省略)からの干渉計ビームが
照射され、その干渉計ではその反射光を受光して基準面
に対する相対変位を計測することにより、レチクルステ
ージRSTの位置を計測している。ここで、この測長軸
BI6Xを有する干渉計は、実際には独立に計測可能な
2本の干渉計光軸を有しており、レチクルステージのX
軸方向の位置計測と、ヨイーング量の計測が可能となっ
ている。この測長軸BI6Xを有する干渉計の計測値
は、後述するウエハステージ側の測長軸BI1X、BI
2Xを有する干渉計116、118からのウエハステー
ジWST1、WST2のヨーイング情報やX位置情報に
基づいてレチクルとウエハの相対回転(回転誤差)をキ
ャンセルする方向にレチクルステージRSTを回転制御
したり、X方向同期制御を行うために用いられる。
On reticle stage RST, a parallel plate moving mirror 31X made of the same material (for example, ceramic) as reticle stage RST is provided at the other end in the X-axis direction.
Is extended in the Y-axis direction, and a reflection surface is formed on the other surface of the movable mirror 31X in the X-axis direction by mirror finishing. The measurement axis BI6 is directed toward the reflection surface of the movable mirror 31X.
An interferometer beam from an interferometer (not shown) indicated by X is emitted, and the interferometer receives the reflected light and measures the relative displacement with respect to a reference plane, thereby measuring the position of the reticle stage RST. I have. Here, the interferometer having the measurement axis BI6X actually has two interferometer optical axes that can be measured independently, and the X axis of the reticle stage
Axial position measurement and yawing amount measurement are possible. The measured values of the interferometer having the length measuring axis BI6X are measured by the length measuring axes BI1X, BI1
Based on yawing information and X position information of wafer stages WST1 and WST2 from interferometers 116 and 118 having 2X, rotation control of reticle stage RST in a direction for canceling relative rotation (rotation error) between the reticle and the wafer, X Used to perform direction synchronization control.

【0284】一方、レチクルステージRSTの走査方向
(スキャン方向)であるY軸方向の他側(図15におけ
る紙面手前側)には、一対のコーナーキューブミラー3
y1、31y2が設置されている。そして、不図示の一対
のダブルパス干渉計から、これらのコーナーキューブミ
ラー31y1、31y2に対して図16に測長軸BI7Y、
BI8Yで示される干渉計ビームが照射され、レチクル
ベース定盤28上の反射面にコーナーキューブミラー3
y1、31y2より戻され、そこで反射したそれぞれの反
射光が同一光路を戻り、それぞれのダブルパス干渉計で
受光され、それぞれのコーナーキューブミラー31y1
31y2の基準位置(レファレンス位置で前記レチクルベ
ース定盤28上の反射面)からの相対変位が計測され
る。そして、これらのダブルパス干渉計の計測値が図1
5のステージ制御装置160に供給され、その平均値に
基づいてレチクルステージRSTのY軸方向の位置が計
測される。このY軸方向位置の情報は、ウエハ側の測長
軸BI3Yを有する干渉計の計測値に基づくレチクルス
テージRSTとウエハステージWST1又はWST2と
の相対位置の算出、及びこれに基づく走査露光時の走査
方向(Y軸方向)のレチクルとウエハの同期制御に用い
られる。
On the other hand, on the other side in the Y-axis direction (scanning direction) of reticle stage RST (on the front side in FIG. 15), a pair of corner cube mirrors 3 are provided.
1 y1 and 31 y2 are installed. Then, from a pair of double-pass interferometers (not shown), the measurement axes BI7Y and BI7Y are shown in FIG. 16 for these corner cube mirrors 31 y1 and 31 y2 .
An interferometer beam indicated by BI8Y is radiated, and a corner cube mirror 3
1 y1 , 31 y2 , each reflected light reflected there returns along the same optical path, is received by each double-pass interferometer, and each corner cube mirror 31 y1 ,
A relative displacement from a reference position 31y2 (a reflection surface on the reticle base surface plate 28 at the reference position) is measured. The measured values of these double-pass interferometers are shown in FIG.
The reticle stage RST is supplied to the stage controller 160 of No. 5 and the position of the reticle stage RST in the Y-axis direction is measured based on the average value. The information on the Y-axis direction position is calculated by calculating the relative position between the reticle stage RST and the wafer stage WST1 or WST2 based on the measurement value of the interferometer having the wafer-side length measuring axis BI3Y, and performing scanning during scanning exposure based on this. It is used for synchronous control of the reticle in the direction (Y-axis direction) and the wafer.

【0285】このように、本第2の実施形態では、測長
軸BI6Xで示される干渉計及び測長軸BI7Y、BI
8Yで示される一対のダブルパス干渉計の合計3つの干
渉計によって図15に示されるレチクルレーザ干渉計3
0が構成されている。
As described above, in the second embodiment, the interferometer indicated by the length measuring axis BI6X and the length measuring axes BI7Y and BI7Y
Reticle laser interferometer 3 shown in FIG. 15 by a total of three interferometers of a pair of double-pass interferometers indicated by 8Y.
0 is configured.

【0286】次に、ウエハステージWST1、WST2
の位置を管理する干渉計システムについて、図15〜図
17を参照しつつ説明する。
Next, wafer stages WST1, WST2
An interferometer system that manages the position of is described with reference to FIGS.

【0287】これらの図に示されるように、投影光学系
PLの投影中心とアライメント系124a、124bの
それぞれの検出中心とを通るX軸に沿ってウエハステー
ジWST1のX軸方向一側の面には、図15の干渉計1
16からの測長軸BI1Xで示される干渉計ビームが照
射され、同様に、X軸に沿ってウエハステージWST2
のX軸方向の他側の面には、図15の干渉計118から
の測長軸BI2Xで示される干渉計ビームが照射されて
いる。そして、干渉計116、118ではこれらの反射
光を受光することにより、各反射面の基準位置からの相
対変位を計測し、ウエハステージWST1、WST2の
X軸方向位置を計測するようになっている。
As shown in these figures, along the X-axis passing through the projection center of projection optical system PL and the detection centers of alignment systems 124a and 124b, a surface on one side in the X-axis direction of wafer stage WST1 is disposed. Is the interferometer 1 in FIG.
An interferometer beam indicated by the measurement axis BI1X from the wafer stage WST2 is similarly irradiated along the X axis.
15 is irradiated with an interferometer beam indicated by a measurement axis BI2X from the interferometer 118 in FIG. The interferometers 116 and 118 measure the relative displacement of each reflecting surface from the reference position by receiving these reflected lights, and measure the positions of the wafer stages WST1 and WST2 in the X-axis direction. .

【0288】ここで、干渉計116、118は、図16
に示されるように、各3本の光軸を有する3軸干渉計で
あり、ウエハステージWST1、WST2のX軸方向の
計測以外に、チルト計測及びθ計測が可能となってい
る。この場合、ウエハステージWST1、WST2のθ
回転を行う不図示のθステージ及びZ軸方向の微小駆動
及び傾斜駆動を行う不図示のZ・レベリングステージ
は、実際には、反射面(120〜123)の下にあるの
で、ウエハステージのチルト制御時の駆動量は全て、こ
れらの干渉計116、118によりモニタする事ができ
る。
Here, the interferometers 116 and 118 are shown in FIG.
As shown in the figure, the three-axis interferometer having three optical axes enables tilt measurement and θ measurement in addition to the measurement of the wafer stages WST1 and WST2 in the X-axis direction. In this case, θ of wafer stages WST1 and WST2
Since a not-shown θ stage for rotation and a Z-leveling stage (not shown) for performing minute drive and tilt drive in the Z-axis direction are actually below the reflecting surface (120 to 123), the tilt of the wafer stage is All of the driving amounts during the control can be monitored by these interferometers 116 and 118.

【0289】なお、測長軸BI1X、BI2Xの各干渉
計ビームは、ウエハステージWST1、WST2の移動
範囲の全域で常にウエハステージWST1、WST2に
当たるようになっており、従って、X軸方向について
は、投影光学系PLを用いた露光時、アライメント系1
24a、124bの使用時等いずれのときにもウエハス
テージWST1、WST2の位置は、測長軸BI1X、
BI2Xの計測値に基づいて管理される。
It should be noted that each interferometer beam of length measuring axes BI1X and BI2X always strikes wafer stages WST1 and WST2 in the entire movement range of wafer stages WST1 and WST2. Therefore, in the X-axis direction, At the time of exposure using projection optical system PL, alignment system 1
At any time such as when using 24a and 124b, the positions of wafer stages WST1 and WST2 are aligned with length measurement axes BI1X,
It is managed based on the measured value of BI2X.

【0290】また、図16及び図17に示されるよう
に、投影光学系PLの投影中心でX軸と垂直に交差する
測長軸BI3Yを有する干渉計132と、アライメント
系124a、124bのそれぞれの検出中心でX軸とそ
れぞれ垂直に交差する測長軸BI4Y、BI5Yをそれ
ぞれ有する干渉計131、133とが設けられている。
As shown in FIGS. 16 and 17, each of an interferometer 132 having a length measuring axis BI3Y perpendicular to the X axis at the projection center of the projection optical system PL, and alignment systems 124a and 124b, respectively. Interferometers 131 and 133 having length measuring axes BI4Y and BI5Y respectively perpendicularly intersecting the X axis at the detection center are provided.

【0291】本実施形態の場合、投影光学系PLを用い
た露光時のウエハステージWST1、WST2のY方向
位置計測には、投影光学系PLの投影中心、すなわち光
軸AXを通過する測長軸BI3Yの干渉計132の計測
値が用いられ、アライメント系124aの使用時のウエ
ハステージWST1のY方向位置計測には、アライメン
ト系124aの検出中心、すなわち光軸SXを通過する
測長軸BI4Yの干渉計131の計測値が用いられ、ア
ライメント系124b使用時のウエハステージWST2
のY方向位置計測には、アライメント系124bの検出
中心、すなわち光軸SXを通過する測長軸BI5Yの干
渉計133の計測値が用いられる。
In the case of this embodiment, the position measurement in the Y direction of wafer stages WST1 and WST2 at the time of exposure using projection optical system PL requires the projection center of projection optical system PL, that is, the length measurement axis passing through optical axis AX. The measurement value of the BI3Y interferometer 132 is used, and in the Y direction position measurement of the wafer stage WST1 when the alignment system 124a is used, the detection center of the alignment system 124a, that is, the interference of the length measurement axis BI4Y passing through the optical axis SX. The measurement value of the total 131 is used, and the wafer stage WST2 when the alignment system 124b is used.
In the Y direction position measurement, the measurement value of the detection center of the alignment system 124b, that is, the interferometer 133 of the length measurement axis BI5Y passing through the optical axis SX is used.

【0292】従って、各使用条件により、Y軸方向の干
渉計測長軸がウエハステージWST1、WST2の反射
面より外れる事となるが、少なくとも一つの測長軸、す
なわち測長軸BI1X、BI2Xはそれぞれのウエハス
テージWST1、WST2の反射面から外れることがな
いので、使用する干渉計光軸が反射面上に入った適宜な
位置でY側の干渉計のリセットを行うことができる。
Accordingly, the long axis of the interference measurement in the Y-axis direction deviates from the reflection surface of wafer stage WST1 or WST2 depending on each use condition, but at least one of the length measurement axes, ie, length measurement axes BI1X and BI2X, Of the wafer stage WST1 and WST2, the Y-side interferometer can be reset at an appropriate position where the optical axis of the interferometer to be used is on the reflection surface.

【0293】なお、上記Y計測用の測長軸BI3Y、B
I4Y、BI5Yの各干渉計132、131、133
は、各2本の光軸を有する2軸干渉計であり、ウエハス
テージWST1、WST2のY軸方向の計測以外に、チ
ルト計測が可能となっている。本実施形態では、干渉計
116、118、131、132、133の合計5つの
干渉計によって、ウエハステージWST1、WST2の
2次元座標位置を管理する干渉計システムが構成されて
いる。
Note that the length measurement axes BI3Y and B
I4Y, BI5Y interferometers 132, 131, 133
Is a two-axis interferometer having two optical axes, and can perform tilt measurement in addition to the measurement of the wafer stages WST1 and WST2 in the Y-axis direction. In this embodiment, a total of five interferometers 116, 118, 131, 132, and 133 constitute an interferometer system that manages the two-dimensional coordinate position of wafer stages WST1 and WST2.

【0294】さらに、図15に示される主制御装置19
0には、ウエハステージWST1、WST2の移動を管
理するための条件式(例えば、干渉化条件)等が記憶さ
れたメモリ191が設けられている。
Further, main controller 19 shown in FIG.
0 is provided with a memory 191 storing a conditional expression (for example, an interference condition) for managing the movement of the wafer stages WST1 and WST2.

【0295】また、本第2の実施形態では、後述するよ
うに、ウエハステージWST1、WST2の内の一方が
露光シーケンスを実行している間、他方はウエハ交換、
ウエハアライメントシーケンスを実行するが、この際に
両ステージ同士が干渉しないように、各干渉計の出力値
に基づいて主制御装置190の指令に応じてステージ制
御装置160により、ウエハステージWST1、WST
2の移動が管理されている。
In the second embodiment, as will be described later, while one of wafer stages WST1 and WST2 is executing an exposure sequence, the other is for wafer exchange.
A wafer alignment sequence is performed. At this time, the stage controllers 160 in accordance with a command from the main controller 190 based on the output values of the interferometers so that the two stages do not interfere with each other.
2 is managed.

【0296】次に、可動型定盤138の役割及びその制
御方法等について、簡単に説明する。この可動型定盤1
38も基本的には前述した第1の実施形態の可動型定盤
38と同等の役割を有し、ステージ制御装置160によ
って同様にして制御される。
Next, the role of the movable surface plate 138 and a control method thereof will be briefly described. This movable surface plate 1
The stage 38 basically has the same role as the movable platen 38 of the first embodiment described above, and is similarly controlled by the stage controller 160.

【0297】すなわち、可動型定盤138の上面には、
ウエハステージWST1、WST2の下面に設けられた
不図示の永久磁石とともに平面磁気浮上型リニアアクチ
ュエータ42a、42bを構成する複数のコイル(図示
省略)がXY2次元方向に張り巡らされている。そし
て、ウエハステージWST1、WST2は、平面磁気浮
上型リニアアクチュエータ42a、42bによって可動
型定盤138の上方に浮上支持されるととももに、前記
コイルの内の各ウエハステージWST1、WST2が対
向する部分のコイルに流す電流を制御することにより任
意の2次元方向に独立して駆動される構成となってい
る。
That is, on the upper surface of the movable platen 138,
A plurality of coils (not shown) forming the planar magnetic levitation linear actuators 42a and 42b are stretched in the XY two-dimensional directions together with permanent magnets (not shown) provided on the lower surfaces of the wafer stages WST1 and WST2. The wafer stages WST1 and WST2 are levitated above the movable platen 138 by the planar magnetic levitation linear actuators 42a and 42b, and the wafer stages WST1 and WST2 of the coils oppose each other. By controlling the current flowing through the partial coils, the coils are independently driven in an arbitrary two-dimensional direction.

【0298】可動型定盤138は、第1の実施形態の可
動型定盤38と同様にして、平面磁気浮上型リニアアク
チュエータ44によって定盤22の上方に浮上支持され
るととももに、前記コイルに流す電流を制御することに
より任意の2次元方向に駆動される構成となっている。
The movable surface plate 138 is levitated above the surface plate 22 by a planar magnetic levitation linear actuator 44 in the same manner as the movable surface plate 38 of the first embodiment. It is configured to be driven in an arbitrary two-dimensional direction by controlling the current flowing through the coil.

【0299】この場合、ウエハステージWST1、WS
T2と可動型定盤138、可動型定盤138と定盤22
とは、それぞれ非接触のため、それぞれの間の摩擦が非
常に小さくなっている結果、ウエハステージWST1、
WST2、可動型定盤138を含む系全体として運動量
保存則が成立する。すなわち、ウエハステージWST1
及びWST2の一方が移動する場合には、上記第1の実
施形態と全く同様であり、ウエハステージWST1とW
ST2とが、同時に移動する場合には、これらのステー
ジの駆動力の合力に対する反力によって可動型定盤13
8が移動するからである。
In this case, wafer stages WST1, WS
T2 and movable platen 138, movable platen 138 and platen 22
Means that the friction between them is very small because they are not in contact with each other.
The momentum conservation law is established for the entire system including the WST 2 and the movable surface plate 138. That is, wafer stage WST1
When one of WST2 and WST2 moves, it is completely the same as in the first embodiment, and wafer stages WST1 and WST2 move.
When ST2 moves at the same time, the movable platen 13 is moved by the reaction force against the resultant force of the driving forces of these stages.
This is because 8 moves.

【0300】本第2の実施形態においても、上記第1の
実施形態と同様に、ウエハステージ加速度、最高速度、
フットプリントの悪化を1桁以下に抑えるため、ウエハ
ステージWST1、WST2の質量mと可動型定盤13
8の質量Mの比がm:M=1:9以下になるように、す
なわちウエハステージWST1、WST2の重量が可動
型定盤138の重量の1/9以下になるように設定して
いる。なお、ウエハステージWST1とウエハステージ
WST2との質量が異なる場合には、可動型定盤138
の質量を、軽い方のウエハステージの質量を基準として
少なくともその質量の9倍程度以上に定めると良い。
Also in the second embodiment, as in the first embodiment, the wafer stage acceleration, maximum speed,
In order to suppress the deterioration of the footprint to one digit or less, the mass m of the wafer stages WST1 and WST2 and the movable platen 13
8 is set so that the ratio of the mass M of m: M is 1: 9 or less, that is, the weight of the wafer stages WST1 and WST2 is 1/9 or less of the weight of the movable platen 138. If the masses of wafer stage WST1 and wafer stage WST2 are different, movable surface plate 138
Is preferably set to at least about nine times the mass of the lighter wafer stage as a reference.

【0301】また、可動型定盤138の必要ストローク
を小さくするために、ステージ制御装置160では、可
動型定盤138駆動用の平面磁気浮上型リニアアクチュ
エータ44に対する制御応答を露光,アライメント時と
その他の時とで可変するようにしている。
In order to reduce the required stroke of the movable surface plate 138, the stage control device 160 determines the control response to the planar magnetic levitation linear actuator 44 for driving the movable surface plate 138 during exposure, alignment, and other operations. It is made to be variable at the time of.

【0302】従って、露光の際は、ウエハステージWS
T1又はWST2とレチクルステージRSTが同期して
移動するが、可動型定盤138駆動用の平面磁気浮上型
リニアアクチュエータ44の制御応答を数Hzにて制御
すれば、数十Hzで制御されるウエハステージWST
1、WST2駆動用の平面磁気浮上型リニアアクチュエ
ータ42a、42bの可動型定盤138に対する反力に
は殆ど追従できず、運動量保存則から可動型定盤138
が自由に運動してその反力を吸収してしまい、その反力
の影響が外部に及ばない。
Therefore, at the time of exposure, wafer stage WS
The reticle stage RST moves synchronously with the T1 or WST2. However, if the control response of the planar magnetic levitation linear actuator 44 for driving the movable platen 138 is controlled at several Hz, the wafer is controlled at several tens of Hz. Stage WST
1. The reaction force of the planar magnetic levitation linear actuators 42a and 42b for driving the WST2 on the movable surface plate 138 can hardly be followed.
Moves freely and absorbs the reaction force, and the influence of the reaction force does not reach the outside.

【0303】また、ステージ制御装置160では、レチ
クルステージRSTの位置やウエハステージWST1、
WST2の位置の変化にて露光装置本体12が全体的に
傾いた場合に、平面磁気浮上型リニアアクチュエータ4
4の制御応答を数Hzにて制御することにより、その傾
き方向に可動型定盤138が移動する低周波位置ずれを
防止するようになっている。
Also, in stage control device 160, the position of reticle stage RST, wafer stage WST1,
When the exposure apparatus main body 12 is entirely tilted due to a change in the position of the WST 2, the planar magnetic levitation linear actuator 4
By controlling the control response at 4 Hz at several Hz, the low-frequency position shift in which the movable platen 138 moves in the tilt direction is prevented.

【0304】また、本実施形態においても、可動型定盤
138の定盤22に対するXY方向の相対移動の位置を
検出する位置計測装置としてのリニアエンコーダ45
(図15参照)を用いたフィードバック制御により、上
記第1の実施形態と同様に、所定のタイミングでステー
ジ制御装置160が、可動型定盤138駆動用の平面磁
気浮上型リニアアクチュエータ44の応答周波数を数十
Hzに上げる等の動作によって可動型定盤138の移動
量を小さくする(ほぼ所定の位置に維持する)ようにな
っている。
Also in the present embodiment, the linear encoder 45 as a position measuring device for detecting the position of the movable platen 138 relative to the platen 22 in the X and Y directions.
By the feedback control using FIG. 15 (see FIG. 15), the stage control device 160 controls the response frequency of the planar magnetic levitation linear actuator 44 for driving the movable surface plate 138 at a predetermined timing as in the first embodiment. The movement amount of the movable platen 138 is reduced (maintained at a substantially predetermined position) by an operation such as raising the frequency to several tens Hz.

【0305】前記制御系は、装置全体を統括的に制御す
る主制御装置190を中心に、この主制御装置190の
配下にある露光量制御装置170及びステージ制御装置
160等から構成されている。
The control system mainly includes a main controller 190 for controlling the entire apparatus as a whole, and an exposure controller 170, a stage controller 160, and the like under the main controller 190.

【0306】ここで、制御系の上記構成各部の動作を中
心に本実施形態の露光装置110の露光時の動作につい
て説明する。
Here, the operation of the exposure apparatus 110 of the present embodiment at the time of exposure will be described focusing on the operation of each component of the control system.

【0307】露光量制御装置170は、レチクルRとウ
エハ(W1又はW2)との同期走査が開始されるのに先
立って、不図示のシャッタ駆動部を介して照明光学系1
8内の不図示のシャッタをオープンする。
[0307] Prior to the start of the synchronous scanning of the reticle R and the wafer (W1 or W2), the exposure amount control device 170 controls the illumination optical system 1 via a shutter drive unit (not shown).
The shutter (not shown) in 8 is opened.

【0308】この後、ステージ制御装置160により、
主制御装置190の指示に応じてレチクルRとウエハ
(W1又はW2)、すなわちレチクルステージRSTと
ウエハステージ(WST1又はWST2)の同期走査
(スキャン制御)が開始される。この同期走査は、前述
した干渉計システムの測長軸BI3Yと測長軸BI1X
又はBI2X及びレチクルレーザ干渉計30の測長軸B
I7Y、BI8Yと測長軸BI6Xの計測値をモニタし
つつ、ステージ制御装置160によってレチクル駆動部
29及びウエハステージの駆動系(平面磁気浮上型リニ
アアクチュエータ42a又は42b)を制御することに
より行われる。
After that, the stage control device 160
Synchronous scanning (scan control) of reticle R and wafer (W1 or W2), that is, reticle stage RST and wafer stage (WST1 or WST2) is started according to an instruction from main controller 190. This synchronous scanning is performed by the aforementioned measuring axis BI3Y and measuring axis BI1X of the interferometer system.
Or the measuring axis B of BI2X and reticle laser interferometer 30
While monitoring the measured values of I7Y, BI8Y and the measurement axis BI6X, the stage controller 160 controls the reticle driver 29 and the drive system of the wafer stage (the planar magnetic levitation linear actuator 42a or 42b).

【0309】そして、両ステージが所定の許容誤差以内
に等速度制御された時点で、露光量制御装置170で
は、エキシマレーザのパルス発光を開始させる。これに
より、照明光学系18からの照明光により、その下面に
パターンがクロム蒸着されたレチクルRの前記矩形の照
明領域IAが照明され、その照明領域内のパターンの像
が投影光学系PLにより1/4(又は1/5)倍に縮小
され、その表面にフォトレジストが塗布されたウエハ
(W1又はW2)上に投影露光される。ここで、図16
からも明らかなように、レチクルR上のパターン領域に
比べ照明領域IAの走査方向のスリット幅は狭く、上記
のようにレチクルRとウエハ(W1又はW2)とを同期
走査することで、パターンの全面の像がウエハ上のショ
ット領域に順次形成される。
Then, when both stages are controlled at a constant speed within a predetermined allowable error, the exposure amount control device 170 starts pulse emission of the excimer laser. Thus, the illumination light from the illumination optical system 18 illuminates the rectangular illumination area IA of the reticle R on which the pattern is chromium-deposited on the lower surface, and an image of the pattern in the illumination area is projected by the projection optical system PL. Projection exposure is performed on a wafer (W1 or W2), which is reduced to 4 (or 5) times and has a surface coated with a photoresist. Here, FIG.
As is clear from FIG. 5, the slit width in the scanning direction of the illumination area IA is narrower than the pattern area on the reticle R, and the reticle R and the wafer (W1 or W2) are synchronously scanned as described above, so that the pattern An image of the entire surface is sequentially formed in a shot area on the wafer.

【0310】ここで、前述したパルス発光の開始と同時
に、露光量制御装置170は、振動ミラー18Dを駆動
させ、レチクルR上のパターン領域が完全に照明領域I
A(図16参照)を通過するまで、すなわちパターンの
全面の像がウエハ上のショット領域に形成されるまで、
連続してこの制御を行うことで2つのフライアイレンズ
系で発生する干渉縞のムラ低減を行う。
Here, at the same time as the start of the pulse emission described above, the exposure control device 170 drives the vibrating mirror 18D so that the pattern area on the reticle R becomes completely the illumination area I.
A (see FIG. 16), that is, until the entire image of the pattern is formed in the shot area on the wafer.
By performing this control continuously, unevenness of interference fringes generated in the two fly-eye lens systems is reduced.

【0311】また、上記の走査露光中にショットエッジ
部でのレチクル上の遮光領域よりも外に照明光が漏れな
いように、レチクルRとウエハWのスキャンと同期して
可動ブラインド18Mが駆動系43によって駆動制御さ
れており、これらの一連の同期動作がステージ制御装置
160により管理されている。
The movable blind 18M is driven in synchronization with the scanning of the reticle R and the wafer W so that the illumination light does not leak outside the light-shielding area on the reticle at the shot edge during the scanning exposure. Drive control is performed by the stage control device 43, and a series of these synchronous operations are managed by the stage control device 160.

【0312】上述した走査露光(スキャン露光)中、特
開平10−163098号公報に開示される如く、レジ
スト感度に対応した積算露光量となるように、主制御装
置190又は露光量制御装置170では、照射エネルギ
ーや発振周波数の可変量について全て演算を行い、光源
部内に設けられた減光システムを制御することによって
照射エネルギーや発振周波数を可変させたり、シャッタ
や振動ミラーを制御したりするように構成されている。
During the above-mentioned scanning exposure (scanning exposure), as disclosed in Japanese Patent Application Laid-Open No. 10-163098, the main controller 190 or the exposure controller 170 sets the integrated exposure corresponding to the resist sensitivity. Performs all calculations on the variable amount of irradiation energy and oscillation frequency, and controls the dimming system provided in the light source unit to change the irradiation energy and oscillation frequency and control the shutter and vibration mirror. It is configured.

【0313】さらに、主制御装置190では、例えば、
スキャン露光時に同期走査を行うレチクルステージとウ
エハステージの移動開始位置(同期位置)を補正する場
合、各ステージを移動制御するステージ制御装置160
に対して補正量に応じたステージ位置の補正を指示す
る。
Further, in the main control device 190, for example,
When correcting the movement start position (synchronous position) of the reticle stage and the wafer stage that perform synchronous scanning during scan exposure, a stage control device 160 that controls movement of each stage.
Is instructed to correct the stage position according to the correction amount.

【0314】更に、本実施形態の露光装置110では、
ウエハステージWST1との間でウエハの交換を行う第
1の搬送システムと、ウエハステージWST2との間で
ウエハ交換を行う第2の搬送システムとが設けられてい
る。
Further, in the exposure apparatus 110 of the present embodiment,
A first transfer system for exchanging wafers with wafer stage WST1 and a second transfer system for exchanging wafers with wafer stage WST2 are provided.

【0315】第1の搬送システムは、図18に示される
ように、左側のウエハローディング位置にあるウエハス
テージWST1との間で後述するようにしてウエハ交換
を行う。この第1の搬送システムは、Y軸方向に延びる
第1のローディングガイド182、このローディングガ
イド182に沿って移動する第1のスライダ186及び
第2のスライダ187、第1のスライダ186に取り付
けられた第1のアンロードアーム184、第2のスライ
ダ187に取り付けられた第1のロードアーム188等
を含んで構成される第1のウエハローダと、ウエハステ
ージWST1上に設けられた3本の上下動部材から成る
第1のセンターアップ181とから構成される。
As shown in FIG. 18, the first transfer system exchanges wafers with wafer stage WST1 at the wafer loading position on the left as described later. The first transport system is attached to a first loading guide 182 extending in the Y-axis direction, a first slider 186, a second slider 187, and a first slider 186 moving along the loading guide 182. A first wafer loader including a first unload arm 184, a first load arm 188 attached to a second slider 187, and the like, and three vertical moving members provided on wafer stage WST1 And a first center-up 181 composed of

【0316】ここで、この第1の搬送システムによるウ
エハ交換の動作について、簡単に説明する。
Here, the operation of exchanging wafers by the first transfer system will be briefly described.

【0317】ここでは、図18に示されるように、左側
のウエハローディング位置にあるウエハステージWST
1上にあるウエハW1’と第1のウエハローダにより搬
送されてきたウエハW1とが交換される場合について説
明する。
Here, as shown in FIG. 18, wafer stage WST at the left wafer loading position
A case where the wafer W1 ′ on the wafer 1 is replaced with the wafer W1 carried by the first wafer loader will be described.

【0318】まず、主制御装置190では、ウエハステ
ージWST1上の不図示のウエハホルダのバキュームを
不図示のスイッチを介してオフし、ウエハW1’の吸着
を解除する。
First, main controller 190 turns off the vacuum of the wafer holder (not shown) on wafer stage WST1 via a switch (not shown), and releases the suction of wafer W1 '.

【0319】次に、主制御装置190では、不図示のセ
ンターアップ駆動系を介してセンターアップ181を所
定量上昇駆動する。これにより、ウエハW1’が所定位
置まで持ち上げられる。この状態で、主制御装置190
では、不図示のウエハローダ制御装置に第1のアンロー
ドアーム184の移動を指示する。これにより、ウエハ
ローダ制御装置により第1のスライダ186が駆動制御
され、第1のアンロードアーム184がローディングガ
イド182に沿ってウエハステージWST1上まで移動
してウエハW1’の真下に位置する。
Next, main controller 190 drives center-up 181 upward by a predetermined amount via a center-up drive system (not shown). Thereby, the wafer W1 'is lifted to a predetermined position. In this state, main controller 190
Then, the movement of the first unload arm 184 is instructed to a wafer loader control device (not shown). As a result, the first slider 186 is driven and controlled by the wafer loader control device, and the first unload arm 184 moves along the loading guide 182 to a position above the wafer stage WST1 and is located immediately below the wafer W1 ′.

【0320】この状態で、主制御装置190では、セン
ターアップ181を所定位置まで下降駆動させる。この
センターアップ181の下降の途中で、ウエハW1’が
第1のアンロードアーム184に受け渡されるので、主
制御装置190ではウエハローダ制御装置に第1のアン
ロードアーム184のバキューム開始を指示する。これ
により、第1のアンロードアーム184にウエハW1’
が吸着保持される。
In this state, main controller 190 drives center-up 181 to descend to a predetermined position. Since the wafer W1 'is transferred to the first unload arm 184 while the center-up 181 is descending, the main controller 190 instructs the wafer loader controller to start vacuuming the first unload arm 184. As a result, the wafer W1 ′ is placed on the first unload arm 184.
Is held by suction.

【0321】次に、主制御装置190では、ウエハロー
ダ制御装置に第1のアンロードアーム184の退避と第
1のロードアーム188の移動開始を指示する。これに
より、第1のスライダ186と一体的に第1のアンロー
ドアーム184が図18の−Y方向に移動を開始すると
同時に第2のスライダ187がウエハW1を保持した第
1のロードアーム188と一体的に+Y方向に移動を開
始する。そして、第1のロードアーム188がウエハス
テージWST1の上方に来たとき、ウエハローダ制御装
置により第2のスライダ187が停止されるとともに第
1のロードアーム188のバキュームが解除される。
Next, main controller 190 instructs wafer loader controller to retract first unload arm 184 and to start moving first load arm 188. Accordingly, the first unload arm 184 starts moving in the −Y direction in FIG. 18 integrally with the first slider 186, and at the same time, the second slider 187 and the first load arm 188 holding the wafer W1 Movement is integrally started in the + Y direction. Then, when the first load arm 188 comes above the wafer stage WST1, the second slider 187 is stopped by the wafer loader control device, and the vacuum of the first load arm 188 is released.

【0322】この状態で、主制御装置190ではセンタ
ーアップ181を上昇駆動し、センターアップ181に
よりウエハW1を下方から持ち上げさせる。次いで、主
制御装置190ではウエハローダ制御装置にロードアー
ムの退避を指示する。これにより、第2のスライダ18
7が第1のロードアーム188と一体的に−Y方向に移
動を開始して第1のロードアーム188の退避が行われ
る。この第1のロードアーム188の退避開始と同時に
主制御装置190では、センターアップ181の下降駆
動を開始してウエハW1をウエハステージWST1上の
不図示のウエハホルダに載置させ、当該ウエハホルダの
バキュームをオンにする。これにより、ウエハ交換の一
連のシーケンスが終了する。
In this state, main controller 190 drives center-up 181 to move up, and center-up 181 lifts wafer W1 from below. Next, main controller 190 instructs wafer loader controller to retract the load arm. Thereby, the second slider 18
7 starts moving integrally with the first load arm 188 in the −Y direction, and the first load arm 188 is retracted. At the same time that the first load arm 188 starts retreating, main controller 190 starts lowering drive of center-up 181 to place wafer W1 on a wafer holder (not shown) on wafer stage WST1, and reduces the vacuum of the wafer holder. turn on. Thus, a series of wafer exchange sequences is completed.

【0323】第2の搬送システムは、同様に、図19に
示されるように、右側のウエハローディング位置にある
ウエハステージWST2との間で上述と同様にしてウエ
ハ交換を行う。この第2の搬送システムは、Y軸方向に
延びる第2のローディングガイド192、この第2のロ
ーディングガイド192に沿って移動する第3のスライ
ダ196及び第4のスライダ200、第3のスライダ1
96に取り付けられた第2のアンロードアーム194、
第4のスライダ200に取り付けられた第2のロードア
ーム198等を含んで構成される第2のウエハローダ
と、ウエハステージWST2上に設けられた不図示の第
2のセンターアップとから構成される。
In the second transfer system, similarly, as shown in FIG. 19, the wafer is exchanged with wafer stage WST2 at the right wafer loading position in the same manner as described above. The second transport system includes a second loading guide 192 extending in the Y-axis direction, a third slider 196 and a fourth slider 200 moving along the second loading guide 192, and a third slider 1
96, a second unload arm 194 attached to
A second wafer loader including the second load arm 198 and the like attached to the fourth slider 200 and a second center-up (not shown) provided on the wafer stage WST2.

【0324】次に、図18及び図19に基づいて、2つ
のウエハステージWST1、WST2による並行処理に
ついて説明する。
Next, the parallel processing by the two wafer stages WST1 and WST2 will be described with reference to FIGS.

【0325】図18には、ウエハステージWST2上の
ウエハW2を投影光学系PLを介して露光動作を行って
いる間に、左側ローディング位置にて上述の様にしてウ
エハステージWST1と第1の搬送システムとの間でウ
エハの交換が行われている状態の平面図が示されてい
る。この場合、ウエハステージWST1上では、ウエハ
交換に引き続いて後述するようにしてアライメント動作
が行われる。なお、図18において、露光動作中のウエ
ハステージWST2の位置制御は、干渉計システムの測
長軸BI2X、BI3Yの計測値に基づいて行われ、ウ
エハ交換とアライメント動作が行われるウエハステージ
WST1の位置制御は、干渉計システムの測長軸BI1
X、BI4Yの計測値に基づいて行われる。
FIG. 18 shows that while wafer W2 on wafer stage WST2 is being exposed through projection optical system PL, wafer stage WST1 and first transfer are performed as described above at the left loading position. FIG. 3 is a plan view showing a state where a wafer is exchanged with the system. In this case, an alignment operation is performed on wafer stage WST1, following the wafer exchange, as described later. In FIG. 18, position control of wafer stage WST2 during the exposure operation is performed based on the measured values of measurement axes BI2X and BI3Y of the interferometer system, and the position of wafer stage WST1 at which the wafer exchange and alignment operation are performed. The control is performed by the measurement axis BI1 of the interferometer system.
This is performed based on the measured values of X and BI4Y.

【0326】この図18に示される左側のローディング
位置ではアライメント系124aの真下にウエハステー
ジWST1の基準マーク板FM1上の基準マークが来る
ような配置となっている。このため、主制御装置190
では、アライメント系124aにより基準マーク板FM
1上の基準マークを計測する以前に、干渉計システムの
測長軸BI4Yの干渉計のリセットを実施している。
At the left loading position shown in FIG. 18, the reference mark on reference mark plate FM1 of wafer stage WST1 is arranged immediately below alignment system 124a. Therefore, main controller 190
Then, the reference mark plate FM is adjusted by the alignment system 124a.
Before measuring the fiducial mark on 1, the reset of the interferometer of the length measurement axis BI4Y of the interferometer system is performed.

【0327】上述したウエハ交換、干渉計のリセットに
引き続いて、サーチアライメントが行われる。そのウエ
ハ交換後に行われるサーチアライメントとは、ウエハW
1の搬送中になされるプリアライメントだけでは位置誤
差が大きいため、ウエハステージWST1上で再度行わ
れるプリアライメントのことである。具体的には、ステ
ージWST1上に載置されたウエハW1上に形成された
3つのサーチアライメントマーク(図示せず)の位置を
アライメント系124aのLSA系のセンサ等を用いて
計測し、その計測結果に基づいてウエハW1のX、Y、
θ方向の位置合わせを行う。このサーチアライメントの
際の各部の動作は、主制御装置190により制御され
る。
[0327] Subsequent to the above-described wafer exchange and resetting of the interferometer, search alignment is performed. The search alignment performed after the wafer exchange is the wafer alignment
This is pre-alignment performed again on wafer stage WST1 because a positional error is large only by pre-alignment performed during the transfer of wafer 1. Specifically, the positions of three search alignment marks (not shown) formed on wafer W1 mounted on stage WST1 are measured using an LSA system sensor or the like of alignment system 124a, and the measurement is performed. Based on the result, X, Y,
The alignment in the θ direction is performed. The operation of each unit during the search alignment is controlled by main controller 190.

【0328】このサーチアライメントの終了後、ウエハ
W1上の各ショット領域の配列をここではEGAを使っ
て求めるファインアライメントが行われる。具体的に
は、干渉計システム(測長軸BI1X、BI4Y)によ
り、ウエハステージWST1の位置を管理しつつ、設計
上のショット配列データ(アライメントマーク位置デー
タ)をもとに、ウエハステージWST1を順次移動させ
つつ、ウエハW1上の所定のサンプルショットのアライ
メントマーク位置をアライメント系124aのFIA系
のセンサ等で計測し、この計測結果とショット配列の設
計座標データに基づいて最小自乗法による統計演算によ
り、全てのショット配列データを演算する。なお、この
EGAの際の各部の動作は主制御装置190により制御
され、上記の演算は主制御装置190により行われる。
なお、この演算結果は、基準マーク板FM1の基準マー
ク位置を基準とする座標系に変換しておくことが望まし
い。
After completion of the search alignment, fine alignment for obtaining the arrangement of each shot area on the wafer W1 using EGA is performed here. Specifically, the wafer stage WST1 is sequentially controlled based on the designed shot array data (alignment mark position data) while controlling the position of the wafer stage WST1 by an interferometer system (length measuring axes BI1X and BI4Y). While moving, the position of the alignment mark of a predetermined sample shot on the wafer W1 is measured by a FIA sensor or the like of the alignment system 124a, and a statistical calculation by the least square method is performed based on the measurement result and the design coordinate data of the shot array. , And calculate all shot array data. The operation of each unit at the time of this EGA is controlled by main controller 190, and the above calculation is performed by main controller 190.
It is desirable that the result of this operation be converted into a coordinate system based on the reference mark position of the reference mark plate FM1.

【0329】本実施形態の場合、前述したように、アラ
イメント系124aによる計測時に、露光時と同じAF
/AL機構の計測、制御によるオートフォーカス/オー
トレベリングを実行しつつアライメントマークの位置計
測が行われ、アライメント時と露光時との間にステージ
の姿勢によるオフセット(誤差)を生じさせないように
することができる。
In the case of the present embodiment, as described above, at the time of measurement by the alignment system 124a, the same AF as at the time of exposure is performed.
The position of the alignment mark is measured while performing autofocus / autoleveling by measuring / controlling the / AL mechanism, so that an offset (error) due to the posture of the stage does not occur between alignment and exposure. Can be.

【0330】ウエハステージWST1側で、上記のウエ
ハ交換、アライメント動作が行われている間に、ウエハ
ステージWST2側では、2枚のレチクルR1、R2を
使い、露光条件を変えながら連続してステップ・アンド
・スキャン方式により二重露光が行われる。
While wafer exchange and alignment operations are being performed on wafer stage WST1 side, wafer stage WST2 side uses two reticles R1 and R2 to continuously perform step and step changing exposure conditions. Double exposure is performed by the AND scan method.

【0331】具体的には、前述したウエハW1側と同様
にして、事前にEGAによるファインアライメントが行
われており、この結果得られたウエハW2上のショット
配列データ(基準マーク板FM2上の基準マークを基準
とする)に基づいて、順次ウエハW2の隣接ショットへ
のショット間移動(ステッピング)動作が行われ、ウエ
ハW2上の各ショット領域に対して順次前述したスキャ
ン露光が行われる。上記のショット間移動動作の際に、
前述した第1の実施形態中で図8(A)〜(C)を用い
て説明したのと同様のウエハステージWST2の移動制
御が行われる。
Specifically, fine alignment by EGA is performed in advance in the same manner as the above-described wafer W1 side, and the shot arrangement data on the wafer W2 obtained as a result (the reference alignment on the reference mark plate FM2) is obtained. Based on the mark), the inter-shot movement (stepping) operation to the adjacent shot of the wafer W2 is sequentially performed, and the above-described scan exposure is sequentially performed on each shot area on the wafer W2. During the above-mentioned movement between shots,
Movement control of wafer stage WST2 similar to that described with reference to FIGS. 8A to 8C in the first embodiment is performed.

【0332】このようなウエハW2上の全ショット領域
に対する露光がレチクル交換後にも連続して行われる。
具体的な二重露光の露光順序としては、例えばウエハW
1の各ショット領域をレチクルR2を使って順次スキャ
ン露光を行った後、レチクルステージRSTを走査方向
に所定量移動してレチクルR1を露光位置に設定した
後、上記と逆の順序でスキャン露光を行う。この時、レ
チクルR2とレチクルR1では露光条件(AF/AL、
露光量)や透過率が異なるので、レチクルアライメント
時にそれぞれの条件を計測し、その結果に応じて条件の
変更を行う必要がある。
Exposure for all shot areas on wafer W2 is continuously performed even after reticle replacement.
As a specific exposure sequence of the double exposure, for example, the wafer W
Scan exposure is sequentially performed on each of the shot areas 1 using the reticle R2, and then the reticle stage RST is moved in the scanning direction by a predetermined amount to set the reticle R1 at the exposure position. Do. At this time, reticle R2 and reticle R1 use exposure conditions (AF / AL,
Since the exposure amount and the transmittance are different, it is necessary to measure each condition at the time of reticle alignment and change the condition according to the result.

【0333】このウエハW2の二重露光中の各部の動作
も主制御装置190によって制御される。
The operation of each part during the double exposure of wafer W2 is also controlled by main controller 190.

【0334】上述した図18に示す2つのウエハステー
ジWST1、WST2上で並行して行われる露光シーケ
ンスとウエハ交換・アライメントシーケンスとは、先に
終了したウエハステージの方が待ち状態となり、両方の
動作が終了した時点で図19に示す位置までウエハステ
ージWST1、WST2が移動制御される。そして、露
光シーケンスが終了したウエハステージWST2上のウ
エハW2は、右側ローディングポジションでウエハ交換
がなされ、アライメントシーケンスが終了したウエハス
テージWST1上のウエハW1は、投影光学系PLの下
で露光シーケンスが行われる。
In the exposure sequence and the wafer exchange / alignment sequence performed in parallel on the two wafer stages WST1 and WST2 shown in FIG. 18, the previously completed wafer stage is in a waiting state, and both operations are performed. Is completed, the movement of wafer stages WST1 and WST2 is controlled to the position shown in FIG. Then, wafer W2 on wafer stage WST2, for which the exposure sequence has been completed, is replaced at the right loading position, and wafer W1, on wafer stage WST1, for which the alignment sequence has been completed, undergoes an exposure sequence under projection optical system PL. Will be

【0335】図19に示される右側ローディングポジシ
ョンでは、左側ローディングポジションと同様にアライ
メント系124bの下に基準マーク板FM2上の基準マ
ークが来るように配置されており、前述のウエハ交換動
作とアライメントシーケンスとが実行される事となる。
勿論、干渉計システムの測長軸BI5Yの干渉計のリセ
ット動作は、アライメント系124bによる基準マーク
板FM2上のマーク検出に先立って実行されている。
In the right loading position shown in FIG. 19, similarly to the left loading position, the reference mark on the reference mark plate FM2 is arranged below the alignment system 124b. Will be executed.
Of course, the reset operation of the interferometer of the length measurement axis BI5Y of the interferometer system is executed before the alignment system 124b detects a mark on the reference mark plate FM2.

【0336】なお、上記の一連の並行処理動作の過程で
行われる主制御装置190による干渉計のリセット動作
は、上記特開平10−163098号公報に開示される
動作と全く同様であり、公知であるから詳細な説明は省
略する。
The operation of resetting the interferometer by main controller 190, which is performed in the above-described series of parallel processing operations, is exactly the same as the operation disclosed in the above-mentioned Japanese Patent Application Laid-Open No. 10-163098. Therefore, detailed description is omitted.

【0337】本実施形態のように、2つのウエハステー
ジWST1、WST2を使って異なる動作を同時並行処
理する場合、一方のステージで行われる動作が他方のス
テージの動作に影響(外乱)を与える可能性がある。こ
のような場合、上記特開平10−163098号公報に
記載の露光装置では、同公報の図11〜図13及びその
説明部分に開示されるような2つのステージWST1、
WST2上で行われる動作のタイミング調整を行ってい
たため、制御動作が複雑であった。
When two wafer stages WST1 and WST2 are used to simultaneously perform different operations as in this embodiment, the operation performed in one stage may affect the operation of the other stage (disturbance). There is. In such a case, in the exposure apparatus described in Japanese Patent Application Laid-Open No. H10-163098, two stages WST1 as disclosed in FIGS.
Since the timing of the operation performed on WST2 was adjusted, the control operation was complicated.

【0338】これに対し、本実施形態では、前述の如
く、ウエハステージWST1、WST2が、可動型定盤
138を介して定盤22上に配置されているため、平面
磁気浮上型リニアアクチュエータ42a又は42bによ
りいずれかのウエハステージ(WST1又はWST2)
が駆動された場合には、その駆動力の反力により可動型
定盤138が移動して、ウエハステージ(WST1又は
WST2)の重心移動による偏荷重を可動型定盤138
の重心移動によりキャンセルすることができ、結果的に
ステージ装置101全体の重心を所定位置に保持できる
のみならず、平面磁気浮上型リニアアクチュエータ42
a、42bによりウエハステージWST1、WST2が
同時に駆動された場合には、その駆動力の合力に対応す
る反力によりウエハステージWST1、WST2の重心
移動による偏荷重を可動型定盤138の重心移動により
キャンセルするように該可動型定盤138が移動し、結
果的にステージ装置101全体の重心を所定位置に保持
できる。従って、ウエハステージWST1、WST2の
一方の動作が他方に外乱として作用することがないよう
にウエハステージ同士の動作の調整を行う必要がなくな
るので、制御負担が軽減されるとともに、各ウエハステ
ージの位置制御性をともに高く維持することができる。
On the other hand, in this embodiment, as described above, since wafer stages WST1 and WST2 are arranged on surface plate 22 via movable surface plate 138, planar magnetic levitation linear actuator 42a or Any wafer stage (WST1 or WST2) depending on 42b
Is driven, the movable surface plate 138 moves due to the reaction force of the driving force, and the uneven load due to the movement of the center of gravity of the wafer stage (WST1 or WST2) is moved.
Not only can the center of gravity of the entire stage device 101 be maintained at a predetermined position, but also the planar magnetic levitation linear actuator 42
When wafer stages WST1 and WST2 are simultaneously driven by a and 42b, the eccentric load caused by the movement of the center of gravity of wafer stages WST1 and WST2 due to the reaction force corresponding to the resultant force of the driving force is caused by the movement of the center of gravity of movable platen 138. The movable surface plate 138 moves so as to cancel, and as a result, the center of gravity of the entire stage device 101 can be held at a predetermined position. Accordingly, it is not necessary to adjust the operation of the wafer stages WST1 and WST2 so that one operation does not act as a disturbance on the other, so that the control load is reduced and the position of each wafer stage is reduced. High controllability can be maintained.

【0339】また、上述の如く、複数枚のレチクルRを
使って二重露光を行う場合、高解像度とDOF(焦点深
度)の向上効果が得られる。しかし、この二重露光法
は、露光工程を少なくとも2度繰り返さなければならな
いため、従来の露光装置では、露光時間が長くなって大
幅にスループットが低下するという不都合があった。こ
れに対し、本第2の実施形態では一方のウエハステージ
上の露光動作と、他方のウエハステージ上のアライメン
ト、ウエハ交換動作等の同時並行処理によりスループッ
トを大幅に改善できるため、スループットを低下させる
ことなく高解像度とDOFの向上効果とを得ることがで
きる。
When double exposure is performed using a plurality of reticles R as described above, high resolution and DOF (depth of focus) can be obtained. However, in the double exposure method, since the exposure step must be repeated at least twice, the conventional exposure apparatus has a disadvantage that the exposure time is long and the throughput is greatly reduced. On the other hand, in the second embodiment, the throughput can be greatly improved by the simultaneous parallel processing such as the exposure operation on one wafer stage and the alignment and the wafer exchange operation on the other wafer stage, so that the throughput is reduced. The high resolution and the effect of improving the DOF can be obtained without any problem.

【0340】なお、上記特開平10−163098号公
報にも開示されるように、ダブルウエハステージを備え
た露光装置では、例えば、各処理時間をT1(ウエハ交
換時間)、T2(サーチアライメント時間)、T3(フ
ァインアライメント時間)、T4(1回の露光時間)と
した場合に、T1、T2、T3とT4とを並列処理しな
がら二重露光を行う場合には、8インチウエハの場合、
露光時間の方が大きいため該露光時間が制約条件となっ
て全体のスループットが決まるが、本第2の実施形態で
はウエハステージWST1、WST2のショット間移動
時間の短縮により、この露光時間T4の短縮が可能であ
り、通常の一重露光とほぼ同等の高スループットによる
二重露光を実現することができる。
As disclosed in JP-A-10-163098, in an exposure apparatus having a double wafer stage, for example, each processing time is set to T1 (wafer replacement time) and T2 (search alignment time). , T3 (fine alignment time), and T4 (single exposure time), when performing double exposure while processing T1, T2, T3 and T4 in parallel, for an 8-inch wafer,
Since the exposure time is longer, the exposure time becomes a constraint and the overall throughput is determined. However, in the second embodiment, the exposure time T4 is shortened by shortening the inter-shot movement time of the wafer stages WST1 and WST2. Is possible, and a double exposure with a high throughput almost equal to that of a normal single exposure can be realized.

【0341】なお、上記第2の実施形態では、本発明に
係るステージ装置を二重露光法を用いてウエハの露光を
行う装置に適用した場合について説明したが、同様の技
術であるスティッチングにも適用でき、この場合には、
一方のウエハステージ側で2枚のレチクルにて2回露光
を行う間に、独立に可動できる他方のウエハステージ側
でウエハ交換とウエハアライメントを並行して実施する
ことにより、通常の露光装置によるスティッチングより
も高いスループットが得られる。
In the second embodiment, the case where the stage apparatus according to the present invention is applied to an apparatus for exposing a wafer by using the double exposure method has been described. Can also be applied, in which case
While exposing twice with two reticles on one wafer stage side, wafer exchange and wafer alignment are performed in parallel on the other independently movable wafer stage side, so that stitching by a normal exposure apparatus is performed. Higher throughput than that of

【0342】しかしながら、本発明に係るステージ装置
の適用範囲がこれに限定されるものではなく、一重露光
法により露光する場合にも本発明は好適に適用できるも
のである。
However, the application range of the stage device according to the present invention is not limited to this, and the present invention can be suitably applied to the case of performing exposure by a single exposure method.

【0343】また、上記第2の実施形態では、アライメ
ント動作及びウエハ交換動作と、露光動作とを並行処理
する場合について述べたが、これに限らず、例えば、ベ
ースラインチェック(BCHK)、ウエハ交換が行われ
る度に行うキャリブレーション等のシーケンスについて
も同様に露光動作と並行処理するようにしても良い。
In the second embodiment, the case where the alignment operation, the wafer exchange operation, and the exposure operation are performed in parallel has been described. However, the present invention is not limited to this. For example, a baseline check (BCHK), a wafer exchange operation, and the like. A sequence such as a calibration performed every time is performed may be similarly processed in parallel with the exposure operation.

【0344】なお、上記第2の実施形態では、2つのウ
エハステージWST1、WST2として、正方形のウエ
ハステージを用いる露光装置について説明したが、これ
に限らず、例えば図20に示されるように、第1の実施
形態のウエハステージWST1,WST2と同様の三角
形のウエハステージWST3、WST4を定盤22上の
可動型定盤138上に配置しても良い。この図20の装
置では、ウエハステージWST3、WST4の位置を計
測する干渉計システムを、同図に示されるように、投影
光学系PL、アライメント光学系124a、124bの
中心で交わるXY軸に対して所定角度傾斜する測長軸を
有する各一対、合計6つの干渉計211、212、21
3、214、215、16によって構成すれば良い。こ
の場合、干渉計213、214によって、露光時のウエ
ハステージWST3又はウエハステージWST4のY方
向(同期移動方向)及びX方向(非走査方向)の位置制
御を高精度に行うことができる。また、干渉計211、
212によってアライメント光学系124aを用いて行
われるアライメント時のウエハステージWST3のY方
向及びX方向の位置制御を高精度に行うことができる。
また、干渉計215、216によってアライメント光学
系124bを用いて行われるアライメント時のウエハス
テージWST4のY方向及びX方向の位置制御を高精度
に行うことができる。
In the second embodiment, an exposure apparatus using a square wafer stage as the two wafer stages WST1 and WST2 has been described. However, the present invention is not limited to this. For example, as shown in FIG. Triangular wafer stages WST3 and WST4 similar to wafer stages WST1 and WST2 of the first embodiment may be arranged on movable platen 138 on platen 22. In the apparatus shown in FIG. 20, an interferometer system for measuring the positions of wafer stages WST3 and WST4 is connected to XY axes intersecting the centers of projection optical system PL and alignment optical systems 124a and 124b, as shown in FIG. Six pairs of interferometers 211, 212, 21 each having a length measuring axis inclined at a predetermined angle
3, 214, 215, and 16 may be used. In this case, interferometers 213 and 214 can control the position of wafer stage WST3 or wafer stage WST4 in the Y direction (synchronous movement direction) and the X direction (non-scanning direction) at the time of exposure with high accuracy. Also, the interferometer 211,
The position control of the wafer stage WST3 in the Y and X directions at the time of alignment performed using the alignment optical system 124a can be performed with high precision by the 212.
Further, position control of wafer stage WST4 in the Y direction and the X direction at the time of alignment performed using alignment optical system 124b by interferometers 215 and 216 can be performed with high accuracy.

【0345】この場合において、干渉計211及び干渉
計213の組あるいは、干渉計213及び干渉計215
の組に代えて、前述した第1の干渉計76X1を用いて
良く、同様に、干渉計212及び干渉計214の組ある
いは、干渉計214及び干渉計216の組に代えて、前
述した第3の干渉計76X2を用いて良い。また、ウエ
ハステージWST4のY方向の位置制御を前述した第2
の干渉計76Yを用いて常に行い、上記の干渉計21
3,214又は干渉計215,216をそれぞれ同時に
用いてウエハステージWST4の露光時又はアライメン
ト時のX方向の位置制御を第1の実施形態と同様に行っ
ても良い。
In this case, a set of the interferometer 211 and the interferometer 213 or the set of the interferometer 213 and the interferometer 215
May be used instead of the above-described first interferometer 76X1, and similarly, the above-described third interferometer 76X1 may be used instead of the interferometer 212 and interferometer 214 set or the interferometer 214 and interferometer 216 set. May be used. Further, the position control of wafer stage WST4 in the Y direction is performed by the second control described above.
Is always performed using the interferometer 76Y of the
3, 214 or interferometers 215, 216 may be used simultaneously to control the position of wafer stage WST4 in the X direction at the time of exposure or alignment as in the first embodiment.

【0346】また、上記第2の実施形態では、ステージ
装置101を構成する第1可動体としてのウエハステー
ジWST1、WST2が平面磁気浮上型リニアアクチュ
エータによって駆動される場合について説明したが、本
発明に係るステージ装置がこれに限定されるものではな
く、各第1可動体を駆動する駆動装置は通常のリニアモ
ータ等であっても構わない。
In the second embodiment, the case where the wafer stages WST1 and WST2 as the first movable bodies constituting the stage device 101 are driven by the planar magnetic levitation linear actuator has been described. Such a stage device is not limited to this, and a driving device for driving each first movable body may be a normal linear motor or the like.

【0347】なお、上記実施形態中の説明では、図8
(B)、(C)を用いてウエハステージWSTのショッ
ト間移動時の移動軌跡を図8(A)のようなU字状に設
定する場合の速度制御方法について説明し、その際に、
ウエハステージWST(及びレチクルステージRST)
を走査方向について、一定加速度で目標走査速度(スキ
ャン速度)まで加速し、目標走査速度での走査露光が終
了した後、一定加速度(一定減速度)で減速する場合に
ついて説明したが(図7(B)、図8(B)参照)、走
査方向に関するレチクルステージRST、ウエハステー
ジWSTの加速度制御方法を変更することにより、更な
るスループットの向上が可能である。
In the description of the above embodiment, FIG.
(B) and (C) are used to describe a speed control method in a case where the movement trajectory of the wafer stage WST during the movement between shots is set in a U-shape as shown in FIG.
Wafer stage WST (and reticle stage RST)
In the scanning direction, the acceleration is accelerated to a target scanning speed (scan speed) at a constant acceleration, and after the scanning exposure at the target scanning speed is completed, the acceleration is decelerated at a constant acceleration (constant deceleration). B) and FIG. 8B), the throughput can be further improved by changing the method of controlling the acceleration of the reticle stage RST and the wafer stage WST in the scanning direction.

【0348】以下、上記第1の実施形態の走査型露光装
置10を用いて、図8(A)に示される隣接したショッ
トS1、S2、S3を交互スキャンにより順次露光する
際のステージ制御系によるステージの加速度制御方法に
ついて、図21及び図22に基づいて説明する。
Hereinafter, the stage control system for sequentially exposing adjacent shots S1, S2, and S3 shown in FIG. 8A by alternate scan using the scanning exposure apparatus 10 of the first embodiment will be described. A stage acceleration control method will be described with reference to FIGS. 21 and 22.

【0349】走査型露光装置10では、レチクルステー
ジRSTをウエハステージWSTの4倍(又は5倍)の
目標走査速度で走査する必要があることから、レチクル
ステージの加速能力が制約条件になるものと考えられる
ので、ここでは、レチクルステージRSTの加速度制御
を中心に説明する。
In scanning exposure apparatus 10, reticle stage RST needs to be scanned at a target scanning speed four times (or five times) wafer stage WST, so that the acceleration capability of reticle stage is a limiting condition. Since it is conceivable, the following description focuses on acceleration control of reticle stage RST.

【0350】図21(A)には、本発明の走査露光方法
における、上記各ショットに対する走査露光に際して、
第1の加速度制御方法を採用した場合のレチクルステー
ジRSTの走査方向(Y方向)の速度指令値の時間変化
が示されている。また、図21(B)には、先に説明し
た図8(B)に対応するレチクルステージRSTの走査
方向(Y方向)の速度指令値の時間変化が比較例として
示されている。さらに、図21(C)には、上記各ショ
ットに対する走査露光に際して、第2の加速度制御方法
を採用した場合のレチクルステージRSTの走査方向
(Y方向)の速度指令値の時間変化が示されている。こ
れらの図において、横軸は時間を示し、縦軸はレチクル
ステージのY方向の速度指令値Vryを示す。
FIG. 21A shows the scanning exposure method for each of the above shots in the scanning exposure method of the present invention.
The time change of the speed command value of the reticle stage RST in the scanning direction (Y direction) when the first acceleration control method is adopted is shown. FIG. 21B shows, as a comparative example, a temporal change in the speed command value of the reticle stage RST in the scanning direction (Y direction) corresponding to FIG. 8B described above. Further, FIG. 21C shows a time change of the speed command value of the reticle stage RST in the scanning direction (Y direction) when the second acceleration control method is employed during the scanning exposure for each shot. I have. In these figures, the horizontal axis represents time, and the vertical axis represents the speed command value Vry in the Y direction of the reticle stage.

【0351】また、以下の説明においては、零から目標
走査速度Vrまでの加速時間をTa、レチクルとウエハ
の同期整定時間をTs、露光時間をTe、調整時間すな
わち等速オーバースキャン時間をTw、目標走査速度V
rから零までの減速時間をTdとする。
In the following description, the acceleration time from zero to the target scanning speed Vr is Ta, the synchronization settling time between the reticle and the wafer is Ts, the exposure time is Te, and the adjustment time, ie, the constant speed overscan time is Tw. Target scanning speed V
The deceleration time from r to zero is Td.

【0352】第1の加速度制御方法では、図21(A)
に示されるように、図2の駆動系29を構成するリニア
モータの発生可能な最高推力による最高加速度による等
加速度制御ではなく、その加速度が徐々に零に収束する
ような加速度変化曲線に基づいてレチクルステージRS
Tを同期移動方向(Y方向)に速度零から目標走査速度
Vrまで加速する。ここで、上記の加速度変化曲線とし
ては、2次曲線(放物線)や高次曲線が用いられる。
In the first acceleration control method, FIG.
As shown in FIG. 2, instead of the constant acceleration control based on the maximum acceleration generated by the maximum thrust that can be generated by the linear motor constituting the drive system 29 in FIG. 2, the acceleration change curve whose acceleration gradually converges to zero is used. Reticle stage RS
T is accelerated in the synchronous movement direction (Y direction) from zero speed to the target scanning speed Vr. Here, a quadratic curve (parabola) or a higher-order curve is used as the acceleration change curve.

【0353】この第1の加速度制御方法によると、各シ
ョットに対する走査露光に際して、レチクルRとウエハ
Wとの同期移動に先立って、レチクルRが、その加速度
が徐々に零に収束するような加速度変化曲線に基づいて
Y方向に沿って加速されることから、図21(B)に示
されるように、一定加速度で目標走査速度Vrへ加速す
る場合のように加速終了時点で加速度が不連続に、すな
わち急激に変化することがない。
According to the first acceleration control method, at the time of scanning exposure for each shot, prior to the synchronous movement of the reticle R and the wafer W, the reticle R changes its acceleration such that its acceleration gradually converges to zero. Since acceleration is performed along the Y direction based on the curve, as shown in FIG. 21B, the acceleration is discontinuous at the end of acceleration, as in the case of accelerating to the target scanning speed Vr at a constant acceleration. That is, there is no sudden change.

【0354】図22(A)、(B)には、図21
(A)、(B)にそれぞれ対応して整定時間Ts近傍の
レチクルステージRSTの目標位置に対する位置誤差の
時間変化が示されている。なお、目標位置は、当然に時
間的に変化するが、図22(A)、(B)では各時点の
目標位置(図中の0)を基準とする位置誤差が示されて
いる。これら図22(A)、(B)を比較すると明らか
なように、上記の第1の加速度制御方法によると、図2
1(B)の場合と比べて目標位置に対する位置誤差を速
やかに許容範囲内に収束させることができることが判
る。これは、上記の加速度の急激な変化に起因するレチ
クルステージRSTの高周波振動を抑制することができ
るためである。この場合、その加速能力が制約条件とな
っている方のステージであるレチクルステージRSTの
目標位置、従って目標走査速度への速やかな収束を実現
できるので、結果的にレチクルR(レチクルステージR
ST)とウエハW(ウエハステージWST)との同期整
定時間Tsを短縮することができることは明らかである
(図21(A)、(B)参照)。
FIGS. 22 (A) and 22 (B) show FIG.
(A) and (B) correspond to the settling time Ts and the time change of the position error with respect to the target position of the reticle stage RST is shown. Although the target position naturally changes with time, FIGS. 22A and 22B show a position error based on the target position (0 in the drawing) at each time point. As is apparent from a comparison between FIGS. 22A and 22B, according to the first acceleration control method described above, FIG.
It can be seen that the position error with respect to the target position can be quickly converged within the allowable range as compared with the case of 1 (B). This is because high-frequency vibration of reticle stage RST caused by the rapid change of the acceleration can be suppressed. In this case, the reticle stage RST, which is a stage whose acceleration capability is a constraint, can quickly converge to the target position, that is, the target scanning speed, and as a result, the reticle R (the reticle stage R)
It is clear that the synchronization settling time Ts between the wafer ST (ST) and the wafer W (wafer stage WST) can be shortened (see FIGS. 21A and 21B).

【0355】また、上記第1の加速度制御方法を採用す
ると、加速時間Taそのものは、一定加速度による加速
の場合に比べて長くなる傾向があるが、同期整定時間T
sの短縮は加速時間の増加を補っても余りあり、図21
(A)、(B)を比較すると明らかなように、プリスキ
ャン時間(Ta+Ts)は、上記第1の加速度制御方法
を採用した場合の方がΔt1だけ短くなっている。前述
の如く、同期整定時間Tsと等速オーバースキャン時間
をTwは同一時間に設定されるため、等速オーバースキ
ャン時間Twも図21(A)の方が短くなり、制御が非
常に容易な加速側と減速側の速度変化を左右対称に設定
した図21(A)のような場合には、1ショットの露光
のための、レチクルステージRSTの加速開始から減速
終了までの総トータル時間を2Δt1だけ短縮すること
ができ、その分スループットの向上が可能である。
When the first acceleration control method is adopted, the acceleration time Ta itself tends to be longer than that in the case of acceleration at a constant acceleration.
The shortening of s more than compensates for the increase in acceleration time.
As is clear from comparison between (A) and (B), the prescan time (Ta + Ts) is shorter by Δt1 when the first acceleration control method is employed. As described above, since the synchronous settling time Ts and the constant speed overscan time Tw are set to the same time, the constant speed overscan time Tw is also shorter in FIG. 21A, and the acceleration is very easy to control. In the case as shown in FIG. 21A in which the speed changes on the side and the deceleration side are set to be symmetrical, the total total time from the start of acceleration of the reticle stage RST to the end of deceleration for one shot exposure is 2Δt1. Therefore, the throughput can be improved.

【0356】上記第1の加速度制御方法では、制御方法
が非常に簡単であるため、加速側と減速側の速度変化を
左右対称に設定する場合について説明したが、減速終了
時にはレチクルとウエハの同期制御のための整定時間は
ないため、減速時には加速度を急激に変化させても何ら
の支障はない。
In the first acceleration control method, since the control method is very simple, the case where the speed change on the acceleration side and the speed change on the deceleration side are set symmetrically has been described. Since there is no settling time for control, there is no problem even if the acceleration is suddenly changed during deceleration.

【0357】そこで、第2の加速度制御方法では、この
点に着目して、図21(C)に示されるように、減速時
のみ、レチクルステージRSTを最高加速度に対応する
一定の加速度(負の加速度)で減速することとしたもの
である。この場合、図21(A)の第1の加速度制御方
法の場合と比べると等速オーバースキャン時間Twは長
くなるが、減速時間Tdがはるかに短くなるため、トー
タルのオーバースキャン時間(Tw+Td)は、第1の
加速度制御方法に比べても時間Δt2だけ短くなってい
ることが判る(図21(A)、(C)参照)。従って、
ショットS1、S2、S3に対する走査露光に際して
は、レチクルRの加速開始から減速終了までの総トータ
ル時間をより短縮することができる。ここで、図21
(A)と図21(C)との斜線部の面積が等しくなるよ
うにすれば、レチクルステージRSTを次ショットの走
査開始位置に正しく停止させることができる。
Therefore, in the second acceleration control method, focusing on this point, as shown in FIG. 21C, only during deceleration, reticle stage RST is moved to a constant acceleration (negative acceleration) corresponding to the maximum acceleration. (Acceleration). In this case, the constant-speed overscan time Tw is longer than the case of the first acceleration control method of FIG. 21A, but the deceleration time Td is much shorter, so that the total overscan time (Tw + Td) is It can be seen that the time is shorter by the time Δt2 than in the first acceleration control method (see FIGS. 21A and 21C). Therefore,
In the scanning exposure for the shots S1, S2, and S3, the total total time from the start of the acceleration of the reticle R to the end of the deceleration can be further reduced. Here, FIG.
If the areas of the hatched portions in FIG. 21A and FIG. 21C are made equal, the reticle stage RST can be correctly stopped at the scanning start position of the next shot.

【0358】上述した第1、第2の加速度制御方法は、
ウエハステージ側にも同様に適用することができ、レチ
クルステージとウエハステージの両者に上記第1、第2
の加速度制御方法を適用することが、スループット向上
の点では最も好ましい。
The first and second acceleration control methods described above
The same can be applied to the wafer stage side, and the first and second wafers can be applied to both the reticle stage and the wafer stage.
It is most preferable to apply the acceleration control method described above in terms of improving the throughput.

【0359】また、図8(A)に示されるショットS1
に対する走査露光と、ショットS2に対する走査露光と
の間で、同図に示されるようなU字状(又はV字状)の
移動軌跡に沿ってウエハステージWSTを移動させる際
の走査方向(Y方向)の加速度制御に、上記第1、第2
の加速度制御方法を採用しても良い。例えば、第1の加
速度制御方法を採用した場合には、結果的に最短距離に
近い経路でウエハWが移動されるので、上記の整定時間
の短縮とあいまってスループットの更なる向上が可能で
ある。また、第2の加速度制御方法を採用した場合に
は、更に減速時間の短縮も可能なのでスループットのよ
り一層の向上が可能である。
The shot S1 shown in FIG.
In the scanning direction (Y direction) when the wafer stage WST is moved along the U-shaped (or V-shaped) movement trajectory as shown in FIG. ), The first and second acceleration control
May be adopted. For example, when the first acceleration control method is employed, the wafer W is consequently moved along a path close to the shortest distance, so that the throughput can be further improved in combination with the shortening of the settling time. . Further, when the second acceleration control method is employed, the deceleration time can be further reduced, so that the throughput can be further improved.

【0360】上記第1、第2の加速度制御は、各ショッ
トの走査露光の都度、干渉計計測値等に基づいて所定の
演算により加速度の制御量を求めて行うことも可能であ
るが、所定の加速度制御マップを予め用意し、この加速
度制御マップを用いて時間を基準として実行しても良
い。
The above first and second acceleration controls can be performed by obtaining a control amount of acceleration by a predetermined calculation based on an interferometer measurement value or the like each time scanning exposure of each shot is performed. May be prepared in advance, and the acceleration control map may be used to execute based on time.

【0361】また、上述した第1、第2の加速度制御方
法は、前述した第2の実施形態の露光装置110にも同
様に適用することができ、同様にスループット向上の効
果が得られることは言うまでもない。
The first and second acceleration control methods described above can be similarly applied to the exposure apparatus 110 of the second embodiment described above, and the effect of improving the throughput can be similarly obtained. Needless to say.

【0362】なお、上記実施形態では露光用照明光とし
て波長が100nm以上の紫外光、具体的はKrFエキ
シマレーザ又はArFエキシマレーザを用いる場合つい
て説明したが、これに限らず、例えばg線、i線などの
KrFエキシマレーザと同じ遠紫外域に属する遠紫外
(DUV)光、あるいはArFエキシマレーザと同じ真
空紫外域に属するF2 レーザ(波長157nm)などの
真空紫外(VUV)光を用いることができる。なお、Y
AGレーザの高調波などを用いても良い。
In the above embodiment, the case where ultraviolet light having a wavelength of 100 nm or more, specifically, a KrF excimer laser or an ArF excimer laser is used as the illumination light for exposure has been described. KrF excimer laser and the same far ultraviolet region belonging far ultraviolet such as lines (DUV) light, or be a vacuum ultraviolet (VUV) light such as ArF excimer laser and F 2 laser belonging to the same vacuum ultraviolet region (wavelength 157 nm) it can. Note that Y
A harmonic of an AG laser may be used.

【0363】さらに、DFB半導体レーザ又はファイバ
ーレーザから発振される赤外域、又は可視域の単一波長
レーザを、例えばエルビウム(又はエルビウムとイット
リビウムの両方)がドープされたファイバーアンプで増
幅し、非線形光学結晶を用いて紫外光に波長変換した高
調波を用いても良い。
Further, a single-wavelength laser in the infrared or visible range oscillated from a DFB semiconductor laser or a fiber laser is amplified by, for example, a fiber amplifier doped with erbium (or both erbium and ytterbium) to form a nonlinear optical amplifier. It is also possible to use a harmonic whose wavelength has been converted to ultraviolet light using a crystal.

【0364】例えば、単一波長レーザの発振波長を1.
51〜1.59μmの範囲内とすると、発生波長が18
9〜199nmの範囲内である8倍高調波、又は発生波
長が151〜159nmの範囲内である10倍高調波が
出力される。特に、発振波長を1.544〜1.553
μmの範囲内とすると、発生波長が193〜194nm
の範囲内の8倍高調波、すなわちArFエキシマレーザ
とほぼ同一波長となる紫外光が得られ、発振波長を1.
57〜1.58μmの範囲内とすると、発生波長が15
7〜158nmの範囲内の10倍高調波、すなわちF2
レーザとほぼ同一波長となる紫外光が得られる。
For example, the oscillation wavelength of a single-wavelength laser is set to 1.
When the wavelength is in the range of 51 to 1.59 μm, the generated wavelength is 18
An eighth harmonic having a wavelength in the range of 9 to 199 nm or a tenth harmonic having a generation wavelength in the range of 151 to 159 nm is output. In particular, the oscillation wavelength is set to 1.544 to 1.553.
If it is within the range of μm, the generated wavelength is 193 to 194 nm.
Is obtained, that is, ultraviolet light having substantially the same wavelength as that of the ArF excimer laser is obtained.
When the wavelength is in the range of 57 to 1.58 μm, the generated wavelength is 15
The tenth harmonic in the range of 7 to 158 nm, ie, F 2
Ultraviolet light having substantially the same wavelength as the laser is obtained.

【0365】また、発振波長を1.03〜1.12μm
の範囲内とすると、発生波長が147〜160nmの範
囲内である7倍高調波が出力され、特に発振波長を1.
099〜1.106μmの範囲内とすると、発生波長が
157〜158nmの範囲内の7倍高調波、すなわちF
2 レーザとほぼ同一波長となる紫外光が得られる。な
お、単一波長発振レーザとしてはイットリビウム・ドー
プ・ファイバーレーザを用いる。
The oscillation wavelength is set to 1.03 to 1.12 μm
, A 7th harmonic whose output wavelength is in the range of 147 to 160 nm is output.
Assuming that the wavelength is within a range of 099 to 1.106 μm, the seventh harmonic having a generated wavelength within a range of 157 to 158 nm, that is, F
Ultraviolet light having almost the same wavelength as the two lasers is obtained. Note that an ytterbium-doped fiber laser is used as the single-wavelength oscillation laser.

【0366】また、上記実施形態の露光装置において、
露光用照明光としては波長100nm以上の光に限ら
ず、波長100nm未満の光を用いても良いことは勿論
である。例えば、近年、70nm以下のパターンを露光
するために、SORやプラズマレーザを光源として、軟
X線領域(例えば5〜15nmの波長域)のEUV(Ex
treme Ultraviolet)光を発生させるとともに、その露
光波長(例えば13.5nm)の基で設計されたオール
反射縮小光学系、及び反射型マスクを用いたEUV露光
装置の開発が行なわれている。この装置においては、円
弧照明を用いてマスクとウエハを同期走査してスキャン
露光する構成が考えられるので、かかる装置も本発明の
適用範囲に含まれるものである。
In the exposure apparatus of the above embodiment,
The illumination light for exposure is not limited to light having a wavelength of 100 nm or more, and light having a wavelength of less than 100 nm may be used. For example, in recent years, in order to expose a pattern of 70 nm or less, EUV (Ex) in a soft X-ray region (for example, a wavelength region of 5 to 15 nm) using a SOR or a plasma laser as a light source.
In addition to generating treme ultraviolet light, an EUV exposure apparatus using an all-reflection reduction optical system designed based on the exposure wavelength (for example, 13.5 nm) and a reflective mask is being developed. In this apparatus, a configuration in which scan exposure is performed by synchronously scanning the mask and the wafer using arc illumination can be considered, and such an apparatus is also included in the scope of the present invention.

【0367】また、電子線又はイオンビームなどの荷電
粒子線を用いる露光装置にも本発明を適用することがで
きる。電子線露光装置では電子銃として、例えば熱電子
放射型のランタンヘキサボライト(LaB6 )、タンタ
ル(Ta)を用いることができる。なお、電子線露光装
置はペンシルビーム方式、可変成形ビーム方式、セルプ
ロジェクション方式、ブランキング・アパーチャ・アレ
イ方式、及びマスク投影方式のいずれであっても良い。
マスク投影方式は、マスク上で互いに分離した250n
m角程度の多数のサブフィールドに回路パターンを分解
して形成し、マスク上で電子線を第1方向に順次シフト
させるとともに、第1方向と直交する第2方向にマスク
を移動するのに同期して、分解パターンを縮小投影する
電子光学系に対してウエハを相対移動し、ウエハ上で分
解パターンの縮小像を繋ぎ合せて合成パターンを形成す
るものである。
The present invention can be applied to an exposure apparatus using a charged particle beam such as an electron beam or an ion beam. In the electron beam exposure apparatus, for example, a thermionic emission type lanthanum hexaborite (LaB 6 ) or tantalum (Ta) can be used as an electron gun. The electron beam exposure apparatus may be any of a pencil beam system, a variable shaped beam system, a cell projection system, a blanking aperture array system, and a mask projection system.
The mask projection method uses 250 n separated from each other on the mask.
The circuit pattern is formed by disassembling the circuit pattern into a number of subfields of about m square, and the electron beam is sequentially shifted on the mask in the first direction, and is synchronized with the movement of the mask in the second direction orthogonal to the first direction. Then, the wafer is relatively moved with respect to the electron optical system for projecting the decomposition pattern in a reduced size, and a combined pattern is formed by joining reduced images of the decomposition pattern on the wafer.

【0368】なお、上記実施形態では、EUV露光装置
や電子線露光装置などでチャンバ内が真空になることを
も想定してステージの駆動系を磁気浮上型リニアアクチ
ュエータとし、チャック系にも静電吸着方式を用いる等
の工夫を行なっているが、露光波長が100nm以上の
光露光装置に於いては、エアフローによるステージ駆動
系や吸着にバキュームを用いても構わない。
In the above embodiment, the stage drive system is a magnetic levitation type linear actuator, and the chuck system is also electrostatically supposed, assuming that the chamber is evacuated by an EUV exposure apparatus or an electron beam exposure apparatus. Although a device such as a suction method is used, in a light exposure apparatus having an exposure wavelength of 100 nm or more, a vacuum may be used for a stage drive system by air flow or suction.

【0369】ところで、上記実施形態ではステップ・ア
ンド・スキャン方式の縮小投影露光装置(スキャニング
・ステッパ)に本発明が適用された場合について説明し
たが、レチクルとウエハとをほぼ静止させた状態で、投
影光学系を介してレチクルのパターンをウエハに転写す
る動作を繰り返すステップ・アンド・リピート方式の縮
小投影露光装置(ステッパ)、あるいはミラープロジェ
クション・アライナ、プロキシミティ方式の露光装置
(例えばX線が照射される円弧状照明領域に対してマス
クとウエハとを一体的に相対移動する走査型のX線露光
装置)などにも本発明を適用できる。
In the above embodiment, the case where the present invention is applied to a step-and-scan type reduction projection exposure apparatus (scanning stepper) has been described, but in a state where the reticle and the wafer are almost stationary. A step-and-repeat type reduction projection exposure apparatus (stepper) that repeats an operation of transferring a reticle pattern onto a wafer via a projection optical system, or a mirror projection aligner, a proximity type exposure apparatus (for example, X-ray irradiation) The present invention can also be applied to a scanning type X-ray exposure apparatus that moves a mask and a wafer relative to an arc-shaped illumination region to be integrated.

【0370】また、投影光学系は縮小系だけでなく等倍
系、又は拡大系(例えば液晶ディスプレイ製造用露光装
置など)を用いても良い。さらに、投影光学系は屈折
系、反射系、及び反射屈折系のいずれであっても良い。
なお、露光用照明光の波長によって光学素子(特に屈折
素子)に使用可能な硝材やコーティング材の種類が制限
され、かつ硝材毎にその製造可能な最大口径も異なるの
で、露光装置の仕様から決定される露光波長やその波長
幅(狭帯幅)、及び投影光学系のフィールドサイズや開
口数などを考慮して、屈折系、反射系、及び反射屈折系
のいずれかを選択することになる。
The projection optical system may use not only a reduction system but also an equal magnification system or an enlargement system (for example, an exposure apparatus for manufacturing a liquid crystal display). Further, the projection optical system may be any one of a refraction system, a reflection system, and a catadioptric system.
The type of glass material or coating material that can be used for an optical element (particularly, a refraction element) is limited by the wavelength of the illumination light for exposure, and the maximum diameter that can be manufactured differs for each glass material. One of the refraction system, the reflection system, and the catadioptric system is selected in consideration of the exposure wavelength to be used, its wavelength width (narrow bandwidth), the field size of the projection optical system, the numerical aperture, and the like.

【0371】―般に、露光波長が190nm程度以上で
あれば、硝材として合成石英と蛍石とを用いることがで
きるので、反射系、及び反射屈折系は言うに及ばず、屈
折系も比較的容易に採用することができる。また、波長
が200nm程度以下の真空紫外光では、その狭帯化さ
れた波長幅によっては屈折系をも用いることができる
が、特に波長が190nm程度以下では、硝材として蛍
石以外に適当なものがなく、かつ波長の狭帯化も困難に
なることから、反射系、又は反射屈折系を採用するのが
有利である。さらにEUV光では、複数枚(例えば3〜
6枚程度)の反射素子のみからなる反射系が採用され
る。なお、電子線露光装置では電子レンズ及び偏向器か
らなる電子光学系が用いられる。また、真空紫外域の露
光用照明光ではその減衰を低減する気体(例えば窒素、
ヘリウムなどの不活性ガス)で光路を満たすか、あるい
はその光路を真空とし、EUV光、又は電子線ではその
光路を真空とする。
In general, if the exposure wavelength is about 190 nm or more, synthetic quartz and fluorite can be used as the glass material, so that not only reflective systems and catadioptric systems but also refractive systems are relatively Can be easily adopted. In the case of vacuum ultraviolet light having a wavelength of about 200 nm or less, a refraction system can be used depending on the narrowed wavelength width. In particular, when the wavelength is about 190 nm or less, an appropriate material other than fluorite is used as a glass material. It is advantageous to employ a reflection system or a catadioptric system since there is no problem and it is difficult to narrow the wavelength band. Further, with EUV light, a plurality of sheets (for example, 3 to
A reflection system consisting of only (about six) reflection elements is employed. Note that an electron beam exposure apparatus uses an electron optical system including an electron lens and a deflector. Further, in the illumination light for exposure in the vacuum ultraviolet region, a gas (for example, nitrogen,
The optical path is filled with an inert gas such as helium), or the optical path is evacuated, and the EUV light or electron beam is evacuated.

【0372】さらに、半導体素子の製造に用いられる露
光装置だけでなく、液晶表示素子などを含むディスプレ
イの製造に用いられる、デバイスパターンをガラスプレ
ート上に転写する露光装置、薄膜磁気ヘッドの製造に用
いられる、デバイスパターンをセラミックウエハ上に転
写する露光装置、撮像素子(CCDなど)の製造に用い
られる露光装置などにも本発明を適用することができ
る。
Further, not only an exposure apparatus used for manufacturing a semiconductor element but also an exposure apparatus used for manufacturing a display including a liquid crystal display element for transferring a device pattern onto a glass plate and a thin film magnetic head. The present invention can also be applied to an exposure apparatus for transferring a device pattern onto a ceramic wafer, an exposure apparatus used for manufacturing an imaging device (such as a CCD), and the like.

【0373】さらに、レチクル又はマスクを製造するた
めに、ガラス基板又はシリコンウエハなどに回路パター
ンを転写する露光装置にも本発明を適用できる。ここ
で、DUV光やVUV光などを用いる露光装置では一般
的に透過型レチクルが用いられ、レチクル基板としては
石英ガラス、フッ素がドープされた石英ガラス、蛍石、
あるいは水晶などが用いられる。また、EUV露光装置
では反射型マスクが用いられ、プロキシミティ方式のX
線露光装置、又はマスク投影方式の電子線露光装置など
では透過型マスク(ステンシルマスク、メンブレンマス
ク)が用いられ、マスク基板としてはシリコンウエハな
どが用いられる。
Further, the present invention can be applied to an exposure apparatus for transferring a circuit pattern onto a glass substrate or a silicon wafer for producing a reticle or a mask. Here, a transmissive reticle is generally used in an exposure apparatus using DUV light, VUV light, or the like, and quartz glass, fluorine-doped quartz glass, fluorite,
Alternatively, quartz or the like is used. In the EUV exposure apparatus, a reflection type mask is used, and a proximity type X
In a line exposure apparatus or an electron beam exposure apparatus of a mask projection system, a transmission type mask (stencil mask, membrane mask) is used, and a silicon substrate or the like is used as a mask substrate.

【0374】また、本発明に係るステージ装置は前述し
た露光装置を始めとする、半導体素子などのマイクロデ
バイスの製造工程で使用されるリソグラフィ装置だけで
なく、例えばレーザリペア装置、検査装置などにも適用
できる。さらに、マイクロデバイスの製造工程で使用さ
れる各種装置以外であっても本発明を適用できる。
The stage apparatus according to the present invention is applicable not only to the lithography apparatus used in the manufacturing process of microdevices such as semiconductor elements, such as the above-described exposure apparatus, but also to, for example, laser repair apparatuses and inspection apparatuses. Applicable. Further, the present invention can be applied to devices other than various devices used in the micro device manufacturing process.

【0375】また、半導体デバイスは、デバイスの機能
・性能設計を行うステップ、この設計ステップに基づい
たレチクルを製作するステップ、シリコン材料からウエ
ハを製作するステップ、前述した実施形態の露光装置に
よりレチクルのパターンをウエハに転写するステップ、
デバイス組み立てステップ(ダイシング工程、ボンディ
ング工程、パッケージ工程を含む)、検査ステップ等を
経て製造される。
In the semiconductor device, a step of designing the function and performance of the device, a step of manufacturing a reticle based on the design step, a step of manufacturing a wafer from a silicon material, and a step of manufacturing a reticle by the exposure apparatus of the above-described embodiment. Transferring the pattern to the wafer,
It is manufactured through a device assembly step (including a dicing step, a bonding step, and a package step), an inspection step, and the like.

【0376】[0376]

【発明の効果】以上説明したように、本発明に係る露光
装置及び走査露光方法によれば、スループットの向上を
図ることができるという優れた効果がある。
As described above, the exposure apparatus and the scanning exposure method according to the present invention have an excellent effect that the throughput can be improved.

【0377】また、本発明に係るステージ装置によれ
ば、制御負担を軽減できるとともに、基板を保持する各
第1可動体(基板ステージ)の位置制御性をともに高く
維持することができるという効果がある。
Further, according to the stage device of the present invention, the control load can be reduced, and the position controllability of each first movable body (substrate stage) holding the substrate can be maintained at a high level. is there.

【図面の簡単な説明】[Brief description of the drawings]

【図1】第1の実施形態の走査型露光装置を示す斜視図
である。
FIG. 1 is a perspective view showing a scanning exposure apparatus according to a first embodiment.

【図2】図1の走査型露光装置の内部構成を概略的に示
す図である。
FIG. 2 is a view schematically showing an internal configuration of the scanning exposure apparatus of FIG.

【図3】図2のレーザ干渉計システムをより詳細に説明
するための図であって、(A)はレーザ干渉計システム
を構成する3つの干渉計からの干渉計ビームを基板テー
ブルTBとともに示す平面図、(B)は第2の干渉計か
らの干渉計ビームを該干渉計を構成する一部の光学系と
ともにより詳細に示す図、(C)は第2の干渉計からの
測長ビームRIY1 (又はRIY2 )と測長ビームRI
3 の位置関係を説明するための図である。
FIG. 3 is a diagram for explaining the laser interferometer system of FIG. 2 in more detail, where (A) shows interferometer beams from three interferometers constituting the laser interferometer system together with a substrate table TB. FIG. 3B is a plan view showing the interferometer beam from the second interferometer in more detail together with some optical systems constituting the interferometer, and FIG. RIY 1 (or RIY 2 ) and measuring beam RI
It is a diagram for explaining the positional relationship between Y 3.

【図4】基板テーブル上に取り付けられた空間像検出器
の構成とそれに関連した信号処理系の構成を概略的に示
す図である。
FIG. 4 is a diagram schematically showing a configuration of an aerial image detector mounted on a substrate table and a configuration of a signal processing system related thereto.

【図5】可動型定盤の役割及びその制御方法について説
明するための図であって、(A)は定盤付近の概略平面
図、(B)は(A)の矢印A方向から見た概略正面図で
ある。
5A and 5B are views for explaining the role of the movable surface plate and a control method thereof, wherein FIG. 5A is a schematic plan view near the surface plate, and FIG. 5B is viewed from the direction of arrow A in FIG. It is a schematic front view.

【図6】リアクションアクチュエータ及びリアクション
フレームを説明するための図である。
FIG. 6 is a diagram for explaining a reaction actuator and a reaction frame.

【図7】(A)は投影光学系の有効フィールドに内接す
るウエハ上のスリット状の照明領域とショット領域S1
との関係を示す平面図、(B)はステージ移動時間とス
テージ速度との関係を示す線図、(C)はウエハ周辺の
ショット領域Sを露光する場合のウエハ周辺ショットS
と移動鏡長延長分との関係を説明するための図である。
FIG. 7A shows a slit-shaped illumination area and a shot area S1 on a wafer inscribed in an effective field of the projection optical system.
(B) is a diagram showing the relationship between the stage moving time and the stage speed, and (C) is a wafer peripheral shot S when exposing a shot area S around the wafer.
FIG. 6 is a diagram for explaining the relationship between the moving mirror length extension and the moving mirror length extension.

【図8】(A)はショットS1,S2,S3を順次露光
する場合のウエハ上照明スリットSTの中心Pが各ショ
ット上を通過する軌跡を示す図、(B)は(A)の場合
のウエハステージのスキャン方向の速度と時間の関係を
示す線図、(C)はそれに対応した非スキャン方向の速
度と時間の関係を示す線図である。
FIG. 8A is a diagram showing a locus of the center P of the on-wafer illumination slit ST passing through each shot when the shots S1, S2, and S3 are sequentially exposed, and FIG. FIG. 3C is a diagram illustrating a relationship between the speed and time in the scanning direction of the wafer stage, and FIG.

【図9】ウエハWを交換するためのローディングポジシ
ョンにウエハステージが位置するときの可動型定盤近傍
の平面図である。
FIG. 9 is a plan view near the movable platen when the wafer stage is located at a loading position for replacing a wafer W.

【図10】アライメント計測の際のウエハステージの移
動の様子を示す可動型定盤近傍の平面図である。
FIG. 10 is a plan view of the vicinity of a movable surface plate showing a state of movement of a wafer stage at the time of alignment measurement.

【図11】露光開始時の位置にウエハステージが位置す
るときの可動型定盤近傍の平面図である。
FIG. 11 is a plan view of the vicinity of the movable platen when the wafer stage is located at the position at the start of exposure.

【図12】露光終了時の位置にウエハステージが位置す
るときの可動型定盤近傍の平面図である。
FIG. 12 is a plan view showing the vicinity of a movable platen when a wafer stage is located at a position at the time of completion of exposure.

【図13】本実施形態の効果を説明するための図であっ
て、干渉計多軸化及びプリスキャン、オーバースキャン
に起因する移動鏡距離悪化分があっても、本実施形態の
ウエハステージが従来の四角形形状ステージに比べ、小
型にできることを示す図である。
FIG. 13 is a diagram for explaining the effect of the present embodiment, and the wafer stage of the present embodiment can be used even if a moving mirror distance is deteriorated due to interferometer multi-axis and pre-scan and over-scan. It is a figure showing that it can be made small compared with the conventional square shape stage.

【図14】(A)は投影光学系を反射屈折光学系とした
一例を示す図、(B)は投影光学系を反射屈折光学系と
したその他の例を示す図である。
14A is a diagram illustrating an example in which the projection optical system is a catadioptric optical system, and FIG. 14B is a diagram illustrating another example in which the projection optical system is a catadioptric optical system.

【図15】第2の実施形態の露光装置の概略構成を示す
図である。
FIG. 15 is a diagram illustrating a schematic configuration of an exposure apparatus according to a second embodiment.

【図16】2つのウエハステージとレチクルステージと
投影光学系とアライメント系の位置関係を示す斜視図で
ある。
FIG. 16 is a perspective view showing a positional relationship among two wafer stages, a reticle stage, a projection optical system, and an alignment system.

【図17】図15の装置における定盤近傍を示す概略平
面図である。
17 is a schematic plan view showing the vicinity of a surface plate in the apparatus of FIG.

【図18】2つのウエハステージを使ってウエハ交換・
アライメントシーケンスと露光シーケンスとが行われて
いる状態を示す平面図である。
FIG. 18 shows a wafer exchange using two wafer stages.
FIG. 4 is a plan view showing a state where an alignment sequence and an exposure sequence are being performed.

【図19】図18のウエハ交換・アライメントシーケン
スと露光シーケンスとの切り換えを行った状態を示す図
である。
19 is a diagram showing a state in which switching between the wafer exchange / alignment sequence and the exposure sequence in FIG. 18 has been performed.

【図20】第2の実施形態の変形例を示す概略平面図で
ある。
FIG. 20 is a schematic plan view showing a modification of the second embodiment.

【図21】(A)は第1の加速度制御方法を採用した場
合のレチクルステージの走査方向の速度指令値の時間変
化を示す線図、(B)は図8(B)に対応するレチクル
ステージの走査方向の速度指令値の時間変化を示す線
図、C)は第2の加速度制御方法を採用した場合のレチ
クルステージの走査方向の速度指令値の時間変化を示す
線図である。
21A is a diagram showing a time change of a speed command value in the scanning direction of the reticle stage when the first acceleration control method is adopted, and FIG. 21B is a reticle stage corresponding to FIG. (C) is a diagram showing a time change of the speed command value of the reticle stage in the scanning direction when the second acceleration control method is adopted.

【図22】(A)、(B)は、図21(A)、(B)に
それぞれ対応して整定時間Ts近傍のレチクルステージ
の目標位置に対する位置誤差の時間変化を示す線図であ
る。
FIGS. 22A and 22B are diagrams showing the time change of the position error with respect to the target position of the reticle stage near the settling time Ts corresponding to FIGS. 21A and 21B, respectively.

【符号の説明】[Explanation of symbols]

10…走査型露光装置(露光装置)、33…レチクルス
テージコントローラ(ステージ制御系の一部)、42
a、42b…平面磁気浮上型リニアアクチュエータ(駆
動装置)、44…平面磁気浮上型リニアアクチュエータ
(第2の駆動装置)、66…同期制御系(ステージ制御
系の一部)、78…ウエハステージコントローラ(ステ
ージ制御系の一部)、80…同期制御系(ステージ制御
系の一部)、101…ステージ装置、110…露光装
置、138…可動型定盤(第2可動体)、W…ウエハ
(基板、感応基板)、R…レチクル(マスク)、WST
…ウエハステージ(基板ステージ)、WST1、WST
2…ウエハステージ(第1可動体)、RST…レチクル
ステージ(マスクステージ)、S1…ショット(第1区
画領域、1つの区画領域)、S2…ショット(第2区画
領域、別の区画領域)。
10: scanning exposure apparatus (exposure apparatus), 33: reticle stage controller (part of stage control system), 42
a, 42b: planar magnetic levitation linear actuator (drive unit), 44: planar magnetic levitation linear actuator (second drive unit), 66: synchronous control system (part of stage control system), 78: wafer stage controller (Part of stage control system), 80: synchronous control system (part of stage control system), 101: stage apparatus, 110: exposure apparatus, 138: movable surface plate (second movable body), W: wafer ( Substrate, sensitive substrate), R ... reticle (mask), WST
... Wafer stage (substrate stage), WST1, WST
2 wafer stage (first movable body), RST reticle stage (mask stage), S1 shot (first partitioned area, one partitioned area), S2 shot (second partitioned area, another partitioned area).

───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5F046 AA11 BA04 BA05 CA04 CB01 CB02 CB05 CB08 CB13 CB22 CB23 CB25 CC01 CC02 CC03 CC06 CC13 CC16 CC18 CD04 CD06 DA01 DA02 DA05 DA13 DA14 DA27 DB01 DB05 DC02 DC10 DD01 DD03 DD06 EB01 EB03 ED02 FA03 FA05 FA06 FC05 FC08  ──────────────────────────────────────────────────続 き Continued on the front page F term (reference) 5F046 AA11 BA04 BA05 CA04 CB01 CB02 CB05 CB08 CB13 CB22 CB23 CB25 CC01 CC02 CC03 CC06 CC13 CC16 CC18 CD04 CD06 DA01 DA02 DA05 DA13 DA14 DA27 DB01 DB05 DC02 DC10 DD01 DD03 DD06 EB01 EB01 FA03 FA05 FA06 FC05 FC08

Claims (81)

【特許請求の範囲】[Claims] 【請求項1】 マスクと感応基板とを同期移動させるこ
とにより、前記感応基板上の複数のショット領域に前記
マスクのパターンを順次転写する露光装置であって、 前記感応基板を保持して2次元平面内を移動する基板ス
テージと;前記マスクを保持して移動可能なマスクステ
ージと;前記基板ステージの露光終了後の次ショット露
光のための助走動作と次ショット露光のための非走査方
向へのステッピング動作とが同時並行的に行われ、かつ
前記非走査方向へのステッピング動作が次ショット露光
前の前記両ステージの同期整定期間の前に終了するよう
に、前記両ステージを制御するステージ制御系とを備え
ることを特徴とする露光装置。
1. An exposure apparatus for sequentially transferring a pattern of the mask to a plurality of shot areas on the sensitive substrate by synchronously moving a mask and a sensitive substrate, wherein the two-dimensional mask is held while holding the sensitive substrate. A substrate stage that moves in a plane; a mask stage that is movable while holding the mask; a run-up operation for the next shot exposure after the substrate stage has been exposed, and a non-scanning direction for the next shot exposure. A stage control system for controlling the two stages so that the stepping operation is performed simultaneously and in parallel, and the stepping operation in the non-scanning direction is completed before the synchronization adjustment period of the two stages before the next shot exposure. An exposure apparatus comprising:
【請求項2】 前記ステージ制御系は、前ショット露光
後の前記マスクステージの等速移動時間と減速時間とか
ら成るオーバースキャン時に対応する前記基板ステージ
の非走査方向の加速度が、次ショットの露光開始前の前
記マスクステージのプリスキャン時に対応する部分の前
記基板ステージの非走査方向の減速度より絶対値が大き
くなるように前記両ステージを制御することを特徴とす
る請求項1に記載の露光装置。
2. The stage control system according to claim 1, wherein the acceleration of the substrate stage in a non-scanning direction corresponding to an overscan consisting of a constant speed movement time and a deceleration time of the mask stage after a previous shot exposure is performed in a next shot exposure. 2. The exposure according to claim 1, wherein the two stages are controlled so that an absolute value is larger than a deceleration in a non-scanning direction of the substrate stage in a portion corresponding to a pre-scan of the mask stage before starting. apparatus.
【請求項3】 基板上の複数の区画領域にマスクのパタ
ーンを順次転写する走査露光方法において、 前記マスクと前記基板とを同期移動して、前記複数の区
画領域の1つを走査露光し、 前記基板が同期移動される第1方向と直交する第2方向
に関して前記1つの区画領域と隣接する別の区画領域を
走査露光するために、前記1つの区画領域の走査露光終
了後の前記基板の前記第2方向へのステッピング動作が
終了する前に前記基板の前記第1方向への加速を開始す
ることを特徴とする走査露光方法。
3. A scanning exposure method for sequentially transferring a mask pattern to a plurality of partitioned areas on a substrate, wherein the mask and the substrate are synchronously moved to scan and expose one of the plurality of partitioned areas. In order to scan and expose another partitioned area adjacent to the one partitioned area in a second direction orthogonal to a first direction in which the substrate is synchronously moved, the substrate after the scanning exposure of the one partitioned area is finished. A scanning exposure method comprising: starting acceleration of the substrate in the first direction before the stepping operation in the second direction ends.
【請求項4】 前記基板は、前記別の区画領域の走査露
光前に、前記加速によって前記第1及び第2方向に対し
て斜めに移動され、かつ前記第1方向の移動速度が前記
基板の感度特性に応じた速度に設定されることを特徴と
する請求項3に記載の走査露光方法。
4. The apparatus according to claim 1, wherein the substrate is moved obliquely with respect to the first and second directions by the acceleration before the scanning exposure of the another divided area, and the moving speed of the substrate in the first direction is higher than that of the substrate. 4. The scanning exposure method according to claim 3, wherein the speed is set according to the sensitivity characteristic.
【請求項5】 前記1つの区画領域の走査露光終了後
に、前記別の区画領域を走査露光するために必要な助走
距離だけ前記基板が前記第1方向に離れるまで、前記基
板を前記第1方向については減速させつつ前記第2方向
に移動させることを特徴とする請求項3又は4に記載の
走査露光方法。
5. After the scanning exposure of the one partitioned area is completed, the substrate is moved in the first direction until the substrate is separated in the first direction by a running distance necessary for scanning and exposing the another partitioned area. 5. The scanning exposure method according to claim 3, wherein the moving is performed in the second direction while decelerating.
【請求項6】 前記基板は、前記1つの区画領域の走査
露光と前記別の区画領域の走査露光との間で、前記第1
方向の速度成分と前記第2方向の速度成分との少なくと
も一方が零とならないように移動されることを特徴とす
る請求項3〜5のいずれか一項に記載の走査露光方法。
6. The substrate according to claim 1, wherein the first exposure is performed between the scanning exposure of the one divided area and the scanning exposure of the another divided area.
The scanning exposure method according to claim 3, wherein at least one of the speed component in the direction and the speed component in the second direction is moved so as not to be zero.
【請求項7】 前記基板は、前記1つの区画領域の走査
露光と前記別の区画領域の走査露光との間で、前記第1
方向の移動速度が零となる前記第2方向の位置が前記1
つの区画領域よりも前記別の区画領域に近くなるように
移動されることを特徴とする請求項3〜6のいずれか一
項に記載の走査露光方法。
7. The method according to claim 1, wherein the substrate is provided between the scanning exposure of the one partitioned area and the scanning exposure of the another partitioned area.
The position in the second direction where the moving speed in the direction becomes zero is 1
The scanning exposure method according to any one of claims 3 to 6, wherein the scanning exposure method is moved so as to be closer to the another divided area than one divided area.
【請求項8】 マスクと基板とを同期移動して、前記基
板が同期移動される第1方向とほぼ直交する第2方向に
沿って配列される前記基板上の第1区画領域と第2区画
領域とをそれぞれ前記マスクのパターンで走査露光する
方法において、 前記第1区画領域の走査露光終了後に、前記基板の第1
方向の移動速度が零となるまで、前記基板を減速させつ
つ前記第2方向に移動し、かつ前記第2区画領域の走査
露光前に、前記基板を前記第1方向に加速させつつ前記
第2方向に移動することを特徴とする走査露光方法。
8. A first partition area and a second partition on the substrate, the mask and the substrate being synchronously moved, and the substrate being arranged in a second direction substantially orthogonal to the first direction in which the substrate is synchronously moved. In the method of scanning and exposing each area with the pattern of the mask, the first exposure of the substrate is performed after the completion of the scanning exposure of the first partitioned area.
Moving the substrate in the second direction while decelerating the substrate until the moving speed in the second direction becomes zero, and accelerating the substrate in the first direction before scanning exposure of the second partitioned area. A scanning exposure method characterized by moving in a direction.
【請求項9】 前記第1方向の移動速度が零となる前記
基板の前記第2方向の位置を、前記第2方向における前
記第2区画領域の両端の間に設定することを特徴とする
請求項8に記載の走査露光方法。
9. The method according to claim 1, wherein a position in the second direction of the substrate at which the moving speed in the first direction becomes zero is set between both ends of the second partitioned area in the second direction. Item 9. The scanning exposure method according to Item 8.
【請求項10】 マスクと基板とを同期移動して、前記
基板が同期移動される第1方向とほぼ直交する第2方向
に配列される前記基板上の第1区画領域と第2区画領域
とにそれぞれ前記マスクのパターンを転写する走査露光
方法において、 前記第1区画領域の走査露光後に、前記基板をその移動
軌跡がほぼ放物線になるように移動した後、前記マスク
のパターンで前記第2区画領域を走査露光することを特
徴とする走査露光方法。
10. A first partition area and a second partition area on the substrate, which are arranged in a second direction substantially orthogonal to a first direction in which the mask and the substrate are synchronously moved. In the scanning exposure method of transferring the pattern of the mask, the substrate is moved so that its movement trajectory is substantially parabolic after the scanning exposure of the first section area, and then the second section is moved by the pattern of the mask. A scanning exposure method comprising scanning and exposing an area.
【請求項11】 前記放物線の頂点における前記基板の
前記第2方向の位置を、前記第1区画領域よりも前記第
2区画領域側に設定することを特徴とする請求項10に
記載の走査露光方法。
11. The scanning exposure according to claim 10, wherein a position of the substrate at the vertex of the parabola in the second direction is set closer to the second section area than the first section area. Method.
【請求項12】 マスクと基板とを同期移動して、前記
基板が同期移動される第1方向とほぼ直交する第2方向
に配列される前記基板上の第1区画領域と第2区画領域
とにそれぞれ前記マスクのパターンを転写する走査露光
方法において、 前記第1区画領域の走査露光終了後の前記基板の減速
中、及び前記第2区画領域の走査露光前の前記基板の加
速中に、前記基板を前記第1及び第2方向と交差する方
向に移動することを特徴とする走査露光方法。
12. A first partition region and a second partition region on the substrate, the mask and the substrate being synchronously moved, and the substrate being arranged in a second direction substantially orthogonal to the first direction in which the substrate is synchronously moved. In the scanning exposure method of transferring the pattern of the mask respectively, during the deceleration of the substrate after the scanning exposure of the first partitioned area, and during the acceleration of the substrate before the scanning exposure of the second partitioned area, A scanning exposure method comprising: moving a substrate in a direction intersecting the first and second directions.
【請求項13】 マスクと基板とを同期移動して、前記
基板が同期移動される第1方向と直交する第2方向に沿
って配列される前記基板上の第1区画領域と第2区画領
域とに前記マスクのパターンを順次転写する走査露光方
法において、 前記第1区画領域の走査露光終了後、前記基板の前記第
2方向の位置が前記第2区画領域の前記第2方向の位置
と一致する前に、前記第2区画領域の走査露光のための
前記基板の加速を開始することを特徴とする走査露光方
法。
13. A first partition region and a second partition region on the substrate, the mask and the substrate being synchronously moved, and the substrate being arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved. In the scanning exposure method of sequentially transferring the pattern of the mask, the position of the substrate in the second direction coincides with the position of the second divided region in the second direction after the scanning exposure of the first divided region is completed. Scanning acceleration of the substrate for scanning exposure of the second partitioned area before starting.
【請求項14】 前記第1区画領域の走査露光終了後、
前記基板の前記第1方向の速度成分が零となる前に前記
基板を前記第1方向に対して斜めに移動し、かつ前記基
板の加速開始直後は、前記第1及び第2方向の各速度成
分が零とならないように前記基板を移動することを特徴
とする請求項13に記載の走査露光方法。
14. After the scanning exposure of the first partitioned area is completed,
The substrate moves obliquely to the first direction before the velocity component of the substrate in the first direction becomes zero, and immediately after the acceleration of the substrate starts, the velocity in the first and second directions increases. 14. The scanning exposure method according to claim 13, wherein the substrate is moved so that the component does not become zero.
【請求項15】 マスクと基板とを同期移動して、前記
基板が同期移動される第1方向と直交する第2方向に沿
って配列される前記基板上の第1区画領域と第2区画領
域とに前記マスクのパターンを順次転写する走査露光方
法において、 前記第1区画領域の走査露光終了後の前記基板の前記第
2方向の速度成分が零となる前に、前記第2区画領域の
走査露光のための前記基板の加速を開始することを特徴
とする走査露光方法。
15. A first partition area and a second partition area on the substrate, wherein the mask and the substrate are synchronously moved, and the substrate is arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved. In the scanning exposure method of sequentially transferring the pattern of the mask, the scanning of the second partitioned area before the velocity component of the substrate in the second direction after the scanning exposure of the first partitioned area becomes zero is completed. A scanning exposure method, wherein acceleration of the substrate for exposure is started.
【請求項16】 前記基板は、前記第1方向に関して加
速され、かつ前記第2方向に関して減速されることを特
徴とする請求項14に記載の走査露光方向。
16. The scanning exposure direction according to claim 14, wherein the substrate is accelerated in the first direction and decelerated in the second direction.
【請求項17】 前記第1区画領域の走査露光終了後の
前記基板の前記第1方向の速度成分が零となる前に、前
記基板の前記第2方向への加速を開始することを特徴と
する請求項15又は16に記載の走査露光方法。
17. The acceleration of the substrate in the second direction is started before the velocity component of the substrate in the first direction becomes zero after the scanning exposure of the first partitioned area is completed. 17. The scanning exposure method according to claim 15, wherein
【請求項18】 マスクと基板とを同期移動して、前記
基板が同期移動される第1方向と直交する第2方向に沿
って配列される前記基板上の第1区画領域と第2区画領
域とに前記マスクのパターンを順次転写する走査露光方
法において、前記第1区画領域の走査露光終了後に前記
基板の前記第1方向の速度成分が零となる前記基板の前
記第2方向の位置を、前記第2区画領域の前記第2方向
の位置よりも前記第1区画領域側とし、かつ前記第2区
画領域を走査露光するために、前記第1及び第2方向に
対して斜めに前記基板を移動することを特徴とする走査
露光方法。
18. A first partition region and a second partition region on the substrate, the mask and the substrate being synchronously moved, and the substrate being arranged in a second direction orthogonal to the first direction in which the substrate is synchronously moved. In the scanning exposure method of sequentially transferring the pattern of the mask, the position of the substrate in the second direction at which the velocity component in the first direction of the substrate becomes zero after the completion of the scanning exposure of the first partitioned area, Positioning the substrate obliquely with respect to the first and second directions in order to scan and expose the second divided region with respect to the first divided region with respect to the position of the second divided region in the second direction. A scanning exposure method characterized by moving.
【請求項19】 マスクと基板とを同期移動して、前記
基板が同期移動される第1方向と直交する第2方向に沿
って配列される前記基板上の第1区画領域と第2区画領
域とに前記マスクのパターンを順次転写する走査露光方
法において、 前記第1区画領域の第1走査露光と前記第2区画領域の
第2走査露光とで前記基板を逆向きに移動するために、
前記第1走査露光終了後に前記基板の前記第1方向の速
度成分を零とし、かつ前記第2走査露光に先立って前記
第1及び第2方向の各速度成分が零とならないように前
記基板を加速することを特徴とする走査露光方法。
19. A first partition area and a second partition area on the substrate, the mask and the substrate being synchronously moved, and the substrate being arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved. And in the scanning exposure method of sequentially transferring the pattern of the mask, in order to move the substrate in the first scanning exposure of the first partitioned area and the second scanning exposure of the second partitioned area in the opposite direction,
After completion of the first scanning exposure, the speed component of the substrate in the first direction is set to zero, and the substrate is moved so that the speed components in the first and second directions do not become zero prior to the second scanning exposure. A scanning exposure method characterized by accelerating.
【請求項20】 マスクと基板とを同期移動して、前記
基板が同期移動される第1方向と直交する第2方向に沿
って配列される前記基板上の第1区画領域と第2区画領
域とに前記マスクのパターンを順次転写する走査露光方
法において、 前記第1区画領域の第1走査露光と前記第2区画領域の
第2走査露光との間、前記第1走査露光終了後の前記第
1方向の速度成分が零となる前記基板の前記第2方向の
位置が、前記第1区画領域の前記第2方向の位置と前記
第2区画領域の前記第2方向の位置との間になるように
前記基板を移動することを特徴とする走査露光方法。
20. A first partition region and a second partition region on the substrate, the mask and the substrate being synchronously moved, and the substrate being arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved. A scanning exposure method for sequentially transferring the pattern of the mask between the first scanning exposure of the first partitioned area and the second scanning exposure of the second partitioned area, The position of the substrate in the second direction where the velocity component in one direction becomes zero is between the position of the first partitioned area in the second direction and the location of the second partitioned area in the second direction. Scanning exposure method, wherein the substrate is moved as described above.
【請求項21】 マスクと基板とを同期移動して、前記
基板が同期移動される第1方向と直交する第2方向に沿
って配列される前記基板上の第1区画領域と第2区画領
域とに前記マスクのパターンを順次転写する走査露光方
法において、 前記第1区画領域の第1走査露光と前記第2区画領域の
第2走査露光との間の前記基板の移動軌跡がほぼ放物線
状となるように、前記第1走査露光後の前記基板の減速
中、及び前記第2走査露光前の前記基板の加速中、前記
第2方向の速度成分を零とすることなく前記基板を移動
することを特徴とする走査露光方法。
21. A first partition region and a second partition region on the substrate, the mask and the substrate being synchronously moved, and the substrate being arranged in a second direction orthogonal to the first direction in which the substrate is synchronously moved. Wherein the movement trajectory of the substrate between the first scanning exposure of the first partitioned area and the second scanning exposure of the second partitioned area is substantially parabolic. Moving the substrate without reducing the velocity component in the second direction to zero during deceleration of the substrate after the first scanning exposure and during acceleration of the substrate before the second scanning exposure. A scanning exposure method.
【請求項22】 前記第1走査露光の終了直後、及び前
記第2走査露光の開始直前は、前記基板の前記第2方向
の速度成分をほぼ零とすることを特徴とする請求項21
に記載の走査露光方法。
22. The apparatus according to claim 21, wherein the velocity component of the substrate in the second direction is substantially zero immediately after the end of the first scanning exposure and immediately before the start of the second scanning exposure.
3. The scanning exposure method according to 1.
【請求項23】 マスクと基板とを同期移動して、前記
基板が同期移動される第1方向と直交する第2方向に沿
って配列される前記基板上の第1区画領域と第2区画領
域とに前記マスクのパターンを順次転写する走査露光方
法において、 前記第1区画領域の第1走査露光と前記第2区画領域の
第2走査露光との間、前記第1走査露光終了後の前記基
板の前記第1方向の速度成分が零となる前に、前記基板
の前記第2方向への加速を開始し、かつ前記基板の前記
第2方向の速度成分が零となる前に、前記基板の前記第
1方向への加速を開始することを特徴とする走査露光方
法。
23. A first partition region and a second partition region on the substrate, the mask and the substrate being synchronously moved, and the substrate being arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved. Wherein the pattern of the mask is sequentially transferred to the substrate after the first scanning exposure between the first scanning exposure of the first partitioned area and the second scanning exposure of the second partitioned area. Before the velocity component in the first direction becomes zero, the acceleration of the substrate in the second direction is started, and before the velocity component in the second direction of the substrate becomes zero, A scanning exposure method, characterized by starting acceleration in the first direction.
【請求項24】 前記基板の前記第1方向への加速は、
前記第2方向に関する前記基板の減速中に開始されるこ
とを特徴とする請求項23に記載の走査露光方法。
24. The acceleration of the substrate in the first direction,
The scanning exposure method according to claim 23, wherein the method is started during deceleration of the substrate in the second direction.
【請求項25】 前記基板の前記第2方向への加速は、
前記第1走査露光終了後の前記基板の減速中に開始され
ることを特徴とする請求項23又は24に記載の走査露
光方法。
25. The acceleration of the substrate in the second direction,
25. The scanning exposure method according to claim 23, wherein the method is started during deceleration of the substrate after the first scanning exposure.
【請求項26】 前記第1区画領域の走査露光と前記第
2区画領域の走査露光との間で前記基板を前記第2方向
に移動するとき、前記基板の加速時と減速時とでその加
速度の絶対値を異ならせることを特徴とする請求項8〜
25のいずれか一項に記載の走査露光方法。
26. When the substrate is moved in the second direction between the scanning exposure of the first sectioned area and the scanning exposure of the second sectioned area, the acceleration of the substrate is accelerated and decelerated during the deceleration. Wherein the absolute value of is different.
26. The scanning exposure method according to claim 25.
【請求項27】 マスクと基板とを同期移動して、前記
基板が同期移動される第1方向とほぼ直交する第2方向
に配列される前記基板上の第1及び第2区画領域にそれ
ぞれ前記マスクのパターンを転写する走査露光方法にお
いて、 前記第1区画領域の第1走査露光と前記第2区画領域の
第2走査露光との間で前記基板を前記第2方向に移動す
るときに、前記基板の加速時と減速時とでその加速度の
絶対値を異ならせることを特徴とする走査露光方法。
27. A mask and a substrate are synchronously moved, and the substrate is arranged in first and second partition regions on the substrate arranged in a second direction substantially orthogonal to a first direction in which the substrate is synchronously moved. In a scanning exposure method for transferring a pattern of a mask, when the substrate is moved in the second direction between a first scanning exposure of the first partitioned region and a second scanning exposure of the second partitioned region, A scanning exposure method, wherein the absolute value of the acceleration differs between the time of acceleration and the time of deceleration of the substrate.
【請求項28】 前記第1走査露光後で前記基板の第1
方向の減速中に前記基板の前記第2方向の加速を開始
し、前記第2走査露光前で前記基板の第1方向の加速中
に前記基板の前記第2方向の減速を開始することを特徴
とする請求項27に記載の走査露光方法。
28. After the first scanning exposure, the first
Starting acceleration of the substrate in the second direction during deceleration in the direction, and starting deceleration of the substrate in the second direction during acceleration in the first direction before the second scanning exposure. The scanning exposure method according to claim 27, wherein
【請求項29】 前記基板は、前記第1走査露光と前記
第2走査露光との間で停止することなく移動されること
を特徴とする請求項27又は28に記載の走査露光方
法。
29. The scanning exposure method according to claim 27, wherein the substrate is moved without stopping between the first scanning exposure and the second scanning exposure.
【請求項30】 前記基板は、前記第1方向の速度成分
が零となる前後で前記第2方向の加減速がそれぞれ開始
されることを特徴とする請求項29に記載の走査露光方
法。
30. The scanning exposure method according to claim 29, wherein acceleration and deceleration of the substrate in the second direction is started before and after the velocity component in the first direction becomes zero.
【請求項31】 前記基板の減速時よりも加速時で前記
第2方向の加速度の絶対値を大きくすることを特徴とす
る請求項26〜30のいずれか一項に記載の走査露光方
法。
31. The scanning exposure method according to claim 26, wherein the absolute value of the acceleration in the second direction is made larger during acceleration of the substrate than during deceleration.
【請求項32】 前記基板は、前記第1区画領域の走査
露光と前記第2区画領域の走査露光との間で停止するこ
となく移動されることを特徴とする請求項8〜26のい
ずれか一項に記載の走査露光方法。
32. The apparatus according to claim 8, wherein the substrate is moved without stopping between the scanning exposure of the first partitioned area and the scanning exposure of the second partitioned area. The scanning exposure method according to claim 1.
【請求項33】 前記第2区画領域の走査露光に先立つ
前記マスクと前記基板との同期整定前に、前記基板の前
記第2方向の速度成分をほぼ零にすることを特徴とする
請求項8〜32に記載の走査露光方法。
33. The method according to claim 8, wherein the velocity component of the substrate in the second direction is made substantially zero before the synchronous setting of the mask and the substrate prior to the scanning exposure of the second partitioned area. 33. The scanning exposure method according to any one of Items 1 to 32.
【請求項34】 前記マスクは、前記基板が前記第1方
向に沿って逆向きに移動される前記第1区画領域の走査
露光と前記第2区画領域の走査露光とで、往復移動され
ることを特徴とする請求項10〜33のいずれか一項に
記載の走査露光方法。
34. The mask is reciprocated by scanning exposure of the first partitioned area and scanning exposure of the second partitioned area in which the substrate is moved in the reverse direction along the first direction. The scanning exposure method according to any one of claims 10 to 33, characterized in that:
【請求項35】 前記基板は、前記マスクのパターンを
転写すべき前記基板上の全ての区画領域の走査露光が終
了するまで、前記第1及び第2方向の両方でその速度成
分が同時に零とならないように移動されることを特徴と
する請求項10〜34のいずれか一項に記載の走査露光
方法。
35. The substrate has its velocity component simultaneously reduced to zero in both the first and second directions until scanning exposure of all the divided areas on the substrate to which the pattern of the mask is to be transferred is completed. The scanning exposure method according to any one of claims 10 to 34, wherein the scanning exposure method is moved so as not to be shifted.
【請求項36】 基板上の区画領域毎にマスクと前記基
板とを同期移動して、前記基板上の複数の区画領域に前
記マスクのパターンを順次転写するステップ・アンド・
スキャン方式の走査露光方法において、 前記マスクの往復移動によって前記マスクのパターンが
転写される前記基板上の2つの区画領域の走査露光間で
前記基板を停止することなく移動することを特徴とする
走査露光方法。
36. A step-and-step in which a mask and the substrate are synchronously moved for each divided area on the substrate and the pattern of the mask is sequentially transferred to a plurality of divided areas on the substrate.
In a scanning exposure method of a scanning method, the substrate is moved without stopping between scanning exposures of two divided areas on the substrate to which a pattern of the mask is transferred by reciprocating movement of the mask. Exposure method.
【請求項37】 前記基板は、前記マスクのパターンを
転写すべき前記基板上の最後の区画領域の走査露光が終
了するまで、前記基板が同期移動される第1方向、及び
それと直交する第2方向の少なくとも一方の速度成分が
零とならないように移動されることを特徴とする請求項
36に記載の走査露光方法。
37. The substrate is moved in a first direction in which the substrate is synchronously moved and a second direction orthogonal to the first direction until scanning exposure of the last partitioned area on the substrate to which the pattern of the mask is to be transferred is completed. 37. The scanning exposure method according to claim 36, wherein the moving is performed such that at least one speed component in the direction does not become zero.
【請求項38】 前記マスクは、前記走査露光時に前記
基板の前記第2方向の速度成分が零となる前に加速が開
始されることを特徴とする請求項3〜37のいずれか一
項に記載の走査露光方法。
38. The mask according to claim 3, wherein acceleration of the mask is started before the velocity component of the substrate in the second direction becomes zero during the scanning exposure. The scanning exposure method according to the above.
【請求項39】 前記走査露光前の前記基板の加速時
と、前記走査露光後の前記基板の減速時との少なくとも
一方で、前記基板をその加速度が徐々に零に収束するよ
うな加速度変化曲線に従って前記第1方向に移動するこ
とを特徴とする請求項3〜38のいずれか一項に記載の
走査露光方法。
39. An acceleration change curve such that the acceleration of the substrate gradually converges to zero during at least one of acceleration of the substrate before the scanning exposure and deceleration of the substrate after the scanning exposure. The scanning exposure method according to any one of claims 3 to 38, wherein the scanning exposure method moves in the first direction according to the following.
【請求項40】 前記走査露光前の前記マスクの加速時
と、前記走査露光後の前記マスクの減速時との少なくと
も一方で、前記マスクをその加速度が徐々に零に収束す
るような加速度変化曲線に従って移動することを特徴と
する請求項3〜39のいずれか一項に記載の走査露光方
法。
40. An acceleration change curve such that the acceleration of the mask gradually converges to zero at least one of when the mask is accelerated before the scanning exposure and when the mask is decelerated after the scanning exposure. The scanning exposure method according to any one of claims 3 to 39, wherein the scanning exposure method moves in accordance with the following.
【請求項41】 前記基板又は前記マスクは、その加速
時に前記加速度変化曲線に従って移動されることを特徴
とする請求項39又は40に記載の走査露光方法。
41. The scanning exposure method according to claim 39, wherein the substrate or the mask is moved according to the acceleration change curve when the substrate or the mask is accelerated.
【請求項42】 前記基板又は前記マスクは、その減速
時に一定の加速度で減速されることを特徴とする請求項
41に記載の走査露光方法
42. The scanning exposure method according to claim 41, wherein the substrate or the mask is decelerated at a constant acceleration when the substrate or the mask is decelerated.
【請求項43】 マスクと基板とを同期移動して、前記
基板上の1又は2以上の区画領域に前記マスクのパター
ンを転写する走査露光方法において、 前記各区画領域に対する走査露光に際して、前記マスク
と前記基板との同期移動に先立って、前記マスク及び前
記基板の少なくとも一方を、その加速度が徐々に零に収
束するような加速度変化曲線に基づいて前記同期移動方
向に沿って加速することを特徴とする走査露光方法。
43. A scanning exposure method in which a mask and a substrate are synchronously moved and a pattern of the mask is transferred to one or more divided regions on the substrate. Prior to synchronous movement between the mask and the substrate, at least one of the mask and the substrate is accelerated along the synchronous movement direction based on an acceleration change curve whose acceleration gradually converges to zero. Scanning exposure method.
【請求項44】 前記基板が同期移動される第1方向に
直交する第2方向に沿って配列される前記基板上の第1
区画領域と第2区画領域とに前記マスクのパターンを順
次転写するに際し、 前記第1区画領域の走査露光終了後の前記基板の第1方
向の減速中及び前記第2区画領域の走査露光前の前記基
板の第1方向の加速中に、前記基板を前記第1及び第2
方向と交差する方向に移動することを特徴とする請求項
43に記載の走査露光方法。
44. A first substrate on the substrate arranged along a second direction orthogonal to the first direction in which the substrate is synchronously moved.
When sequentially transferring the pattern of the mask to the divided area and the second divided area, during the deceleration of the substrate in the first direction after the scanning exposure of the first divided area and before the scanning exposure of the second divided area During acceleration of the substrate in a first direction, the substrate is moved to the first and second directions.
The scanning exposure method according to claim 43, wherein the scanning exposure method moves in a direction intersecting the direction.
【請求項45】 マスクと基板とを同期移動して、前記
基板が同期移動される第1方向に直交する第2方向に沿
って配列される前記基板上の第1区画領域と第2区画領
域とに前記マスクのパターンを順次転写する走査露光方
法において、 少なくとも前記第1区画領域に対する走査露光に際し
て、前記マスク及び前記基板の少なくとも一方を、前記
マスクと前記基板との同期移動に先立ってその加速度が
徐々に零に収束するような加速度変化曲線に基づいて前
記第1方向に沿って加速するとともに、前記同期移動の
終了後に一定減速度で前記第1方向に沿って減速するこ
とを特徴とする走査露光方法。
45. A first partition region and a second partition region on the substrate, the mask and the substrate being synchronously moved, and the substrate being arranged in a second direction orthogonal to the first direction in which the substrate is synchronously moved. In the scanning exposure method of sequentially transferring the pattern of the mask, at least during the scanning exposure of the first partitioned region, at least one of the mask and the substrate is accelerated prior to the synchronous movement of the mask and the substrate. Is accelerated along the first direction based on an acceleration change curve such that the velocity gradually converges to zero, and decelerates along the first direction at a constant deceleration after the end of the synchronous movement. Scanning exposure method.
【請求項46】 前記第1区画領域の走査露光終了後の
前記基板の第1方向の減速中及び前記第2区画領域の走
査露光前の前記基板の第1方向の加速中に、前記基板を
前記第1及び第2方向と交差する方向に移動することを
特徴とする請求項45に記載の走査露光方法。
46. The method according to claim 46, wherein the substrate is decelerated in the first direction after the scanning exposure of the first partitioned area is completed, and the substrate is accelerated in the first direction before the scanning exposure of the second partitioned area. The scanning exposure method according to claim 45, wherein the scanning exposure method moves in a direction intersecting the first and second directions.
【請求項47】 前記基板が同期移動される第1方向、
及びこれに直交する第2方向のうち、少なくとも第2方
向については、前記第2方向と異なる方向の第1測長ビ
ームを用いて前記基板の位置制御を行うことを特徴とす
る請求項3〜46のいずれか一項に記載の走査露光方
法。
47. A first direction in which the substrate is synchronously moved,
The position of the substrate is controlled using a first length measuring beam in a direction different from the second direction, at least in a second direction among second directions orthogonal to the second direction. 47. The scanning exposure method according to any one of the items 46.
【請求項48】 前記第1方向とほぼ平行な第2測長ビ
ームを用いて、前記第1方向における前記基板の位置制
御を行うことを特徴とする請求項47に記載の走査露光
方法。
48. The scanning exposure method according to claim 47, wherein the position of the substrate in the first direction is controlled using a second length measuring beam substantially parallel to the first direction.
【請求項49】 前記第1及び第2方向と交差し、かつ
前記第1測長ビームと異なる方向の第3測長ビームを用
いて、前記基板の位置制御を行うことを特徴とする請求
項47又は48に記載の走査露光方法。
49. The position control of the substrate is performed by using a third length measuring beam that intersects the first and second directions and is different from the first length measuring beam. 49. The scanning exposure method according to 47 or 48.
【請求項50】 定盤と;前記定盤に対して相対移動が
可能であるとともに基板をそれぞれ保持する少なくとも
2つの第1可動体と;前記各第1可動体がその上部に配
置されるとともに、前記定盤上に配置され、かつ前記定
盤及び前記各第1可動体のそれぞれに対して相対移動す
る第2可動体と;前記第2可動体に設けられ、前記各第
1可動体を2次元平面内で駆動する駆動装置とを備え、 前記各第1可動体の駆動の際の反力に応じて前記第2可
動体が移動するように構成されていることを特徴とする
ステージ装置。
50. a surface plate; at least two first movable bodies movable relative to the surface plate and respectively holding substrates; each of the first movable bodies being arranged on an upper part thereof; A second movable body disposed on the surface plate and relatively moving with respect to each of the surface plate and each of the first movable bodies; and a second movable body provided on the second movable body, A stage device comprising: a driving device that drives in a two-dimensional plane; and wherein the second movable body is configured to move in response to a reaction force when the first movable bodies are driven. .
【請求項51】 前記各第1可動体の質量は前記第2可
動体の質量のほぼ1/9以下であり、 前記定盤上で前記第2可動体を低応答周波数で駆動する
第2の駆動装置を更に備えることを特徴とする請求項5
0に記載のステージ装置。
51. The mass of each of the first movable bodies is substantially 1/9 or less of the mass of the second movable bodies, and the second movable body drives the second movable bodies at a low response frequency on the surface plate. The driving device is further provided.
The stage device according to 0.
【請求項52】 マスクのパターンを基板上に転写する
露光装置であって、 請求項50又は51に記載のステージ装置を備え、 前記マスクのパターンが転写される基板が前記ステージ
装置を構成する前記各第1可動体に保持されることを特
徴とする露光装置。
52. An exposure apparatus for transferring a pattern of a mask onto a substrate, comprising: the stage device according to claim 50, wherein the substrate onto which the pattern of the mask is transferred constitutes the stage device. An exposure apparatus characterized by being held by each first movable body.
【請求項53】 前記マスクのパターンを前記基板に投
影する投影光学系を更に備え、 前記ステージ装置を構成する前記駆動装置が、前記各第
1可動体にそれぞれ保持された基板に前記マスクのパタ
ーンを転写する際に、そのパターン転写の対象の基板を
保持する前記第1可動体を前記マスクと同期して前記投
影光学系に対して走査方向に駆動することを特徴とする
請求項52に記載の露光装置。
53. A projection optical system for projecting the pattern of the mask onto the substrate, wherein the driving device constituting the stage device includes a pattern of the mask on a substrate held by each of the first movable bodies. 53. The method according to claim 52, wherein, when transferring the image, the first movable body that holds the substrate on which the pattern is to be transferred is driven in a scanning direction with respect to the projection optical system in synchronization with the mask. Exposure equipment.
【請求項54】 基板上で隣接して配置される第1及び
第2区画領域のそれぞれにマスクのパターンを転写する
露光装置であって、 前記基板を保持する基板ステージと;前記第1区画領域
に対する第1露光と前記第2区画領域に対する第2露光
との間で前記基板ステージを移動するときに、前記基板
ステージの加速時と減速時とでその加速度の絶対値を異
ならせる第1駆動装置とを備える露光装置。
54. An exposure apparatus for transferring a pattern of a mask to each of a first and a second divided region arranged adjacent to each other on a substrate, the substrate stage holding the substrate; and the first divided region. A first driving device that, when the substrate stage is moved between the first exposure to the first exposure and the second exposure to the second partitioned area, makes the absolute value of the acceleration different between the time of acceleration and the time of deceleration of the substrate stage An exposure apparatus comprising:
【請求項55】 前記第1駆動装置は、前記減速時より
も前記加速時でその加速度の絶対値を大きくすることを
特徴とする請求項54に記載の露光装置。
55. The exposure apparatus according to claim 54, wherein the first driving device makes the absolute value of the acceleration larger during the acceleration than during the deceleration.
【請求項56】 前記基板ステージが配置される第1定
盤と;前記第1定盤が配置される第2定盤とを更に備
え、 前記基板ステ−ジの移動に応答して前記第1定盤が前記
第2定盤上で前記基板ステージに対して相対移動するよ
うに構成したことを特徴とする請求項54又は55に記
載の露光装置。
56. A first platen on which the substrate stage is disposed; and a second platen on which the first platen is disposed, wherein the first platen is responsive to movement of the substrate stage. 56. The exposure apparatus according to claim 54, wherein the surface plate is configured to move relative to the substrate stage on the second surface plate.
【請求項57】 前記第1定盤上に配置される第2基板
ステージを更に備え、 前記第1定盤は、前記2つの基板ステージの移動によっ
て生じる反力の合力を相殺するように移動されることを
特徴とする請求項56に記載の露光装置。
57. A semiconductor device further comprising a second substrate stage disposed on the first surface plate, wherein the first surface plate is moved so as to cancel out a resultant force of reaction forces generated by the movement of the two substrate stages. 57. The exposure apparatus according to claim 56, wherein:
【請求項58】 前記第1定盤上に配置される第2基板
ステージを更に備え、 前記第1定盤は、前記2つの基板ステージの少なくとも
一方の移動による重心位置変動の発生を防止するように
移動されることを特徴とする請求項56に記載の露光装
置。
58. The apparatus further comprising a second substrate stage disposed on the first surface plate, wherein the first surface plate prevents a change in a center of gravity position caused by movement of at least one of the two substrate stages. The exposure apparatus according to claim 56, wherein the exposure apparatus is moved.
【請求項59】 前記第1定盤を前記第2定盤に対して
相対移動する第2駆動装置と;前記第2駆動装置の制御
応答を、前記基板の露光動作を含む複数の動作でそれぞ
れ可変とする制御装置とを更に備えることを特徴とする
請求項56〜58のいずれか一項に記載の露光装置。
59. A second driving device for moving the first surface plate relative to the second surface plate; and controlling a control response of the second driving device by a plurality of operations including an exposure operation of the substrate. The exposure apparatus according to any one of claims 56 to 58, further comprising: a control unit configured to be variable.
【請求項60】 前記制御装置は、前記第1及び第2露
光間での前記基板ステージの移動時に、前記第1定盤が
前記第2定盤に対する位置をほぼ維持するような制御が
可能となるように前記第2駆動装置の制御応答を設定す
ることを特徴とする請求項59に記載の露光装置。
60. The control device according to claim 26, wherein the control is such that the first platen substantially maintains a position with respect to the second platen when the substrate stage is moved between the first and second exposures. 60. The exposure apparatus according to claim 59, wherein a control response of the second driving device is set to be as follows.
【請求項61】 前記第1駆動装置は、前記基板上の複
数の区画領域がステップ・アンド・リピート方式、又は
ステップ・アンド・スキャン方式で露光されるように前
記基板ステージを移動することを特徴とする請求項54
〜60のいずれか一項に記載の露光装置。
61. The first driving device moves the substrate stage such that a plurality of partitioned areas on the substrate are exposed by a step-and-repeat method or a step-and-scan method. Claim 54
61. The exposure apparatus according to any one of claims 60.
【請求項62】 前記第1駆動装置は、前記基板ステー
ジを少なくとも3自由度で駆動する第1の平面磁気浮上
型リニアアクチュエータを有することを特徴とする請求
項54〜61のいずれか一項に記載の露光装置。
62. The apparatus according to claim 54, wherein the first driving device includes a first planar magnetic levitation linear actuator that drives the substrate stage with at least three degrees of freedom. Exposure apparatus according to the above.
【請求項63】 前記第2駆動装置は、前記第1定盤を
前記第2定盤に対して相対移動する第2の平面磁気浮上
型リニアアクチュエータを有することを特徴とする請求
項59〜62のいずれか一項に記載の露光装置。
63. The apparatus according to claim 59, wherein the second driving device has a second planar magnetic levitation linear actuator that moves the first surface plate relative to the second surface plate. The exposure apparatus according to any one of the above.
【請求項64】 マスクのパターンを基板上に転写する
露光装置であって、 第1定盤と;前記第1定盤上にそれぞれ配置され前記基
板をそれぞれ保持する複数の基板ステージと;前記第1
定盤が配置される第2定盤と;前記複数の基板ステージ
の少なくとも1つの移動による重心位置変動を抑制する
ように、前記第2定盤に対して前記第1定盤を相対移動
可能に支持する支持装置とを備える露光装置。
64. An exposure apparatus for transferring a pattern of a mask onto a substrate, comprising: a first platen; a plurality of substrate stages respectively arranged on the first platen and each holding the substrate; 1
A second platen on which a platen is disposed; and a first platen relatively movable with respect to the second platen so as to suppress a change in the center of gravity due to movement of at least one of the plurality of substrate stages. An exposure apparatus comprising: a supporting device that supports the device.
【請求項65】 前記複数の基板ステージのうち第1基
板ステージは、前記基板がステップ・アンド・リピート
方式又はステップ・アンド・スキャン方式で露光される
ように移動され、 前記支持装置は前記第1定盤を前記第2定盤に対して相
対移動可能に支持する平面磁気浮上型リニアアクチュエ
ータを有することを特徴とする請求項64に記載の露光
装置。
65. A first substrate stage of the plurality of substrate stages is moved so that the substrate is exposed by a step-and-repeat method or a step-and-scan method, and the supporting device is configured to be a first substrate stage. 65. The exposure apparatus according to claim 64, further comprising a planar magnetic levitation linear actuator that supports the surface plate so as to be relatively movable with respect to the second surface plate.
【請求項66】 前記第1基板ステ一ジ上の基板の露光
動作中、前記第1基板ステージと異なる第2基板ステー
ジは露光動作以外の動作が実行されるように駆動される
ことを特徴とする請求項65に記載の露光装置。
66. During the exposure operation of the substrate on the first substrate stage, a second substrate stage different from the first substrate stage is driven such that operations other than the exposure operation are performed. The exposure apparatus according to claim 65, wherein:
【請求項67】 前記基板上のマークを検出するアライ
メント系を更に備え、 前記第2基板ステージでは、前記アライメント系による
マーク検出、又は前記基板のロード又はアンロードが実
行されることを特徴とする請求項66に記載の露光装
置。
67. An apparatus according to claim 67, further comprising an alignment system for detecting a mark on said substrate, wherein said second substrate stage executes mark detection by said alignment system or loading or unloading of said substrate. The exposure apparatus according to claim 66.
【請求項68】 前記基板ステージは、互いに延設方向
が鋭角に交差するように配置される第1及び第2反射面
を有し、 前記第1及び第2反射面とそれぞれ直交する測長軸を有
する第1及び第2の干渉計を更に備えたことを特徴とす
る請求項54〜67のいずれか一項に記載の露光装置。
68. The substrate stage has first and second reflecting surfaces arranged so that extending directions thereof intersect at an acute angle with each other, and length measurement axes orthogonal to the first and second reflecting surfaces, respectively. The exposure apparatus according to any one of claims 54 to 67, further comprising first and second interferometers having the following.
【請求項69】 前記第1及び第2反射面は、当該両反
射面を上底及び下底以外の2辺とする台形が前記基板を
包含するように配置されることを特徴とする請求項68
に記載の露光装置。
69. The first and second reflecting surfaces are arranged such that a trapezoid having both reflecting surfaces on two sides other than an upper bottom and a lower bottom includes the substrate. 68
3. The exposure apparatus according to claim 1.
【請求項70】 前記第1及び第2反射面はそれぞれ前
記基板ステージ上で前記基板をほぼ包含する三角形の2
辺に沿って形成されることを特徴とする請求項68又は
69に記載の露光装置。
70. The first and second reflection surfaces each having a triangular shape substantially including the substrate on the substrate stage.
70. The exposure apparatus according to claim 68, wherein the exposure apparatus is formed along a side.
【請求項71】 前記第1反射面、又は前記第2反射面
はその延設方向に関する長さが前記基板上の露光範囲よ
りも実質的に長く定められていることを特徴とする請求
項68〜70のいずれか一項に記載の露光装置。
71. A length of the first reflecting surface or the second reflecting surface in an extending direction thereof is set substantially longer than an exposure range on the substrate. 70. The exposure apparatus according to any one of -70.
【請求項72】 前記露光範囲は、前記基板上で前記マ
スクのパターンを転写すべき全ての部分領域を含むこと
を特徴とする請求項71に記載の露光装置。
72. The exposure apparatus according to claim 71, wherein the exposure range includes all partial regions where the pattern of the mask is to be transferred on the substrate.
【請求項73】 前記マスクを保持するマスクステージ
と;前記マスクのパターンを前記基板上に転写するた
め、前記マスクステージと前記基板ステージとを第1方
向に同期移動する駆動装置とを更に備え、 前記基板ステージは、前記同期移動される第1方向と鋭
角に交差する方向に沿って延びる測長用第1基準面を有
することを特徴とする請求項54〜67のいずれか一項
に記載の露光装置。
73. A mask stage for holding the mask; and a driving device for synchronously moving the mask stage and the substrate stage in a first direction to transfer a pattern of the mask onto the substrate, 68. The substrate stage according to any one of claims 54 to 67, wherein the substrate stage has a first length measurement reference plane extending along a direction intersecting at an acute angle with the synchronized first direction. Exposure equipment.
【請求項74】 前記測長用第1基準面はその延設方向
に関して、前記基板の走査露光動作における前記基板ス
テージの移動範囲のほぼ全域に渡って形成されることを
特徴とする請求項73に記載の露光装置。
74. The method according to claim 73, wherein the first reference plane for length measurement is formed over substantially the entire moving range of the substrate stage in the scanning exposure operation of the substrate with respect to its extending direction. 3. The exposure apparatus according to claim 1.
【請求項75】 前記測長用第1基準面はその延設方向
に関する長さが前記基板上の露光範囲よりも実質的に長
く定められていることを特徴とする請求項73又は74
に記載の露光装置。
75. The first reference plane for length measurement, wherein the length in the extending direction thereof is set substantially longer than the exposure range on the substrate.
3. The exposure apparatus according to claim 1.
【請求項76】 前記露光範囲は、前記基板上で前記マ
スクのパターンを転写すべき全ての区画領域を含むこと
を特徴とする請求項75に記載の露光装置。
76. The exposure apparatus according to claim 75, wherein the exposure range includes all the divided areas where the pattern of the mask is to be transferred on the substrate.
【請求項77】 前記測長用第1基準面と直交する測長
軸を有する第1の干渉計を更に備え、 前記第1の干渉計の計測値は、前記第1方向、及びこれ
に直交する第2方向のうち、少なくとも第2方向に関す
る前記基板ステージの位置制御に用いられることを特徴
とする請求項73〜76のいずれか一項に記載の露光装
置。
77. The apparatus further comprises a first interferometer having a length measurement axis orthogonal to the first length measurement reference plane, wherein a measurement value of the first interferometer is in the first direction and orthogonal to the first direction. The exposure apparatus according to any one of claims 73 to 76, wherein the exposure apparatus is used for position control of the substrate stage in at least the second direction among the second directions.
【請求項78】 前記基板ステージは、前記第1方向と
直交する第2方向に延びる測長用第2基準面を有するこ
とを特徴とする請求項73〜77のいずれか一項に記載
の露光装置。
78. The exposure according to claim 73, wherein the substrate stage has a second reference plane for length measurement extending in a second direction orthogonal to the first direction. apparatus.
【請求項79】 前記測長用第2基準面と直交する測長
軸を有する第2の干渉計を更に備え、前記第2の干渉計
の計測値は、前記第1方向に関する前記基板ステージの
位置制御に用いられることを特徴とする請求項78に記
載の露光装置。
79. The apparatus according to claim 79, further comprising a second interferometer having a length measurement axis orthogonal to the second length measurement reference plane, wherein a measurement value of the second interferometer is a value of the substrate stage with respect to the first direction. The exposure apparatus according to claim 78, wherein the exposure apparatus is used for position control.
【請求項80】 前記基板ステージは、前記第1方向、
及びこれに直交する第2方向の両方と交差し、かつ前記
測長用第1基準面と異なる方向の測長用第3基準面を有
することを特徴とする請求項73〜79のいずれか一項
に記載の露光装置。
80. The substrate stage, wherein the first stage comprises:
And a third reference plane for length measurement that intersects both the second direction perpendicular to the first reference plane and a direction different from the first reference plane for length measurement. Exposure apparatus according to Item.
【請求項81】 前記測長用第3基準面と直交する測長
軸を有する第3の干渉計を更に備え、 前記第3の干渉計の計測値は、前記第1及び第2方向の
少なくとも一方に関する前記基板ステージの位置制御に
用いられることを特徴とする請求項80に記載の露光装
置。
81. The apparatus further comprises a third interferometer having a length measuring axis orthogonal to the third length measuring reference plane, wherein the measured value of the third interferometer is at least in the first and second directions. The exposure apparatus according to claim 80, wherein the exposure apparatus is used for position control of the substrate stage with respect to one side.
JP10290053A 1997-09-26 1998-09-28 Aligner, scanning exposure method, and stage device Withdrawn JP2000106340A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10290053A JP2000106340A (en) 1997-09-26 1998-09-28 Aligner, scanning exposure method, and stage device

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP9-279500 1997-09-26
JP27950097 1997-09-26
JP10-226500 1998-07-27
JP22650098 1998-07-27
JP10290053A JP2000106340A (en) 1997-09-26 1998-09-28 Aligner, scanning exposure method, and stage device

Publications (2)

Publication Number Publication Date
JP2000106340A true JP2000106340A (en) 2000-04-11
JP2000106340A5 JP2000106340A5 (en) 2005-11-10

Family

ID=27331177

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10290053A Withdrawn JP2000106340A (en) 1997-09-26 1998-09-28 Aligner, scanning exposure method, and stage device

Country Status (1)

Country Link
JP (1) JP2000106340A (en)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6927505B2 (en) 2001-12-19 2005-08-09 Nikon Corporation Following stage planar motor
KR100516689B1 (en) * 2002-04-22 2005-09-22 캐논 가부시끼가이샤 Driving apparatus, exposure apparatus, and device manufacturing method
US7016019B2 (en) 2003-12-16 2006-03-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR100585108B1 (en) * 2003-11-14 2006-06-01 삼성전자주식회사 Method for exposing wafer using scan type exposure apparatus
JP2009117877A (en) * 2003-06-19 2009-05-28 Nikon Corp Exposure device and device production method
US20130271945A1 (en) 2004-02-06 2013-10-17 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
JP2014029956A (en) * 2012-07-31 2014-02-13 Canon Inc Exposure method, exposure device, and device manufacturing method
US9341954B2 (en) 2007-10-24 2016-05-17 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
JP2016132017A (en) * 2015-01-21 2016-07-25 株式会社ディスコ Laser processing device
JP2016136267A (en) * 2006-09-01 2016-07-28 株式会社ニコン Exposure equipment and exposure method, and device production method
US9423698B2 (en) 2003-10-28 2016-08-23 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9500960B2 (en) 2003-04-11 2016-11-22 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US9632431B2 (en) 2004-02-02 2017-04-25 Nikon Corporation Lithographic apparatus and method having substrate and sensor tables
US9678437B2 (en) 2003-04-09 2017-06-13 Nikon Corporation Illumination optical apparatus having distribution changing member to change light amount and polarization member to set polarization in circumference direction
US9678332B2 (en) 2007-11-06 2017-06-13 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
US9885872B2 (en) 2003-11-20 2018-02-06 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical integrator and polarization member that changes polarization state of light
US9891539B2 (en) 2005-05-12 2018-02-13 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
US10101666B2 (en) 2007-10-12 2018-10-16 Nikon Corporation Illumination optical apparatus, exposure apparatus, and device manufacturing method
WO2019044489A1 (en) * 2017-08-28 2019-03-07 キヤノン株式会社 Drive device and imaging device control method
WO2023203612A1 (en) * 2022-04-18 2023-10-26 ヤマハ発動機株式会社 Laser machining device, laser machining method, laser machining program, recording medium, semiconductor chip manufacturing method, and semiconductor chip
WO2023203611A1 (en) * 2022-04-18 2023-10-26 ヤマハ発動機株式会社 Laser machining apparatus, laser machining method, laser machining program, recording medium, semiconductor chip production method, and semiconductor chip
WO2023203614A1 (en) * 2022-04-18 2023-10-26 ヤマハ発動機株式会社 Laser processing device, laser processing method, laser processing program, recording medium, semiconductor chip manufacturing method, and semiconductor chip
WO2023203613A1 (en) * 2022-04-18 2023-10-26 ヤマハ発動機株式会社 Laser processing device, laser processing method, laser processing program, recording medium, semiconductor chip manufacturing method, and semiconductor chip

Cited By (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6927505B2 (en) 2001-12-19 2005-08-09 Nikon Corporation Following stage planar motor
KR100516689B1 (en) * 2002-04-22 2005-09-22 캐논 가부시끼가이샤 Driving apparatus, exposure apparatus, and device manufacturing method
US9885959B2 (en) 2003-04-09 2018-02-06 Nikon Corporation Illumination optical apparatus having deflecting member, lens, polarization member to set polarization in circumference direction, and optical integrator
US9678437B2 (en) 2003-04-09 2017-06-13 Nikon Corporation Illumination optical apparatus having distribution changing member to change light amount and polarization member to set polarization in circumference direction
US9946163B2 (en) 2003-04-11 2018-04-17 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
US9500960B2 (en) 2003-04-11 2016-11-22 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
JP2010118687A (en) * 2003-06-19 2010-05-27 Nikon Corp Exposure device and method of manufacturing the device
JP2009117877A (en) * 2003-06-19 2009-05-28 Nikon Corp Exposure device and device production method
US9551943B2 (en) 2003-06-19 2017-01-24 Nikon Corporation Exposure apparatus and device manufacturing method
US10191388B2 (en) 2003-06-19 2019-01-29 Nikon Corporation Exposure apparatus, and device manufacturing method
JP2014078752A (en) * 2003-06-19 2014-05-01 Nikon Corp Exposure apparatus, exposure method, and device manufacturing method
JP2016042187A (en) * 2003-06-19 2016-03-31 株式会社ニコン Exposure apparatus, exposure method, and method for manufacturing device
US10007188B2 (en) 2003-06-19 2018-06-26 Nikon Corporation Exposure apparatus and device manufacturing method
JP2016106251A (en) * 2003-06-19 2016-06-16 株式会社ニコン Exposure apparatus and exposure method, and device manufacturing method
JP4505675B2 (en) * 2003-06-19 2010-07-21 株式会社ニコン Exposure apparatus, exposure method, and device manufacturing method
US8436979B2 (en) 2003-06-19 2013-05-07 Nikon Corporation Exposure apparatus, and device manufacturing method
US9810995B2 (en) 2003-06-19 2017-11-07 Nikon Corporation Exposure apparatus and device manufacturing method
US9423698B2 (en) 2003-10-28 2016-08-23 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
US9760014B2 (en) 2003-10-28 2017-09-12 Nikon Corporation Illumination optical apparatus and projection exposure apparatus
KR100585108B1 (en) * 2003-11-14 2006-06-01 삼성전자주식회사 Method for exposing wafer using scan type exposure apparatus
US9885872B2 (en) 2003-11-20 2018-02-06 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical integrator and polarization member that changes polarization state of light
US10281632B2 (en) 2003-11-20 2019-05-07 Nikon Corporation Illumination optical apparatus, exposure apparatus, and exposure method with optical member with optical rotatory power to rotate linear polarization direction
US7016019B2 (en) 2003-12-16 2006-03-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9684248B2 (en) 2004-02-02 2017-06-20 Nikon Corporation Lithographic apparatus having substrate table and sensor table to measure a patterned beam
US10007196B2 (en) 2004-02-02 2018-06-26 Nikon Corporation Lithographic apparatus and method having substrate and sensor tables
US9665016B2 (en) 2004-02-02 2017-05-30 Nikon Corporation Lithographic apparatus and method having substrate table and sensor table to hold immersion liquid
US9632431B2 (en) 2004-02-02 2017-04-25 Nikon Corporation Lithographic apparatus and method having substrate and sensor tables
US10139737B2 (en) 2004-02-02 2018-11-27 Nikon Corporation Lithographic apparatus and method having substrate and sensor tables
US20130271945A1 (en) 2004-02-06 2013-10-17 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US10241417B2 (en) 2004-02-06 2019-03-26 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US10234770B2 (en) 2004-02-06 2019-03-19 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US10007194B2 (en) 2004-02-06 2018-06-26 Nikon Corporation Polarization-modulating element, illumination optical apparatus, exposure apparatus, and exposure method
US9891539B2 (en) 2005-05-12 2018-02-13 Nikon Corporation Projection optical system, exposure apparatus, and exposure method
JP2016136267A (en) * 2006-09-01 2016-07-28 株式会社ニコン Exposure equipment and exposure method, and device production method
JP2018151646A (en) * 2006-09-01 2018-09-27 株式会社ニコン Exposure device and exposure method, and device manufacturing method
JP2017010042A (en) * 2006-09-01 2017-01-12 株式会社ニコン Exposure equipment and exposure method and device production method
US10101666B2 (en) 2007-10-12 2018-10-16 Nikon Corporation Illumination optical apparatus, exposure apparatus, and device manufacturing method
US9857599B2 (en) 2007-10-24 2018-01-02 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9341954B2 (en) 2007-10-24 2016-05-17 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
US9678332B2 (en) 2007-11-06 2017-06-13 Nikon Corporation Illumination apparatus, illumination method, exposure apparatus, and device manufacturing method
JP2014029956A (en) * 2012-07-31 2014-02-13 Canon Inc Exposure method, exposure device, and device manufacturing method
KR20160090243A (en) * 2015-01-21 2016-07-29 가부시기가이샤 디스코 Laser processing apparatus
JP2016132017A (en) * 2015-01-21 2016-07-25 株式会社ディスコ Laser processing device
KR102351840B1 (en) 2015-01-21 2022-01-18 가부시기가이샤 디스코 Laser processing apparatus
WO2019044489A1 (en) * 2017-08-28 2019-03-07 キヤノン株式会社 Drive device and imaging device control method
US11719906B2 (en) 2017-08-28 2023-08-08 Canon Kabushiki Kaisha Driving apparatus
WO2023203612A1 (en) * 2022-04-18 2023-10-26 ヤマハ発動機株式会社 Laser machining device, laser machining method, laser machining program, recording medium, semiconductor chip manufacturing method, and semiconductor chip
WO2023203611A1 (en) * 2022-04-18 2023-10-26 ヤマハ発動機株式会社 Laser machining apparatus, laser machining method, laser machining program, recording medium, semiconductor chip production method, and semiconductor chip
WO2023203614A1 (en) * 2022-04-18 2023-10-26 ヤマハ発動機株式会社 Laser processing device, laser processing method, laser processing program, recording medium, semiconductor chip manufacturing method, and semiconductor chip
WO2023203613A1 (en) * 2022-04-18 2023-10-26 ヤマハ発動機株式会社 Laser processing device, laser processing method, laser processing program, recording medium, semiconductor chip manufacturing method, and semiconductor chip

Similar Documents

Publication Publication Date Title
KR100521704B1 (en) Stage apparatus, a scanning aligner and a scanning exposure method, and a device manufacturing thereby
US7068350B2 (en) Exposure apparatus and stage device, and device manufacturing method
JP2000106340A (en) Aligner, scanning exposure method, and stage device
US6894763B2 (en) Exposure apparatus and methods utilizing plural mask and object stages movable in opposite directions, and methods of producing devices using the same
US6538719B1 (en) Exposure apparatus and exposure method, and device and method for producing the same
US6721041B2 (en) Stage device and exposure apparatus
JP4345098B2 (en) Exposure apparatus, exposure method, and device manufacturing method
US6710850B2 (en) Exposure apparatus and exposure method
US20050151947A1 (en) Position measuring method, position control method, exposure method and exposure apparatus, and device manufacturing method
US20020075467A1 (en) Exposure apparatus and method
JP4029181B2 (en) Projection exposure equipment
JP5455166B2 (en) Exposure method, exposure apparatus, and device manufacturing method
JP2005276932A (en) Aligner and device-manufacturing method
JPH11224854A (en) Aligner, exposure method, and method for manufacturing device
JPWO2004012245A1 (en) Position measurement method, position control method, exposure method and exposure apparatus, and device manufacturing method
JP4078683B2 (en) Projection exposure apparatus, projection exposure method, and scanning exposure method
JP2003324053A (en) Stage device and exposure device
JPH11214302A (en) Apparatus and method for scanning exposure
JPH11168063A (en) Stage equipment, scanning type aligner and exposure method therefor
JP2003173960A (en) Exposure device
JP2007221164A (en) Projection exposure apparatus, projection exposure method, and scanning exposure method
JP2006032807A (en) Exposure device and device manufacturing method
JP2005044883A (en) Method and device for exposure and substrate treating system
JPH11162828A (en) Projection aligner and projection aligning method
JP2005064373A (en) Exposure device

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050926

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050926

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20061226