JP2000082089A - Lsiの設計におけるタイミング検証方法 - Google Patents

Lsiの設計におけるタイミング検証方法

Info

Publication number
JP2000082089A
JP2000082089A JP10252756A JP25275698A JP2000082089A JP 2000082089 A JP2000082089 A JP 2000082089A JP 10252756 A JP10252756 A JP 10252756A JP 25275698 A JP25275698 A JP 25275698A JP 2000082089 A JP2000082089 A JP 2000082089A
Authority
JP
Japan
Prior art keywords
wiring
crosstalk
delay
information
timing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP10252756A
Other languages
English (en)
Inventor
Nobufusa Iwanishi
信房 岩西
Ryuichi Yamaguchi
龍一 山口
Yoshiyuki Kawakami
善之 川上
Masaaki Hirata
正明 平田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP10252756A priority Critical patent/JP2000082089A/ja
Publication of JP2000082089A publication Critical patent/JP2000082089A/ja
Withdrawn legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 LSIの設計におけるタイミング検証方法と
して、クロストークの影響を考慮した遅延計算を、精度
よく、かつ、短時間で実行可能にする。 【解決手段】検証対象回路に対し、所定長以下の間隔で
配線が隣接し、かつ、隣接する配線で信号遷移がほぼ同
時に生じる箇所を、クロストーク発生箇所ARとして推
定する。推定したクロストーク発生箇所ARに対し、そ
の配線NET1,NET2間の相互インダクタンスL1
を計算する。配線NET1のノードN2の電流変化率を
計算し、計算した電流変化率と相互インダクタンスL1
とを用いて回路方程式を解くことによって、配線NET
2のノードN4における信号遅延を計算する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、LSIの設計にお
けるタイミング検証方法に関するものであり、特にレイ
アウト設計後のLSI中の配線におけるクロストークの
影響を考慮したタイミング検証方法に関する。
【0002】
【従来の技術】クロストークとは、LSIのレイアウト
上で配線が隣接した箇所において発生する現象であり、
隣接配線のうちの一方の配線の信号遅延に対し、他方の
配線の信号遷移が影響を与える現象である。
【0003】例えば、隣接配線のうちの一方の配線の信
号の遷移時間を、他方の配線の信号が遷移しないときは
T0、同時に同一方向に遷移するときはT1、同時に反
対方向に遷移するときはT2とすると、一般に、クロス
トークの影響によって次のような関係が成り立つ。 T1<T0<T2 すなわち、クロストークの影響によって、一方の配線に
おける信号遷移の速度は、他方の配線の信号が同一方向
に遷移するときは速くなる一方、反対方向に遷移すると
きは遅くなる。言い換えると、一方の配線における信号
遅延は、他方の配線の信号遷移に応じて変動する。
【0004】したがって、LSI設計においてタイミン
グ検証を高精度に行うためには、クロストークの影響に
よって変動する信号遅延を、精度よく推定することが重
要になる。
【0005】クロストークの影響による信号遅延の変動
を考慮した従来のタイミング検証方法としては、遅延計
算に要する配線の抵抗成分および容量成分に、クロスト
ークの影響による遅延変動を予め反映させておくものが
ある。この方法では、配線が隣接している箇所におい
て、クロストークの影響を配線間容量によって表現す
る。そして、この配線間容量を用いて、クロストークの
影響による信号遅延の変動を計算する。
【0006】
【発明が解決しようとする課題】ところが、従来のタイ
ミング検証方法には、次のような問題がある。
【0007】図8(a)に示すように、隣接している配
線W1,W2について、クロストークの影響を配線間容
量Cinによって表現したとする。このとき、配線W
1,W2は、配線間容量Cinの他に、配線と基板とが
相対することによって生じる対基板容量や、配線の側面
と基板との間のフリンジ容量も有するので、図8(b)
のようにモデル化される。図8(b)において、R1,
R2は配線W1,W2の配線抵抗、C1,C2は配線W
1,W2の対地容量である。対地容量C1,C2は対基
板容量やフリンジ容量を含む。
【0008】ここで、配線間容量Cinは、両端がいず
れも接地されていないために動的に電荷量が変化するの
で、バックアノテーションに用いられるような静的な遅
延計算では扱うことができない。一方、動的な遅延計算
では、配線間容量Cinを扱うことができるものの、そ
の計算量が膨大になり、処理に時間がかかりすぎてしま
う。このため従来の方法では、図8(c)に示すよう
に、配線間容量Cinを、配線W1と接続された対地容
量Cin1と配線W2と接続された対地容量Cin2と
に分割し、静的な遅延計算を実行している。
【0009】ところがこの場合、配線W1の遅延に対す
る配線W2の電位の影響は反映されない。すなわち、配
線間容量Cinに流れる電流は配線W1,W2の電位差
によって決定されるが、一端が接地された容量Cin
1,Cin2ではこの電位差を表現することができな
い。このため、配線間容量Cinを用いた場合は、配線
W2の電位の影響が配線W1の遅延に直接反映されるの
に対し、対接地容量Cin1,Cin2を用いた場合
は、配線W1の遅延と配線W2の電位との関連が表せな
いので、配線間容量Cinにおける動的な電荷量の変化
を表現することができない。したがって、動的に変動す
るクロストークの影響を充分に表現できているとはいえ
ず、LSIの実動作に対する精度は低い。
【0010】この問題に対する1つのアプローチとし
て、クロストークの影響を表す配線間容量を、時間関数
で表現された容量値を有する対地容量に置換するものが
ある(特願平9−339745)。この方法では、クロ
ストークの影響は精度よく計算できるものの、対地容量
を時間の関数で表すことが既存の遅延計算ツールでは困
難であるという問題がある。
【0011】前記の問題に鑑み、本発明は、LSIの設
計におけるタイミング検証方法として、クロストークの
影響を考慮した遅延計算を、精度よく、かつ、短時間で
実行可能にすることを課題とする。
【0012】
【課題を解決するための手段】前記の課題を解決するた
めに、本発明では、インダクタンスという概念を導入す
る。すなわち、クロストークの影響を配線間の相互イン
ダクタンスによって表し、遅延計算を行う。
【0013】インダクタンスを用いることには、次の2
つの利点がある。
【0014】まず、静的な遅延計算において、配線間容
量を用いた動的な遅延計算と同程度の精度で、クロスト
ークの影響を表すことができる。両端がいずれも接地さ
れていない配線間容量は、静的な遅延計算では対地容量
にモデル化されてしまうので、計算精度が低下する。し
かしながら、インダクタンスは、静的な遅延計算におけ
るモデル化の際になんら変更を受けないので、既存のツ
ールによってそのまま取り扱うことができる。
【0015】また、インダクタンスの値を時間の関数で
表さなくても、クロストークの影響を精度よく表すこと
ができる。すなわち、クロストークの影響は、 V=L×dI/dt と表されるので、時間による動作変動は電流変化率dI
/dtの項で表現すればよく、インダクタンスの値は固
定値でよい。
【0016】具体的に請求項1の発明が講じた解決手段
は、LSIの設計におけるタイミング検証方法として、
検証対象回路において、クロストーク発生箇所を推定す
るステップと、推定したクロストーク発生箇所に対し、
その配線間の相互インダクタンスを計算するステップ
と、前記クロストーク発生箇所に対し、計算した相互イ
ンダクタンスを用いて、遅延計算を行うステップとを備
えたものである。
【0017】そして、請求項2の発明では、前記請求項
1のタイミング検証方法におけるクロストーク発生箇所
の推定は、前記検証対象回路に対し、タイミングシミュ
レーションを行うとともに、所定長以下の間隔で配線が
隣接する箇所を抽出し、抽出した配線隣接箇所のうち、
タイミングシミュレーションの結果、隣接する配線で信
号遷移がほぼ同時に生じるものを、クロストーク発生箇
所として推定することによって行うものとする。
【0018】また、請求項3の発明では、前記請求項1
のタイミング検証方法におけるクロストーク発生箇所の
遅延計算は、隣接する配線の電流変化率を計算し、計算
した電流変化率と前記相互インダクタンスとを用いて、
回路方程式を解くことによって行うものとする。
【0019】
【発明の実施の形態】図1は本発明の一実施形態に係る
タイミング検証方法を示すフローチャートである。以
下、図2(a)に示す検証対象回路の具体例を用いて、
図1中の各ステップS1〜S8を説明する。図2(a)
において、U1,U3は駆動セル、U2,U4は被駆動
セル、NET1,NET2は配線、N1,N2,N3は
ノード、R1,R2,R3,R4,R5は配線抵抗、C
1,C2,C3,C4,C5は配線容量である。ここで
は、配線NET1と配線NET2とが、ノードN1〜N
3の近傍で隣接しているものとする。
【0020】まずRC抽出ステップS1において、レイ
アウト情報100を入力として、インスタンスと配線の
接続情報と、配線の抵抗/容量成分が格納されたRC情
報102を抽出する。レイアウト情報100は、タイミ
ング検証の対象となる図2(a)の回路のレイアウト結
果を格納している。具体的には、各セルU1〜U4と配
線NET1、NET2の接続情報と、配線NET1、N
ET2の抵抗/容量情報、配線形状等がレイアウト情報
100に格納されている。
【0021】図2(b)は図2(a)の回路に対して抽
出したRC情報102である。このRC情報102は、
SPF(Standard Parasitic Format:Cadence Design Sy
stems, "Cadence Standard Parasitic Format",Aug.199
3)を用いて記述されている。図2(b)において、「*
|I」で始まる行は、駆動セルおよび被駆動セル(以下
「インスタンス」と総称する)に関する情報であり、イ
ンスタンスの配線に接続されたピンが記載されている。
また、Rで始まる行は配線抵抗に関する情報、Cで始ま
る行は配線容量に関する情報である。またSPFでは、
駆動セルと被駆動セルとを接続する配線を一つの単位で
記述する。
【0022】次に、第1の遅延計算ステップS2におい
て、RC情報102を入力として、遅延計算を行ない、
遅延情報104を作成する。第1の遅延計算ステップS
2では、任意の遅延計算アルゴリズムを用いて遅延計算
を行うことができる。図2(c)は図2(b)に示すR
C情報102から求めた遅延情報104である。この遅
延情報104はSDF(Standard Delay Format )を用
いて記述されている。図2(c)において、「INTE
RCONNECT」の行は配線の遅延時間を表す。この
配線の遅延時間は、インスタンス間を接続する配線の抵
抗/容量と、この配線を駆動するインスタンスの駆動能
力によって計算される。また「IOPATH」の行はイ
ンスタンスの遅延時間を表す。
【0023】次に、第1のタイミングシミュレーション
ステップS3において、レイアウト情報100の回路レ
ベルのネットリスト105、遅延情報104およびテス
トベクタ106を入力として、タイミング情報108を
求める。まず、ネットリスト105に遅延情報104を
バックアノテートし、次いで、ネットリスト105にテ
ストベクタ106を入力した場合における、各ノードに
おける信号到達時刻(テストベクタ106の入力開始時
刻を「0」とする)を計算し、タイミング情報108と
して出力する。図2(d)は図2(a)の回路に対して
求めたタイミング情報108の一例であり、ネットリス
ト105に含まれる各ノードについて、信号到達時刻と
信号遷移方向(「r」が立ち上がりを、「f」が立ち下
がりを表す)が記述されている。
【0024】次に、クロストーク検出ステップS4にお
いて、タイミング情報108およびレイアウト情報10
0を入力として、クロストークが発生する箇所を検出
し、クロストーク情報110として出力する。本実施形
態では、信号が同時に遷移する隣接配線のみをクロスト
ーク発生箇所として検出する。
【0025】図3はクロストーク検出ステップS4を示
すフローチャートである。まず、並行配線検出ステップ
S41において、レイアウト情報100を入力として、
所定長以下の間隔で配線が隣接する箇所を抽出し、並行
配線情報501として出力する。図2(a)の回路の場
合、配線が隣接する箇所として箇所ARが抽出される。
【0026】ここでは、前記所定長は、レイアウトのデ
ザインルールで定められた最小配線間隔を基準として、
所望の遅延計算精度に応じて定めるものとする。クロス
トークの影響を厳密に考えたい場合は、前記最小配線間
隔よりも大きい値を前記所定長として設定する。例えば
所定長として無限大を設定した場合は、ある配線に着目
したとき、この配線との距離が無限大以下の配線すなわ
ち全ての配線とのクロストークを考慮することができ
る。現実には、無限大を設定するのは計算時間などの面
から無理があるので、前記最小配線間隔よりも少し大き
い値を所定値として設定するのが妥当である。
【0027】一方、仮配線シミュレーションや仮レイア
ウトのように、遅延計算の精度はさほど要求されずクロ
ストークの影響を考慮しなくてもよい場合には、前記最
小配線間隔よりも小さい値を設定すればよい。この場
合、レイアウト中には前記最小配線間隔よりも間隔の狭
い配線はあり得ないので、クロストーク検出ステップS
4において、配線が隣接する箇所としては何も抽出され
ないことになる。
【0028】次に、クロストーク発生並行配線検出ステ
ップS42において、タイミング情報108と並行配線
情報501とを入力として、箇所ARに含まれる各ノー
ドN2,N3について、信号到達時刻が同じか否かを調
べる。図2(d)のタイミング情報108から、時刻1
7.0nsのとき、ノードN2とノードN3にともに立
下り信号が到達していることが分かる。そこで、箇所A
Rをクロストーク発生箇所として特定する。図4はこの
場合のクロストーク情報110を示す図である。信号遷
移方向はともに立ち下がりであるので、クロストークの
影響は信号遅延が小さくなる方向に働く。信号遷移方向
が逆の場合は、信号遅延が大きくなる方向にクロストー
クが影響する。
【0029】このように、配線が隣接し、かつ、信号遷
移が同時に起こる箇所のみが、クロストーク発生箇所と
して検出される。これにより、配線が隣接していても、
信号遷移が同時には起こらない箇所は、クロストーク発
生箇所として検出されないので、より実動作に近いタイ
ミングシミュレーションを実現できる。
【0030】次に、RCL抽出ステップS5において、
クロストーク検出ステップS4で検出したクロストーク
情報110とレイアウト情報100とを用いて、RC情
報102にインダクタンスを情報として追加し、RCL
情報112として出力する。
【0031】図5はRCL抽出ステップS5を示すフロ
ーチャートである。まず、クロストーク情報110から
クロストーク発生箇所を認識する。図2(a)の回路で
は、クロストーク発生箇所ARが認識される。次にL発
生ステップS51において、レイアウト情報100か
ら、隣接配線間の相互インダクタンスを計算し、L情報
201として出力する。ここでは、文献(中澤喜三朗・
中村宏著「VLSIシステム設計−回路と実装の基礎」
頁291〜350、丸善株式会社)記載のインダクタン
ス値計算方法を用いるものとする。次にネットリスト合
成ステップS52において、L情報201をRC抽出ス
テップS1で抽出したRC情報102に足し合わせて、
RCL情報112を生成する。
【0032】図6(a)は図2(a)の回路に相互イン
ダクタンスを付加した結果を示す図、図6(b)は
(a)の回路に対するRCL情報112を示す図であ
る。図6(b)のRCL情報112は図2(b)と同様
にSPFで記述されている。ただし、相互インダクタン
スL1が付加されており、かつ、相互インダクタンスL
1の付加に応じて、抵抗等の接続ノードが変わってい
る。
【0033】次に、第2の遅延計算ステップS6におい
て、RCL情報112とクロストーク情報110を入力
として、任意の遅延計算アルゴリズムを用いて、遅延情
報114を計算する。図7は第2の遅延計算ステップS
6を示すフローチャートである。まず、L判定ステップ
S61において、RCL情報112の配線毎にインダク
タンスを含むか否かを判定する。図6(b)のRCL情
報112では、配線NET1はインダクタンスを含まな
いが、配線NET2は相互インダクタンスL1を含む。
インダクタンスを含まない配線については、抵抗と容量
を扱うことができる任意のアルゴリズムを用いた遅延計
算ステップS62において、遅延情報602が計算され
る。
【0034】ステップS63,S64におけるインダク
タンスを含む配線の遅延計算方法について説明する。
【0035】配線NET1において、ノードN2におけ
る電流In2(t)を、セルU1からセルU2までのネ
ットワークについてキルヒホッフの法則を適用して計算
する。
【0036】抵抗R1を流れる電流をI(s)、容量C
1,C2,C3を流れる電流をそれぞれI1(s),I
2(s),I3(s)とすると、 In2(s)=I(s)−I1(s)−I2(s) …(1) またセルU1の出力端子Yの電圧をVin(s)、ノー
ドN1,N2の電圧をそれぞれVn1(s),Vn2
(s)とすると、以下の式が成り立つ。
【0037】 Vin(s)=I(s)・r1+Vn1(s) …(2) Vn1(s)=I1(s)/(s・c1) …(3) Vn1(s)=(I(s)−I1(s))・r2+Vn2(s) …(4) Vn2(s)=I2(s)/(s・c2) …(5) 式(2),(3),(4)からI(s),I1(s),
I2(s)を計算して式(1)に代入すると、次のよう
な式(6)が得られる。 In2(s)={Vin(s)/r1−(Vn2(s)+Vin(s)・r2 /r1)/(r1+r2+s・c1・r1・r2)}−{(s・c1・r1)・ (Vn2(s)+Vin(s)・r2/r1)/(r1+r2+s・c1・r1 ・r2)}−{s・c2・Vn2(s)} …(6) すなわち、電流In2(s)は、配線NET1への入力
電圧Vin(s)が与えられると、式(6)に従って計
算することができる。入力電圧Vin(s)は、セルU
1のドライブ能力と配線NET1とによって決まる電圧
である。
【0038】このようにして計算された電流In2
(s)を逆ラプラス変換することによって、時間の関数
である電流In2(t)を求めることができる。
【0039】また、配線NET2において、ノードN
3,N4の電圧をそれぞれVn3(s),Vn4(s)
とすると、次の式が成り立つ。
【0040】 Vn3(s)=L・dIn2(t)/dt+Vn4(s) …(7) すなわち、従来の方法では、ノードN3,N4間に電位
差がなかったのに対して、本実施形態によると、式
(7)に示すように、L・dIn2(t)/dtに相当
する電位差が生じる。例えば、ノードN3の電位が2.
5Vのとき、従来ではノードN4の電位も2.5Vであ
ったのに対して、本実施形態では、式(7)右辺の第1
項の存在によって、2.5Vよりも小さい値、例えば
2.3Vになる。このとき、ノードN4の電位が2.3
Vから2.5Vまで上がるのに要する時間が、インダク
タンスL1のために生じた遅延時間となる。この増大し
た遅延時間が、クロストークの影響による遅延変動に相
当する。
【0041】またここでは、配線NET1が配線NET
2に与える影響について説明したが、配線NET2が配
線NET1に与える影響も同様に、配線NET1に相互
インダクタンスを付加することによって計算することが
できる。
【0042】図6(a)の回路に対し、図6(c)に示
す遅延情報114が出力される。インダクタンスの影響
により、図2(b)の遅延情報104とは値が異なって
いる。ただし、インダクタンスの影響がほとんどない場
合には、図2(b)の遅延情報104と値が等しくなる
場合もある。
【0043】第2のタイミングシミュレーションステッ
プS7において、遅延情報114を入力として、クロス
トークの影響が信号遅延に反映されたタイミングシミュ
レーションが実行され、タイミング情報116として出
力される。タイミング判定ステップS8において、タイ
ミング情報116から、タイミングの目標仕様を満足し
ているかどうかが判定され、目標仕様を満たしている場
合には、次の設計工程に進み、目標仕様を満たしていな
い場合には、再論理合成や再レイアウトを行い、インス
タンスや配線の配置場所を変更する。
【0044】以上説明したように、本実施形態に係るタ
イミング検証方法によると、テストベクタを用いてタイ
ミングシミュレーションを実行し、動的にクロストーク
発生箇所を推定するとともに、クロストーク発生箇所に
対し、相互インダクタンスという概念を導入して、一方
の配線の電流変化率から他方の配線における信号遅延の
変動を計算する。これにより、クロストークの影響によ
る遅延時間の変動を高精度に計算することができる。
【0045】なお、クロストーク発生箇所の推定は、他
の方法によって行ってもよい。例えば、隣接する配線間
ではすべてクロストークが発生すると推定してもよい。
ただし、一方の配線が例えばフォールスパスで信号が変
化しないときはクロストークは発生しないし、隣接する
配線長が短いときは、それぞれの信号が同時に遷移して
もクロストークの影響はほとんどない。このため、この
方法によると、実際にはクロストークが発生しない配線
までクロストーク発生箇所として推定される可能性があ
る。また、隣接している配線長が所定の長さを越えた箇
所を、クロストークが発生すると推定してもよい。すな
わち、この方法は、隣接する配線長が所定の長さを越え
た箇所にのみ、それぞれの信号が遷移したときに、互い
の遅延にクロストークの影響が出るという考えに基づい
ている。しかし、フォールスパス等は考慮されないの
で、実際にはクロストークが発生しない配線がクロスト
ーク発生箇所として検出される可能性がある。これらの
方法に比して、本実施形態では、クロストーク発生箇所
をより精度よく推定することができる。
【0046】
【発明の効果】以上のように本発明によると、タイミン
グシミュレーションを実行して動的にクロストーク発生
箇所を推定するとともに、クロストーク発生箇所に対
し、相互インダクタンスという概念を導入して、一方の
配線の電流変化率から他方の配線における信号遅延の変
動を計算するので、クロストークの影響による遅延時間
の変動を高精度に計算することができる。
【図面の簡単な説明】
【図1】本発明の一実施形態に係るタイミング検証方法
を示すフローチャートである。
【図2】(a)はタイミング検証の対象となる回路を示
す図、(b)は(a)の回路に対するRC情報102を
示す図、(c)は(a)の回路に対する遅延情報104
を示す図、(d)は(a)の回路に対するタイミング情
報108を示す図である。
【図3】クロストーク検出ステップS4を示すフローチ
ャートである。
【図4】クロストーク情報110を示す図である。
【図5】RCL抽出ステップS5を示すフローチャート
である。
【図6】(a)は図2(a)の回路に対してインダクタ
ンスを発生させた結果を示す図、(b)は(a)の回路
に対するRCL情報112を示す図、(c)は(a)の
回路に対する遅延情報を示す図である。
【図7】第2の遅延計算ステップS6を示すフローチャ
ートである。
【図8】(a)〜(c)は本発明の課題を説明するため
の図である。
【符号の説明】
AR クロストーク発生箇所 NET1,NET2 配線 L1 相互インダクタンス
───────────────────────────────────────────────────── フロントページの続き (72)発明者 川上 善之 大阪府門真市大字門真1006番地 松下電器 産業株式会社内 (72)発明者 平田 正明 大阪府門真市大字門真1006番地 松下電器 産業株式会社内 Fターム(参考) 5B046 AA08 BA03 JA03 JA04 5F064 EE44 EE46 EE47 HH06 HH09 HH10

Claims (3)

    【特許請求の範囲】
  1. 【請求項1】 LSIの設計におけるタイミング検証方
    法であって、 検証対象回路において、クロストーク発生箇所を推定す
    るステップと、 推定したクロストーク発生箇所に対し、その配線間の相
    互インダクタンスを計算するステップと、 前記クロストーク発生箇所に対し、計算した相互インダ
    クタンスを用いて、遅延計算を行うステップとを備えた
    ことを特徴とするタイミング検証方法。
  2. 【請求項2】 請求項1記載のタイミング検証方法にお
    いて、 前記クロストーク発生箇所の推定は、 前記検証対象回路に対し、タイミングシミュレーション
    を行うとともに、所定長以下の間隔で配線が隣接する箇
    所を抽出し、 抽出した配線隣接箇所のうち、タイミングシミュレーシ
    ョンの結果、隣接する配線で信号遷移がほぼ同時に生じ
    るものを、クロストーク発生箇所として推定することに
    よって行うことを特徴とするタイミング検証方法。
  3. 【請求項3】 請求項1記載のタイミング検証方法にお
    いて、 前記クロストーク発生箇所の遅延計算は、 隣接する配線の電流変化率を計算し、 計算した電流変化率と前記相互インダクタンスとを用い
    て、回路方程式を解くことによって行うことを特徴とす
    るタイミング検証方法。
JP10252756A 1998-09-07 1998-09-07 Lsiの設計におけるタイミング検証方法 Withdrawn JP2000082089A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10252756A JP2000082089A (ja) 1998-09-07 1998-09-07 Lsiの設計におけるタイミング検証方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10252756A JP2000082089A (ja) 1998-09-07 1998-09-07 Lsiの設計におけるタイミング検証方法

Publications (1)

Publication Number Publication Date
JP2000082089A true JP2000082089A (ja) 2000-03-21

Family

ID=17241860

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10252756A Withdrawn JP2000082089A (ja) 1998-09-07 1998-09-07 Lsiの設計におけるタイミング検証方法

Country Status (1)

Country Link
JP (1) JP2000082089A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004079600A1 (ja) * 2003-03-06 2004-09-16 Fujitsu Limited 回路解析装置、回路解析方法、プログラム及びコンピュータ読取り可能な記録媒体
US6968521B2 (en) * 2002-03-20 2005-11-22 Fujitsu Limited Method, apparatus and program product for automatic placement and routing of integrated circuit
US7739638B2 (en) 2003-03-06 2010-06-15 Fujitsu Limited Circuit analyzing device, circuit analyzing method, program, and computer readable information recording medium considering influence of signal input to peripheral circuit which does not have logical influence
CN104764933A (zh) * 2014-01-06 2015-07-08 扬智科技股份有限公司 量测装置及其量测方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6968521B2 (en) * 2002-03-20 2005-11-22 Fujitsu Limited Method, apparatus and program product for automatic placement and routing of integrated circuit
WO2004079600A1 (ja) * 2003-03-06 2004-09-16 Fujitsu Limited 回路解析装置、回路解析方法、プログラム及びコンピュータ読取り可能な記録媒体
WO2004079599A1 (ja) * 2003-03-06 2004-09-16 Fujitsu Limited 回路解析装置
US7739638B2 (en) 2003-03-06 2010-06-15 Fujitsu Limited Circuit analyzing device, circuit analyzing method, program, and computer readable information recording medium considering influence of signal input to peripheral circuit which does not have logical influence
CN104764933A (zh) * 2014-01-06 2015-07-08 扬智科技股份有限公司 量测装置及其量测方法

Similar Documents

Publication Publication Date Title
Tellez et al. Minimal buffer insertion in clock trees with skew and slew rate constraints
Saleh et al. Clock skew verification in the presence of IR-drop in the power distribution network
US10140396B1 (en) Partitioning electronic circuits for simulation on multiple processors
US7142991B2 (en) Voltage dependent parameter analysis
US7313771B2 (en) Computing current in a digital circuit based on an accurate current model for library cells
WO2008106369A2 (en) Method and system for evaluating statistical sensitivity credit in path-based hybrid multi-conrner static timing analysis
Najm et al. CREST-a current estimator for CMOS circuits.
US10558772B1 (en) Partitioning a system graph for circuit simulation to obtain an exact solution
JP4435685B2 (ja) 集積回路においてフィルターされたインターコネクションに関する配線寄生を抽出する方法
US6604227B1 (en) Minimal level sensitive timing abstraction model capable of being used in general static timing analysis tools
US6791343B2 (en) Modeling miller effect in static timing analysis
US6587999B1 (en) Modeling delays for small nets in an integrated circuit design
US9871039B2 (en) Resistance mitigation in physical design
US20080300848A1 (en) Efficient simulation of dominantly linear circuits
US7594209B2 (en) Method for incorporating Miller capacitance effects in digital circuits for an accurate timing analysis
US6609233B1 (en) Load sensitivity modeling in a minimal level sensitive timing abstraction model
US6581197B1 (en) Minimal level sensitive timing representative of a circuit path
US20110099531A1 (en) Statistical delay and noise calculation considering cell and interconnect variations
JP2000082089A (ja) Lsiの設計におけるタイミング検証方法
US6990645B2 (en) Method for static timing verification of integrated circuits having voltage islands
US20060047492A1 (en) Circuit simulation methods and systems
JPH05256916A (ja) 電力概算装置と方法
US6877142B2 (en) Timing verifier for MOS devices and related method
Nazarian et al. Sensitivity-based gate delay propagation in static timing analysis
US20100199243A1 (en) Method and system for point-to-point fast delay estimation for vlsi circuits

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060110