JP2000058292A - Method and device for plasma treatment - Google Patents

Method and device for plasma treatment

Info

Publication number
JP2000058292A
JP2000058292A JP10220537A JP22053798A JP2000058292A JP 2000058292 A JP2000058292 A JP 2000058292A JP 10220537 A JP10220537 A JP 10220537A JP 22053798 A JP22053798 A JP 22053798A JP 2000058292 A JP2000058292 A JP 2000058292A
Authority
JP
Japan
Prior art keywords
frequency power
plasma
energy beam
reactive gas
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP10220537A
Other languages
Japanese (ja)
Inventor
Masabumi Kubota
正文 久保田
Shigenori Hayashi
重徳 林
Michinari Yamanaka
通成 山中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electronics Corp filed Critical Matsushita Electronics Corp
Priority to JP10220537A priority Critical patent/JP2000058292A/en
Publication of JP2000058292A publication Critical patent/JP2000058292A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To prolong the time in a pulse plasma process in which the high frequency pulse power for plasma generation remains off while the electric discharging is prevented from becoming unstable. SOLUTION: A spiral electrode 12 is provided on a chamber 10 with a dielectric plate 11 interposed and is supplied with a high frequency pulse power for plasma generation from a high frequency pulse source 13. A specimen stage 14 is provided at the bottom of the chamber 10, and a specimen to be etched 15 is placed on this stage 14. A DC pulse source 17 for biasing is installed under the stage 14 and connected with the stage 14 through a strip line 16. A vacuum ultraviolet source 19 and cylindrical lens 20 are installed on the side face of the chamber 10, and when the high frequency power for plasma generation remains off, vacuum ultraviolet rays are cast onto a plasma generating region 18 from the ultraviolet source 19 through the cylindrical lens 20.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、高周波放電を用い
たプラズマ処理装置及びプラズマ処理方法に関するもの
である。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma processing apparatus and a plasma processing method using high-frequency discharge.

【0002】[0002]

【従来の技術】高周波放電を用いたプラズマ処理方法
は、プラズマ中のイオンやラジカル等によって、微細加
工のためのドライエッチングを行ったり、窒化膜や酸化
膜等の薄膜を形成したり、あるいはアモルファス等の多
種多様な新材料を創製するのに用いられ、半導体工業を
はじめとするエレクトロニクス分野で技術革新をもたら
している。
2. Description of the Related Art A plasma processing method using high-frequency discharge involves dry etching for fine processing, formation of a thin film such as a nitride film or an oxide film, or formation of an amorphous film using ions or radicals in plasma. It has been used to create a wide variety of new materials, such as the semiconductor industry, and has brought technological innovations in the electronics industry, including the semiconductor industry.

【0003】以下、プラズマ処理方法の適用例として、
微細加工に適するドライエッチングについて説明する。
ドライエッチング技術として最も広く用いられている反
応性イオンエッチング(RIE)は、適当な反応性ガス
からなる高周波放電プラズマ中に被エッチング試料を曝
すことによってエッチング反応を起こさせ、被エッチン
グ試料の表面の不要部分を除去するものである。被エッ
チング試料の表面の必要な部分つまり除去しない部分
は、フォトマスクとして用いるレジストパターンにより
保護されている。
Hereinafter, as an application example of the plasma processing method,
Dry etching suitable for fine processing will be described.
Reactive ion etching (RIE), which is most widely used as a dry etching technique, causes an etching reaction by exposing a sample to be etched in a high-frequency discharge plasma composed of an appropriate reactive gas, thereby causing a surface of the sample to be etched to be etched. Unnecessary parts are removed. A necessary portion of the surface of the sample to be etched, that is, a portion that is not removed is protected by a resist pattern used as a photomask.

【0004】微細加工のためにはイオンの方向性を揃え
ることが必要であるが、そのためにはプラズマ中でのイ
オンの散乱を減らすことが不可欠である。イオンの散乱
を減らすためには、プラズマ発生室内の圧力を低くし、
イオンの平均自由行程を大きくすることが効果的である
が、プラズマ発生室の圧力を低くするとラジカル密度が
低下してエッチングレートが低くなるという問題が発生
する。
[0004] For fine processing, it is necessary to make the directionality of ions uniform, but for that purpose, it is essential to reduce the scattering of ions in plasma. To reduce ion scattering, lower the pressure in the plasma generation chamber,
It is effective to increase the mean free path of ions. However, when the pressure in the plasma generation chamber is reduced, there is a problem that the radical density decreases and the etching rate decreases.

【0005】その対策として、誘導結合型のプラズマ装
置又はヘリコン型のプラズマ装置等の高密度プラズマ装
置が導入されつつある。高密度プラズマ装置は従来の平
行平板型RIE装置に比べて1桁から2桁程度高密度な
プラズマを発生させることができる。このため、プラズ
マ発生室の圧力が1桁から2桁程度低い条件下でもRI
E装置と同等以上のエッチングレートが得られている。
As a countermeasure, a high-density plasma device such as an inductively coupled plasma device or a helicon type plasma device is being introduced. The high-density plasma apparatus can generate plasma of about one to two orders of magnitude higher than a conventional parallel plate type RIE apparatus. For this reason, even when the pressure in the plasma generation chamber is about one to two orders of magnitude lower, the RI
An etching rate equal to or higher than that of the E apparatus is obtained.

【0006】しかしながら、前記のような高密度プラズ
マ装置においては、プラズマ処理中に被処理基板上に電
子又は正イオンである電荷が蓄積するため、チャージア
ップに起因するエッチング形状の異常、マイクロローデ
ィング効果、又はチャージングによるゲート絶縁膜の劣
化ないしは破壊等が生じるという新たな問題が発生す
る。
However, in the above-described high-density plasma apparatus, since charges, which are electrons or positive ions, accumulate on the substrate to be processed during the plasma processing, abnormalities in the etching shape due to charge-up, micro-loading effects, etc. Or a new problem that the gate insulating film is deteriorated or destroyed due to charging.

【0007】そこで、高密度プラズマプロセスが有する
前述の問題を解決する方法として、パルスプラズマプロ
セスが提案されている(例えば、Ohtakeほか、1995年DR
Y PROCESS SYMPOSIUM 予稿集, p.45, 電気学会)。パル
スプラズマプロセスは、プラズマ発生用の高周波電力を
パルス状に供給するものであり、プラズマ発生用の高周
波電力がオフ又は低レベルであるときに電子の減少及び
負イオンの発生がもたらされることによって、被処理基
板上における電荷の蓄積が緩和するため、チャージアッ
プに起因するエッチング形状の異常、マイクロローディ
ング効果、又はチャージングによるゲート絶縁膜の劣化
ないしは破壊等を防止することができる。
Therefore, as a method for solving the above-mentioned problem of the high-density plasma process, a pulse plasma process has been proposed (for example, Ohtake et al., DR 1995).
Y PROCESS SYMPOSIUM Proceedings, p.45, IEEJ). The pulsed plasma process is to supply high-frequency power for plasma generation in a pulsed manner, and when the high-frequency power for plasma generation is turned off or at a low level, a reduction in electrons and generation of negative ions are caused. Since charge accumulation on the substrate to be processed is reduced, abnormalities in the etching shape due to charge-up, a microloading effect, or deterioration or destruction of the gate insulating film due to charging can be prevented.

【0008】以下、パルスプラズマプロセスを行うエッ
チング装置について図4を参照しながら説明する。
Hereinafter, an etching apparatus for performing a pulse plasma process will be described with reference to FIG.

【0009】内部が真空に保持されるチャンバー10の
上には誘電体板11を介して渦巻き状電極12が設けら
れており、該渦巻き状電極12の一端はプラズマ発生用
の高周波パルス電力を供給する高周波パルス電源13に
接続されていると共に該渦巻き状電極12の他端は接地
されている。渦巻き状電極12に高周波パルス電力が印
加されると、誘電体板11を介して誘導電磁界が発生す
るため、チャンバー10の内部に高密度のプラズマが発
生する。チャンバー10内の底部には試料台14が設け
られ、該試料台14の上には被エッチング試料15が載
置されている。試料台14にはバイアス用の高周波電力
供給源21がストリップ線路16を介して接続されてい
る。バイアス用の高周波電力供給源21はプラズマ発生
領域18中の正イオン又は負イオンを被エッチング試料
15の方向に加速する。
A spiral electrode 12 is provided via a dielectric plate 11 on a chamber 10 whose inside is kept in a vacuum, and one end of the spiral electrode 12 supplies a high-frequency pulse power for generating plasma. And the other end of the spiral electrode 12 is grounded. When high-frequency pulse power is applied to the spiral electrode 12, an induction electromagnetic field is generated via the dielectric plate 11, so that high-density plasma is generated inside the chamber 10. A sample stage 14 is provided at the bottom of the chamber 10, and a sample 15 to be etched is placed on the sample stage 14. A high frequency power supply 21 for bias is connected to the sample stage 14 via the strip line 16. The high frequency power supply 21 for biasing accelerates positive ions or negative ions in the plasma generation region 18 toward the sample 15 to be etched.

【0010】[0010]

【発明が解決しようとする課題】ところが、従来のパル
スプラズマプロセスにおいては、被処理基板上における
電荷の蓄積を十分に緩和するためにプラズマ発生用の高
周波パルス電力がオフ又は低レベルである時間を長くす
ると、以下に説明するような新たな問題が発生する。す
なわち、プラズマ発生用の高周波パルス電力がオフ又は
低レベルである時間が長くなるに従い、チャンバー内の
電子が負イオンの発生及びチャンバーの壁との衝突によ
って減少を続けるため、プラズマ発生用の高周波パルス
電力がオフ又は低レベルの時間が所定時間よりも長くな
ると、次にプラズマ発生用の高周波パルス電力がオン又
は高レベルになったときに電子の数が少なすぎてプラズ
マ発生が容易に生じず放電が不安定になるという問題で
ある。
However, in the conventional pulsed plasma process, the time during which the high-frequency pulse power for plasma generation is off or at a low level in order to sufficiently reduce the accumulation of charges on the substrate to be processed. If it is lengthened, a new problem as described below occurs. That is, as the time during which the high-frequency pulse power for plasma generation is off or at a low level becomes longer, electrons in the chamber continue to decrease due to generation of negative ions and collision with the wall of the chamber. If the power-off or low-level time is longer than the predetermined time, the next time the high-frequency pulse power for plasma generation is turned on or at a high level, the number of electrons is too small and plasma generation does not easily occur and discharge occurs. Is unstable.

【0011】放電が不安定になると、放電のちらつきが
観測されたり、プロセスの再現性が悪くなったり、場合
によってはチャージングによるゲート絶縁膜の破壊等が
起きたりするという問題が生じてくる。
When the discharge becomes unstable, there arise problems that the flicker of the discharge is observed, the reproducibility of the process is deteriorated, and in some cases, the gate insulating film is destroyed due to charging.

【0012】前記に鑑み、本発明は、パルスプラズマプ
ロセスにおいて、プラズマ発生用の高周波パルス電力が
オン又は高レベルになったときに、放電が不安定になる
ことを防ぎつつ、プラズマ発生用の高周波パルス電力が
オフ又は低レベルである時間を長くできるようにするこ
とを目的とする。
In view of the above, in the pulse plasma process, the present invention provides a high-frequency plasma generation device that prevents discharge from becoming unstable when high-frequency pulse power for plasma generation is turned on or at a high level. It is an object of the present invention to be able to prolong the time when the pulse power is off or at a low level.

【0013】[0013]

【課題を解決するための手段】前記の目的を達成するた
め、本発明は、プラズマ発生用の高周波パルス電力がオ
フ又は低レベルであるときに、エネルギービームを反応
性ガスに照射することにより反応性ガスをイオン化して
電子を発生させ、もってチャンバー内の電子の数を所定
レベル以上に保つものである。
In order to achieve the above-mentioned object, the present invention provides a method for irradiating a reactive gas by irradiating a reactive gas with an energy beam when a high-frequency pulse power for plasma generation is off or at a low level. This is to ionize the reactive gas to generate electrons, thereby keeping the number of electrons in the chamber at a predetermined level or more.

【0014】本発明に係るプラズマ処理装置は、被処理
基板を保持する試料台が設けられていると共に反応性ガ
スを導入するガス導入手段を有する真空チャンバーと、
真空チャンバーに、所定レベルの高周波電力を間欠的に
繰り返し供給するか又は高レベル及び低レベルの高周波
電力を交互に繰り返し供給することにより、真空チャン
バーに反応性ガスからなるプラズマを発生させる高周波
電力供給手段と、高周波電力供給手段から所定レベルの
高周波電力が供給されていない期間又は低レベルの高周
波電力が供給されている期間に、反応性ガスにエネルギ
ービームを照射するエネルギービーム照射手段と、試料
台にバイアス電圧を印加するバイアス電圧供給手段とを
備えている。
[0014] A plasma processing apparatus according to the present invention includes a vacuum chamber provided with a sample stage for holding a substrate to be processed and having a gas introducing means for introducing a reactive gas.
A high-frequency power supply for generating a plasma of a reactive gas in the vacuum chamber by intermittently or repeatedly supplying a predetermined level of high-frequency power to the vacuum chamber or alternately and repeatedly supplying a high-level and low-level high-frequency power to the vacuum chamber Means, an energy beam irradiating means for irradiating the reactive gas with an energy beam during a period in which the high-frequency power supply means does not supply a predetermined level of high-frequency power or a period in which low-level high-frequency power is supplied, And a bias voltage supply means for applying a bias voltage to the power supply.

【0015】本発明のプラズマ処理装置によると、プラ
ズマ発生用の高周波電力がオフ又は低レベルであるとき
に、反応性ガスにエネルギービームを照射するエネルギ
ービーム照射手段を備えているため、プラズマ発生用の
高周波電力がオフ又は低レベルであるときに、反応性ガ
スにエネルギービームを照射することにより、反応性ガ
スをイオン化して電子を発生させることができる。
According to the plasma processing apparatus of the present invention, when the high-frequency power for plasma generation is off or at a low level, the energy beam irradiation means for irradiating the reactive gas with the energy beam is provided. By irradiating the reactive gas with an energy beam when the high-frequency power is off or at a low level, the reactive gas can be ionized to generate electrons.

【0016】本発明のプラズマ処理装置において、エネ
ルギービーム照射手段は、真空紫外光を出射する手段を
有していることが好ましい。
In the plasma processing apparatus of the present invention, it is preferable that the energy beam irradiation means has a means for emitting vacuum ultraviolet light.

【0017】本発明のプラズマ処理装置において、エネ
ルギービーム照射手段は、エネルギービームを反応性ガ
スに試料台に対してほぼ平行に照射することが好まし
い。
In the plasma processing apparatus according to the present invention, it is preferable that the energy beam irradiation means irradiates the reactive gas with the energy beam substantially parallel to the sample stage.

【0018】本発明のプラズマ処理装置において、エネ
ルギービーム照射手段は、重水素ランプから出射された
真空紫外光をシリンドリカルレンズを介して反応性ガス
に照射することが好ましい。
In the plasma processing apparatus of the present invention, the energy beam irradiation means preferably irradiates the reactive gas with the vacuum ultraviolet light emitted from the deuterium lamp through a cylindrical lens.

【0019】本発明のプラズマ処理装置において、バイ
アス電圧供給手段は、DCパルス電源であることが好ま
しい。
In the plasma processing apparatus according to the present invention, the bias voltage supply means is preferably a DC pulse power supply.

【0020】本発明のプラズマ処理装置において、バイ
アス電圧供給手段は、高周波電力供給源であることが好
ましい。
In the plasma processing apparatus according to the present invention, the bias voltage supply means is preferably a high frequency power supply.

【0021】本発明に係るプラズマ処理方法は、被処理
基板を保持する試料台が内部に設けられた真空チャンバ
ーに反応性ガスを供給すると共に、真空チャンバーに、
所定レベルの高周波電力を間欠的に繰り返し供給するか
又は高レベル及び低レベルの高周波電力を交互に繰り返
し供給することにより、真空チャンバーに反応性ガスか
らなるプラズマを発生させるプラズマ発生工程と、試料
台にバイアス電圧を印加するバイアス電圧印加工程とを
備え、プラズマ発生工程は、所定レベルの高周波電力が
供給されていない期間又は低レベルの高周波電力が供給
されている期間に、反応性ガスにエネルギービームを照
射するエネルギービーム照射工程を有している。
According to the plasma processing method of the present invention, a reactive gas is supplied to a vacuum chamber in which a sample stage for holding a substrate to be processed is provided, and a reactive gas is supplied to the vacuum chamber.
A plasma generation step of generating a plasma of a reactive gas in a vacuum chamber by intermittently supplying a predetermined level of high-frequency power or alternately repeatedly supplying high-level and low-level high-frequency power; A bias voltage applying step of applying a bias voltage to the reactive gas during a period in which a predetermined level of high-frequency power is not supplied or a period in which low-level high-frequency power is supplied. Energy beam irradiating step of irradiating the light.

【0022】本発明のプラズマ処理方法によると、プラ
ズマ発生用の高周波電力がオフ又は低レベルであるとき
に、反応性ガスにエネルギービームを照射することによ
り反応性ガスをイオン化して電子を発生させることがで
きるため、真空チャンバー内の電子の数をプラズマ発生
が容易に生じるのに必要な所定レベル以上に保つことが
できるので、次にプラズマ発生用の高周波電力がオン又
は高レベルになるときに、放電が不安定になることを防
ぐことができる。
According to the plasma processing method of the present invention, when the high frequency power for plasma generation is off or at a low level, the reactive gas is irradiated with an energy beam to ionize the reactive gas to generate electrons. Since the number of electrons in the vacuum chamber can be maintained at a predetermined level or more necessary for easily generating plasma, the next time the high frequency power for plasma generation is turned on or at a high level, In addition, unstable discharge can be prevented.

【0023】また、本発明のプラズマ処理方法による
と、放電が不安定になることを防ぎつつ、プラズマ発生
用の高周波電力がオフ又は低レベルである時間を長くす
ることができるため、被処理基板上における電子や正イ
オンである電荷の蓄積を緩和することができる。
Further, according to the plasma processing method of the present invention, it is possible to prolong the time when the high frequency power for plasma generation is off or at a low level while preventing the discharge from becoming unstable. It is possible to alleviate the accumulation of electric charges, which are electrons and positive ions, above.

【0024】本発明のプラズマ処理方法において、エネ
ルギービームは真空紫外光であることが好ましい。
In the plasma processing method of the present invention, the energy beam is preferably vacuum ultraviolet light.

【0025】本発明のプラズマ処理方法において、エネ
ルギービーム照射工程は、エネルギービームを反応性ガ
スに試料台に対してほぼ平行に照射する工程を含むこと
が好ましい。
In the plasma processing method of the present invention, it is preferable that the energy beam irradiating step includes a step of irradiating the reactive gas with the energy beam substantially parallel to the sample stage.

【0026】本発明のプラズマ処理方法は、プラズマ発
生工程において所定レベルの高周波電力又は高レベルの
高周波電力の供給を開始するよりも前に、エネルギービ
ームを反応性ガスに照射する工程をさらに備えているこ
とが好ましい。
The plasma processing method of the present invention further includes a step of irradiating the reactive gas with an energy beam before starting to supply a predetermined level of high-frequency power or a high-level high-frequency power in the plasma generation step. Is preferred.

【0027】本発明のプラズマ処理方法において、バイ
アス電圧印加工程は、プラズマ発生用の高周波電力がオ
フ又は低レベルである期間の少なくとも一部の期間に、
試料台に正のバイアス電圧を印加する工程を含むことこ
とが好ましい。
[0027] In the plasma processing method of the present invention, the bias voltage applying step is performed during at least a part of a period in which the high frequency power for plasma generation is off or at a low level.
It is preferable to include a step of applying a positive bias voltage to the sample stage.

【0028】[0028]

【発明の実施の形態】(第1の実施形態)以下、本発明
の第1の実施形態に係るドライエッチング装置及びドラ
イエッチング方法について、図1及び図2を参照しなが
ら説明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS (First Embodiment) A dry etching apparatus and a dry etching method according to a first embodiment of the present invention will be described below with reference to FIGS.

【0029】図1(a)、(b)は、本発明の第1の実
施形態に係るドライエッチング装置の構造を示す概略図
であって、図1(a)は側方から見た断面図であり、図
1(b)は上方から見た断面図である。図1(a)、
(b)において、10は接地されており、内壁がセラミ
ック、テフロンまたは石英等の絶縁物で覆われていると
共に内部が真空に保持されるチャンバーである。尚、チ
ャンバー10は、内壁が絶縁物で覆われた構造に代え
て、石英等からなるインナーチャンバーを有する二重構
造であってもよい。また、チャンバー10には、該チャ
ンバー10内に反応性ガスを導入する周知のガス導入手
段が設けられているが、図示は省略している。
FIGS. 1A and 1B are schematic views showing the structure of a dry etching apparatus according to a first embodiment of the present invention. FIG. 1A is a cross-sectional view as viewed from the side. FIG. 1B is a sectional view seen from above. FIG. 1 (a),
In FIG. 2B, reference numeral 10 denotes a chamber which is grounded, has an inner wall covered with an insulating material such as ceramic, Teflon or quartz, and has a vacuum inside. Note that the chamber 10 may have a double structure having an inner chamber made of quartz or the like instead of a structure in which the inner wall is covered with an insulator. The chamber 10 is provided with a well-known gas introducing means for introducing a reactive gas into the chamber 10, but is not shown.

【0030】図1(a)、(b)に示すように、チャン
バー10の上にはセラミック等からなる誘電体板11を
介して渦巻き状電極12が設けられており、渦巻き状電
極12の一端は高周波パルス電源13に接続されている
と共に渦巻き状電極12の他端は接地されている。渦巻
き状電極12に高周波パルス電力が印加されると、誘電
体板11を介して誘導電磁界が発生するため、チャンバ
ー10の内部に高密度のプラズマが発生する。また、チ
ャンバー10内の底部には、表面が絶縁性材料でコーテ
ィングされた金属製の試料台14が設けられており、該
試料台14の上には被処理基板としての被エッチング試
料15が載置されている。試料台14の下方の近傍には
バイアス用のDCパルス電源17が設けられており、試
料台14とバイアス用のDCパルス電源17とは約30
cmの長さを有するストリップ線路16により接続され
ている。ストリップ線路16は幅2cm、厚さ0.5m
mの銅よりなる帯板状であって、2〜3cmの間隙をお
いて金属製の角筒により覆われており、寄生容量の発生
が抑制されている。バイアス用のDCパルス電源17は
プラズマ発生領域18中の正イオン又は負イオンを被エ
ッチング試料15の方向に加速する。試料台14とDC
パルス電源17とがストリップ線路16を介して接続さ
れているため、試料台14とDCパルス電源17との間
における寄生容量の増加又はインダクタンスの増加を抑
制することができる。
As shown in FIGS. 1A and 1B, a spiral electrode 12 is provided on a chamber 10 via a dielectric plate 11 made of ceramic or the like, and one end of the spiral electrode 12 is provided. Is connected to a high-frequency pulse power source 13 and the other end of the spiral electrode 12 is grounded. When high-frequency pulse power is applied to the spiral electrode 12, an induction electromagnetic field is generated via the dielectric plate 11, so that high-density plasma is generated inside the chamber 10. A metal sample stage 14 whose surface is coated with an insulating material is provided at the bottom of the chamber 10, and a sample to be etched 15 as a substrate to be processed is mounted on the sample stage 14. Is placed. A bias DC pulse power supply 17 is provided near the lower part of the sample stage 14, and the sample stage 14 and the bias DC pulse power source 17 are approximately 30
are connected by a strip line 16 having a length of 10 cm. Strip line 16 is 2cm wide and 0.5m thick
m is a strip of copper, and is covered by a metal square tube with a gap of 2 to 3 cm, thereby suppressing the occurrence of parasitic capacitance. A bias DC pulse power supply 17 accelerates positive ions or negative ions in the plasma generation region 18 toward the sample 15 to be etched. Sample stand 14 and DC
Since the pulse power supply 17 is connected via the strip line 16, an increase in parasitic capacitance or an increase in inductance between the sample stage 14 and the DC pulse power supply 17 can be suppressed.

【0031】第1の実施形態の特徴として、図1
(a)、(b)に示すように、チャンバー10の側面に
は真空紫外光源19及びシリンドリカルレンズ20が設
けられており、真空紫外光源19から出射される真空紫
外光は矢印で示すように、シリンドリカルレンズ20を
介してチャンバー10内のプラズマ発生領域18に照射
される。真空紫外光源19としては、真空紫外光を出射
する光源を広く用いることができるが、ここでは1.2
KWのマイクロ波によって励起される重水素ランプを用
いている。
As a feature of the first embodiment, FIG.
As shown in (a) and (b), a vacuum ultraviolet light source 19 and a cylindrical lens 20 are provided on the side surface of the chamber 10, and the vacuum ultraviolet light emitted from the vacuum ultraviolet light source 19 is Irradiation is performed on the plasma generation region 18 in the chamber 10 via the cylindrical lens 20. As the vacuum ultraviolet light source 19, a light source that emits vacuum ultraviolet light can be widely used.
A deuterium lamp excited by KW microwaves is used.

【0032】以下、第1の実施形態に係るドライエッチ
ング装置を用いて行う第1の実施形態に係るドライエッ
チング方法について図1(a)、(b)及び図2を参照
しながら説明する。図2は第1の実施形態に係るドライ
エッチング方法におけるプラズマ発生用高周波電力パル
ス、バイアス用DC電圧パルス、及びチャンバー10内
のプラズマパラメータ(電子密度、負イオン密度及び正
イオン密度)の時間変化を実線で示している。図2にお
いて、Tdは高周波パルス電源13をオフしてからDC
パルス電源17をオンするまでの遅延時間を示してい
る。また、本実施形態に係るドライエッチング方法との
比較のため、図2において、真空紫外光の照射を行わな
いドライエッチング方法におけるプラズマパラメータ
(電子密度、負イオン密度及び正イオン密度)の時間変
化を破線で示している。
Hereinafter, a dry etching method according to the first embodiment, which is performed using the dry etching apparatus according to the first embodiment, will be described with reference to FIGS. 1 (a), 1 (b) and 2. FIG. FIG. 2 is a graph showing the change over time of the plasma generating high-frequency power pulse, the bias DC voltage pulse, and the plasma parameters (electron density, negative ion density, and positive ion density) in the chamber 10 in the dry etching method according to the first embodiment. This is indicated by a solid line. In FIG. 2, Td is DC after the high-frequency pulse power supply 13 is turned off.
This shows a delay time until the pulse power supply 17 is turned on. For comparison with the dry etching method according to the present embodiment, in FIG. 2, the time change of plasma parameters (electron density, negative ion density and positive ion density) in the dry etching method without irradiation with vacuum ultraviolet light is shown. This is indicated by a broken line.

【0033】まず、反応性ガスとして25sccmのH
Brガスと25sccmのCl2 ガスとをチャンバー1
0内に導入して、チャンバー10内の圧力を1〜3Pa
とする。
First, 25 sccm of H was used as a reactive gas.
Br gas and 25 sccm Cl 2 gas were placed in chamber 1
0, and the pressure in the chamber 10 is 1 to 3 Pa
And

【0034】次に、真空紫外光源19である1.2KW
のマイクロ波によって励起される重水素ランプを用いて
真空紫外光をプラズマ発生領域18に照射する。真空紫
外光の照射は、高周波パルス電源13から高周波電力パ
ルスを供給する前に開始すると共に、真空紫外光の照射
は高周波パルス電源13のオン・オフに関係なく連続し
て行う。真空紫外光源19である重水素ランプからシリ
ンドリカルレンズ20までの光路に窒素ガスを充填する
ことによって、真空紫外光の吸収による光エネルギーの
減衰及びオゾンの発生を防止する。
Next, the vacuum ultraviolet light source 19 of 1.2 KW
The plasma generation region 18 is irradiated with vacuum ultraviolet light using a deuterium lamp excited by the microwave. Irradiation of the vacuum ultraviolet light is started before supplying the high frequency power pulse from the high frequency pulse power supply 13, and irradiation of the vacuum ultraviolet light is performed continuously regardless of whether the high frequency pulse power supply 13 is on or off. By filling the optical path from the deuterium lamp as the vacuum ultraviolet light source 19 to the cylindrical lens 20 with nitrogen gas, attenuation of light energy due to absorption of vacuum ultraviolet light and generation of ozone are prevented.

【0035】その後、プラズマ発生用の高周波パルス電
源13から、周波数が13.56MHzで、パルス幅が
30〜100μ秒、時間平均電力が200〜1000W
の高周波電力を渦巻き状電極12に印加する。また、バ
イアス用のDCパルス電源17からは、高周波パルス電
源13がオンしてから5μ秒後に、−50Vの負のバイ
アス電圧を試料台14に印加すると共に、高周波パルス
電源13がオフしてから所定の遅延時間Tdの後に、7
0Vの正のバイアス電圧を5〜20μ秒のパルス幅で試
料台14に印加する。パルスの繰り返し周波数は5KH
zに、遅延時間Tdは10〜50μ秒に設定する。
Thereafter, from the high frequency pulse power supply 13 for plasma generation, the frequency is 13.56 MHz, the pulse width is 30 to 100 μs, and the time average power is 200 to 1000 W.
Is applied to the spiral electrode 12. Also, from the DC pulse power supply 17 for bias, a negative bias voltage of −50 V is applied to the sample stage 14 5 μs after the high frequency pulse power supply 13 is turned on, and after the high frequency pulse power supply 13 is turned off. After a predetermined delay time Td, 7
A positive bias voltage of 0 V is applied to the sample stage 14 with a pulse width of 5 to 20 μsec. The pulse repetition frequency is 5KH
The delay time Td is set to 10 to 50 μsec in z.

【0036】図2に示すように、プラズマ発生用の高周
波パルス電源13がオンすると、反応性ガスの分子が高
エネルギー電子との衝突によりイオン化されるため、時
間の経過とともに電子密度及び正イオン密度は増加す
る。次に、プラズマ発生用の高周波パルス電源13がオ
フしてアフターグロープラズマの状態になると、電子の
加速源が無いため電子温度が低下して電子付着解離が生
じ易くなると共に、エッチングに使用される反応性ガス
例えばハロゲンガスは電子負性ガスであるため電子付着
解離が生じるので、電子密度が急速に低下する。一方、
電子密度の低下とは反対に、電子付着解離の発生により
負イオンが急激に増加する。
As shown in FIG. 2, when the high-frequency pulse power supply 13 for plasma generation is turned on, molecules of the reactive gas are ionized by collision with high-energy electrons. Increases. Next, when the high-frequency pulse power supply 13 for plasma generation is turned off to enter an afterglow plasma state, the electron temperature is reduced due to the absence of an electron acceleration source, so that electron attachment and dissociation are likely to occur and the plasma is used for etching. Since a reactive gas such as a halogen gas is an electron-negative gas, electron attachment and dissociation occur, so that the electron density rapidly decreases. on the other hand,
Contrary to the decrease in electron density, negative ions increase sharply due to the occurrence of electron attachment and dissociation.

【0037】第1の実施形態に係るドライエッチング方
法によると、図2において実線で示すように、アフター
グロープラズマの状態において、プラズマ発生用の高周
波パルス電源13がオフになった当初は電子密度の低下
が急速に生じるが、真空紫外光源19から出射される真
空紫外光がシリンドリカルレンズ20を介してチャンバ
ー10内のプラズマ発生領域18に照射されるため、プ
ラズマ発生用の高周波パルス電源13がオフであるとき
にも反応性ガスの分子がイオン化されて電子が発生する
ので、電子密度は高周波パルス電源13がオンしたとき
に容易に放電を開始するために必要とされる108/c
3以下にならない。この結果、次にプラズマ発生用の
高周波パルス電源13がオンしたときに、プラズマ発生
に必要な電子が十分に供給されるため放電が安定に生じ
るので、放電のちらつき、プロセスの再現性の悪化及び
チャージングによるゲート絶縁膜の破壊等を防止でき
る。また、放電が不安定になることを防ぎつつプラズマ
発生用の高周波パルス電源13がオフである時間を長く
することができるため、被エッチング試料15上におけ
る電荷の蓄積を緩和できるので、チャージアップに起因
するエッチング形状の異常等を防止できる。
According to the dry etching method according to the first embodiment, as shown by the solid line in FIG. 2, in the state of the afterglow plasma, when the high frequency pulse power supply 13 for plasma generation is initially turned off, the electron density is reduced. Although the drop occurs rapidly, the vacuum ultraviolet light emitted from the vacuum ultraviolet light source 19 is applied to the plasma generation region 18 in the chamber 10 via the cylindrical lens 20, so that the high frequency pulse power supply 13 for plasma generation is turned off. Since the reactive gas molecules are ionized to generate electrons even at a certain time, the electron density is required to be 10 8 / c which is required to easily start discharge when the high frequency pulse power supply 13 is turned on.
m 3 or less. As a result, when the high-frequency pulse power supply 13 for plasma generation is next turned on, the electrons required for plasma generation are sufficiently supplied to stably generate a discharge, so that the discharge flickers and the reproducibility of the process deteriorates. Destruction of the gate insulating film due to charging can be prevented. Further, the time during which the high-frequency pulse power supply 13 for plasma generation is off can be lengthened while preventing the discharge from becoming unstable, so that the accumulation of charges on the sample 15 to be etched can be eased. It is possible to prevent the resulting etching shape from being abnormal.

【0038】一方、真空紫外光の照射を行わない比較例
のドライエッチング方法によると、図2において破線で
示すように、アフターグロープラズマの状態において、
電子密度の低下が急速に生じて、反応性ガスの種類によ
り異なるがプラズマ発生用の高周波パルス電源13がオ
フになってから1μ秒から数十μ秒までの間に電子密度
が108/cm3以下になる。この結果、真空紫外光の照
射を行わない比較例のドライエッチング方法において、
プラズマ発生用の高周波パルス電源13がオンしたとき
に放電が不安定になることを防ぐためには、高周波パル
ス電源13がオフである時間を1μ秒以下に設定する必
要がある。その場合には、被エッチング試料15上にお
ける電荷の蓄積を十分に緩和できないので、チャージア
ップに起因するエッチング形状の異常等が発生する恐れ
がある。
On the other hand, according to the dry etching method of the comparative example in which irradiation with vacuum ultraviolet light is not performed, as shown by a broken line in FIG.
Although the electron density rapidly decreases and varies depending on the type of reactive gas, the electron density is 10 8 / cm within 1 μsec to several tens μsec after the high frequency pulse power supply 13 for plasma generation is turned off. 3 or less. As a result, in the dry etching method of the comparative example without irradiation with vacuum ultraviolet light,
In order to prevent unstable discharge when the high frequency pulse power supply 13 for plasma generation is turned on, it is necessary to set the time during which the high frequency pulse power supply 13 is off to 1 μsec or less. In this case, since the accumulation of charges on the sample 15 to be etched cannot be sufficiently reduced, an abnormality in the etching shape due to charge-up may occur.

【0039】また、第1の実施形態に係るドライエッチ
ング方法によると、放電が不安定になることを防ぎつつ
プラズマ発生用の高周波パルス電源13がオフである時
間を長くすることができるため、電子付着解離により増
加した負イオンをパルスプラズマプロセスに積極的に利
用することが可能になる。すなわち、プラズマ発生用の
高周波パルス電源13がオフである時間を数μ秒以上に
設定できるため、正のバイアス電圧を試料台14に印加
することにより負イオンを被エッチング試料15の表面
に引き込んでエッチングに利用することができる。
Further, according to the dry etching method of the first embodiment, the time during which the high frequency pulse power supply 13 for generating plasma is off can be lengthened while preventing the discharge from becoming unstable. The negative ions increased by the attachment and dissociation can be positively used for the pulsed plasma process. That is, since the time during which the high-frequency pulse power supply 13 for plasma generation is off can be set to several μsec or more, negative ions are drawn into the surface of the sample 15 to be etched by applying a positive bias voltage to the sample table 14. It can be used for etching.

【0040】具体的には、図2において実線で示すよう
に、プラズマ発生用の高周波パルス電源13から印加さ
れる高周波パルス電力がオフになってから所定の遅延時
間Tdの後に、バイアス用のDCパルス電源17をオン
して、該DCパルス電源17から試料台14に正のバイ
アス電圧を印加する。通常、遅延時間Tdは、電子密度
がピーク値に対する所定割合以下、例えばピーク値の1
/10程度に減少するような時間に設定することが好ま
しい。プラズマ中の電子密度がピーク値に対する所定割
合以下になってからバイアス用のDCパルス電源17を
オンするように遅延時間Tdを設定すると遅延時間Td
の経過後、つまりDCパルス電源17が正のバイアス電
圧を試料台14に印加するときには、電子密度が充分に
低く且つ負イオン密度が大きいため、試料台14に流入
する電子電流を充分に小さくして負イオン電流を効果的
に引き出すことができると共に、負イオンを被エッチン
グ試料15に効果的に照射することができる。その結
果、試料台14に流入する電子電流を充分に小さくして
負イオン電流を効果的に引き出すことによって、被エッ
チング試料15の正イオンによる帯電を解消することが
できるため、チャージアップに起因するエッチング形状
の異常等を一層防止することができると共に、高周波パ
ルス電源13がオフであるときにも、負イオンを被エッ
チング試料15に効果的に照射することによって負イオ
ンによるエッチングが行われるため、エッチングレート
が向上する。
Specifically, as shown by a solid line in FIG. 2, after a predetermined delay time Td from when the high frequency pulse power applied from the high frequency pulse power supply 13 for plasma generation is turned off, the bias DC The pulse power supply 17 is turned on, and a positive bias voltage is applied to the sample stage 14 from the DC pulse power supply 17. Usually, the delay time Td is such that the electron density is equal to or less than a predetermined ratio with respect to the peak value, for example, 1 of the peak value.
It is preferable to set the time so as to decrease to about / 10. If the delay time Td is set so that the bias DC pulse power supply 17 is turned on after the electron density in the plasma becomes equal to or less than a predetermined ratio with respect to the peak value, the delay time Td
After that, when the DC pulse power supply 17 applies a positive bias voltage to the sample stage 14, the electron current flowing into the sample stage 14 is reduced sufficiently because the electron density is sufficiently low and the negative ion density is large. As a result, the negative ion current can be effectively extracted, and the sample 15 can be effectively irradiated with negative ions. As a result, by sufficiently reducing the electron current flowing into the sample stage 14 and effectively extracting the negative ion current, the charge of the sample 15 to be etched due to the positive ions can be eliminated, resulting in charge-up. Since abnormalities in the etching shape can be further prevented, and even when the high-frequency pulse power supply 13 is off, the etching by the negative ions is performed by effectively irradiating the sample 15 with negative ions. The etching rate is improved.

【0041】尚、第1の実施形態に係るドライエッチン
グ方法において、チャージアップが低減する原因とし
て、次のような負イオンの寄与が考えられる。被エッチ
ング試料15に高エネルギーの正イオン又は負イオンが
入射する場合、入射イオンの持つ電荷が被エッチング試
料15の表面に蓄積される影響に加えて、イオンの入射
に伴って被エッチング試料15の表面から放出される二
次電子の影響も無視できない程度に大きい。正イオンが
入射するときには、二次電子の放出は正電荷の蓄積を増
加するように働くが、負イオンが入射するときには、二
次電子の放出は負電荷の蓄積を打ち消すように働くた
め、チャージアップが一層低減する。
Incidentally, in the dry etching method according to the first embodiment, the following negative ion contribution may be considered as a cause of the reduction in charge-up. When high energy positive ions or negative ions are incident on the sample 15 to be etched, the charge of the incident ions is accumulated on the surface of the sample 15 to be etched, and the ions 15 The effect of secondary electrons emitted from the surface is too large to be ignored. When positive ions are incident, the emission of secondary electrons increases the accumulation of positive charges, but when negative ions are incident, the emission of secondary electrons acts to cancel the accumulation of negative charges. Up is further reduced.

【0042】第1の実施形態に係るドライエッチング方
法により発生したプラズマを用いて、シリコン酸化膜の
上に堆積されたリンドープの多結晶シリコン膜に対して
エッチングを行ったところ、エッチングレートは200
〜800nm/秒であり、シリコン酸化膜に対する選択
比は30以上と良好であると共に、異方性エッチングで
あった。
When the phosphorus-doped polycrystalline silicon film deposited on the silicon oxide film was etched using the plasma generated by the dry etching method according to the first embodiment, the etching rate was 200.
800800 nm / sec, the selectivity with respect to the silicon oxide film was as good as 30 or more, and the anisotropic etching was performed.

【0043】また、プラズマ処理中に放電のちらつき等
の放電の不安定性は生じず、チャージアップに起因する
エッチング形状の異常又はゲート絶縁膜の破壊等の発生
もなく、良好なエッチング特性が得られた。
Also, there is no discharge instability such as flickering of the discharge during the plasma treatment, and there is no occurrence of abnormalities in the etching shape or breakage of the gate insulating film due to charge-up, and good etching characteristics can be obtained. Was.

【0044】尚、プラズマ発生用の高周波パルス電源1
3がオフであるときに、バイアス用のDCパルス電源1
7から正のバイアス電圧を試料台14に印加したことに
よって、エッチングレートがおよそ20%以上増加し
た。
The high-frequency pulse power source 1 for plasma generation
3 is off, the DC pulse power supply 1 for bias is used.
Applying a positive bias voltage from 7 to the sample stage 14 increased the etching rate by about 20% or more.

【0045】第1の実施形態において、真空紫外光源1
9として重水素ランプを用いたが、これに代えて、A
r、Kr若しくはXe等の希ガス共鳴線ランプ又はアー
ク放電ランプ等を用いてもよい。また、プラズマ発生領
域18に照射するエネルギービームは必ずしも真空紫外
光である必要はなく、真空紫外光に代えて、短波長のエ
キシマレーザ光、X線、β線又はγ線等を用いてもよ
い。
In the first embodiment, the vacuum ultraviolet light source 1
A deuterium lamp was used as 9, but instead of A
A rare gas resonance line lamp such as r, Kr or Xe or an arc discharge lamp may be used. The energy beam applied to the plasma generation region 18 does not necessarily need to be vacuum ultraviolet light, and instead of vacuum ultraviolet light, a short wavelength excimer laser light, X-ray, β-ray, γ-ray, or the like may be used. .

【0046】また、第1の実施形態において、高周波パ
ルス電源13から高周波電力を間欠的に繰り返し供給し
たが、高周波パルス電源13から高周波電力を間欠的に
繰り返し供給する代わりに、高周波パルス電源13から
高レベル及び低レベルの高周波電力を交互に繰り返し供
給してもよい。
In the first embodiment, high-frequency power is intermittently supplied from the high-frequency pulse power supply 13. Instead of intermittently supplying high-frequency power from the high-frequency pulse power supply 13, High-level and low-level high-frequency power may be alternately and repeatedly supplied.

【0047】さらに、第1の実施形態において、真空紫
外光の照射は高周波パルス電源13のオン・オフに関係
なく連続して行ったが、真空紫外光の照射は高周波パル
ス電源13がオフであるときに行うことが好ましい。そ
の場合、真空紫外光源19を高周波パルス電源13の高
周波電力パルスに同期させてパルス駆動にしてもよい。
Further, in the first embodiment, the irradiation of the vacuum ultraviolet light is performed continuously irrespective of the on / off state of the high-frequency pulse power supply 13, but the irradiation of the vacuum ultraviolet light is performed with the high-frequency pulse power supply 13 off. It is preferable to do this sometimes. In that case, the vacuum ultraviolet light source 19 may be pulse-driven in synchronization with the high-frequency power pulse of the high-frequency pulse power supply 13.

【0048】(第2の実施形態)以下、本発明の第2の
実施形態に係るドライエッチング装置及びドライエッチ
ング方法について、図3を参照しながら説明する。
Second Embodiment Hereinafter, a dry etching apparatus and a dry etching method according to a second embodiment of the present invention will be described with reference to FIG.

【0049】図3は、本発明の第2の実施形態に係るド
ライエッチング装置を側方から見た断面図である。第2
の実施形態においては、図1に示した第1の実施形態と
同一の部材には同一の符号を付すことにより、説明を省
略する。
FIG. 3 is a sectional view of a dry etching apparatus according to a second embodiment of the present invention as viewed from the side. Second
In this embodiment, the same members as those in the first embodiment shown in FIG.

【0050】第2の実施形態に係るドライエッチング装
置が第1の実施形態と異なるのは、バイアス用のDCパ
ルス電源17に代えて、高周波電力を試料台14に印加
するバイアス用の高周波電力供給源21を備えているこ
とである。高周波電力供給源21は、試料台14の下方
の近傍に設けられており、試料台14とバイアス用の高
周波電力供給源21とは約30cmの長さを有するスト
リップ線路16により接続されている。バイアス用の高
周波電力供給源21はプラズマ発生領域18中の正イオ
ン又は負イオンを被エッチング試料15の方向に加速す
る。
The difference between the dry etching apparatus according to the second embodiment and the first embodiment is that a high-frequency power supply for bias for applying high-frequency power to the sample table 14 is used instead of the DC pulse power supply 17 for bias. The source 21 is provided. The high-frequency power supply 21 is provided near the lower part of the sample stage 14, and the sample stage 14 and the high-frequency power source 21 for bias are connected by a strip line 16 having a length of about 30 cm. The high frequency power supply 21 for biasing accelerates positive ions or negative ions in the plasma generation region 18 toward the sample 15 to be etched.

【0051】以下、第2の実施形態に係るドライエッチ
ング装置を用いて行う第2の実施形態に係るドライエッ
チング方法について図3を参照しながら説明する。
Hereinafter, a dry etching method according to the second embodiment, which is performed using the dry etching apparatus according to the second embodiment, will be described with reference to FIG.

【0052】まず、反応性ガスとして25sccmのH
Brガスと25sccmのCl2 ガスとをチャンバー1
0内に導入して、チャンバー10内の圧力を1〜3Pa
とする。
First, 25 sccm of H was used as a reactive gas.
Br gas and 25 sccm Cl 2 gas were placed in chamber 1
0, and the pressure in the chamber 10 is 1 to 3 Pa
And

【0053】次に、真空紫外光源19として1.2KW
のマイクロ波によって励起される重水素ランプを用いて
真空紫外光をプラズマ発生領域18に照射する。
Next, as the vacuum ultraviolet light source 19, 1.2 KW
The plasma generation region 18 is irradiated with vacuum ultraviolet light using a deuterium lamp excited by the microwave.

【0054】その後、プラズマ発生用の高周波パルス電
源13から、周波数が13.56MHzで、パルス幅が
30〜100μ秒、パルスの繰り返し周波数が5KH
z、時間平均電力が200〜1000Wの高周波電力を
渦巻き状電極12に印加する。また、バイアス用の高周
波電力供給源21から、周波数100KHzでVpp
(ピーク・ツー・ピーク電圧)が100Vのバイアス電
圧を試料台14に印加する。
Thereafter, from the high frequency pulse power source 13 for plasma generation, the frequency is 13.56 MHz, the pulse width is 30 to 100 μsec, and the pulse repetition frequency is 5 KH.
z, a high-frequency power having a time average power of 200 to 1000 W is applied to the spiral electrode 12. Further, Vpp is supplied from the high frequency power supply source 21 for bias at a frequency of 100 KHz.
A bias voltage (peak-to-peak voltage) of 100 V is applied to the sample stage 14.

【0055】第2の実施形態に係るドライエッチング方
法によると、放電が安定に生じるため、放電のちらつ
き、プロセスの再現性の悪化及びチャージングによるゲ
ート絶縁膜の破壊等を防止できる。
According to the dry etching method according to the second embodiment, since the discharge is generated stably, it is possible to prevent the flicker of the discharge, the deterioration of the reproducibility of the process and the destruction of the gate insulating film due to the charging.

【0056】第2の実施形態に係るドライエッチング方
法により発生したプラズマを用いて、シリコン酸化膜の
上に堆積されたリンドープの多結晶シリコン膜に対して
エッチングを行ったところ、エッチングレートは150
〜300nm/秒であり、シリコン酸化膜に対する選択
比は30以上と良好であると共に、異方性エッチングで
あった。
When the phosphorus-doped polycrystalline silicon film deposited on the silicon oxide film was etched using plasma generated by the dry etching method according to the second embodiment, the etching rate was 150.
300300 nm / sec, the selectivity to the silicon oxide film was as good as 30 or more, and the anisotropic etching was performed.

【0057】また、プラズマ処理中に放電のちらつき等
の放電の不安定性は生じず、チャージアップに起因する
エッチング形状の異常又はゲート絶縁膜の破壊等の発生
もなく、良好なエッチング特性が得られた。
In addition, there is no discharge instability such as flickering of the discharge during the plasma treatment, and there is no occurrence of abnormalities in the etching shape or destruction of the gate insulating film due to charge-up, and good etching characteristics can be obtained. Was.

【0058】尚、バイアス用の高周波電力供給源21か
らバイアス電圧を試料台14に印加したことによって、
バイアス電圧の印加が簡易に行えた。
By applying a bias voltage to the sample table 14 from the high frequency power supply 21 for bias,
The bias voltage could be easily applied.

【0059】第1及び第2の実施形態においては、高密
度プラズマを用いるエッチング装置に本発明のプラズマ
処理方法を適用した場合を示したが、これに代えて、プ
ラズマCVD装置、スパッタリング装置又はイオン注入
装置のイオン源等の高密度プラズマを用いる他の装置に
本発明のプラズマ処理方法を適用しても同様の効果が得
られる。
In the first and second embodiments, the case where the plasma processing method of the present invention is applied to an etching apparatus using high-density plasma has been described. However, instead of this, a plasma CVD apparatus, a sputtering apparatus or an ion The same effect can be obtained by applying the plasma processing method of the present invention to another apparatus using high-density plasma such as an ion source of an implantation apparatus.

【0060】[0060]

【発明の効果】本発明のプラズマ処理装置によると、プ
ラズマ発生用の高周波電力がオフ又は低レベルであると
きに、反応性ガスにエネルギービームを照射することに
より反応性ガスをイオン化して電子を発生させることが
できるため、真空チャンバー内の電子の数をプラズマ発
生が容易に生じるのに必要な所定レベル以上に保つこと
ができるので、次にプラズマ発生用の高周波電力がオン
又は高レベルになるときに、放電が不安定になることを
防ぐことができる。
According to the plasma processing apparatus of the present invention, when the high-frequency power for plasma generation is off or at a low level, the reactive gas is irradiated with an energy beam to ionize the reactive gas to generate electrons. Since the number of electrons can be generated, the number of electrons in the vacuum chamber can be maintained at a predetermined level or more necessary for easily generating plasma, and then the high frequency power for plasma generation is turned on or at a high level. Sometimes, it is possible to prevent the discharge from becoming unstable.

【0061】また、本発明のプラズマ処理装置による
と、放電が不安定になることを防ぎつつ、プラズマ発生
用の高周波電力がオフ又は低レベルである時間を長くす
ることができるため、被処理基板上における電子や正イ
オンである電荷の蓄積を緩和することができる。
Further, according to the plasma processing apparatus of the present invention, the time during which the high-frequency power for plasma generation is off or at a low level can be lengthened while preventing the discharge from becoming unstable. It is possible to alleviate the accumulation of electric charges, which are electrons and positive ions, above.

【0062】本発明のプラズマ処理装置において、エネ
ルギービーム照射手段が真空紫外光を出射する手段を有
していると、真空紫外光のエネルギーが大きいため、反
応性ガスに真空紫外光を照射することにより反応性ガス
のイオン化効率を向上できると共に、他のエネルギービ
ーム例えばX線、β線又はγ線等を出射するよりも簡易
に出射できる。
In the plasma processing apparatus of the present invention, if the energy beam irradiation means has a means for emitting vacuum ultraviolet light, the reactive gas may be irradiated with vacuum ultraviolet light because the energy of the vacuum ultraviolet light is large. Thus, the ionization efficiency of the reactive gas can be improved, and the energy can be emitted more easily than other energy beams such as X-rays, β-rays, and γ-rays.

【0063】本発明のプラズマ処理装置において、エネ
ルギービーム照射手段がエネルギービームを反応性ガス
に試料台に対してほぼ平行に照射すると、被処理基板に
エネルギービームが直接に照射されることを防止できる
ため、デバイス特性の劣化を防止できる。
In the plasma processing apparatus of the present invention, when the energy beam irradiating means irradiates the reactive gas with the reactive gas substantially parallel to the sample table, it is possible to prevent the energy beam from being directly irradiated on the substrate to be processed. Therefore, deterioration of device characteristics can be prevented.

【0064】本発明のプラズマ処理装置において、エネ
ルギービーム照射手段が重水素ランプから出射された真
空紫外光をシリンドリカルレンズを介して反応性ガスに
照射すると、重水素ランプによって真空紫外光を確実に
出射できると共に、シリンドリカルレンズによって真空
紫外光の拡散を防止できるため、被処理基板に真空紫外
光が直接に照射されることを防止できる。
In the plasma processing apparatus according to the present invention, when the energy beam irradiating means irradiates the reactive gas with the vacuum ultraviolet light emitted from the deuterium lamp through the cylindrical lens, the vacuum ultraviolet light is surely emitted by the deuterium lamp. In addition, since the diffusion of the vacuum ultraviolet light can be prevented by the cylindrical lens, the substrate to be processed can be prevented from being directly irradiated with the vacuum ultraviolet light.

【0065】本発明のプラズマ処理装置において、バイ
アス電圧供給手段がDCパルス電源であると、正のバイ
アス電圧又は負のバイアス電圧を試料台に確実に印加す
ることができる。
In the plasma processing apparatus of the present invention, if the bias voltage supply means is a DC pulse power supply, a positive bias voltage or a negative bias voltage can be applied to the sample stage without fail.

【0066】本発明のプラズマ処理装置において、バイ
アス電圧供給手段が高周波電力供給源であると、バイア
ス電圧を試料台に簡易に印加することができる。
In the plasma processing apparatus of the present invention, when the bias voltage supply means is a high frequency power supply, a bias voltage can be easily applied to the sample stage.

【0067】本発明のプラズマ処理方法によると、プラ
ズマ発生用の高周波電力がオン又は高レベルになるとき
に、放電が不安定になることを防止できるため、放電の
ちらつき、プロセスの再現性の悪化及び被処理基板上に
おけるチャージングによるゲート絶縁膜の破壊等を防止
できる。
According to the plasma processing method of the present invention, when the high frequency power for plasma generation is turned on or at a high level, the discharge can be prevented from becoming unstable, so that the discharge flickers and the process reproducibility deteriorates. In addition, destruction of the gate insulating film due to charging on the substrate to be processed can be prevented.

【0068】また、本発明のプラズマ処理方法による
と、放電が不安定になることを防ぎつつプラズマ発生用
の高周波電力がオフ又は低レベルである時間を長くする
ことができるため、被処理基板上における電子や正イオ
ンである電荷の蓄積を緩和してチャージアップ現象等を
低減することができると共に、プラズマ発生用の高周波
電力がオフ又は低レベルである時間を数μ秒以上に延長
することによって、負イオンをプラズマ処理に利用する
ことが可能になる。従って、本発明のプラズマ処理方法
がエッチング方法に用いられる場合には、チャージアッ
プに起因するエッチング形状の異常、マイクロローディ
ング効果、又はチャージングによるゲート絶縁膜の劣化
ないしは破壊等を防止できる。
Further, according to the plasma processing method of the present invention, the time during which the high-frequency power for plasma generation is off or at a low level can be prolonged while preventing discharge from becoming unstable. In addition to reducing the charge-up phenomenon by relaxing the accumulation of charges that are electrons and positive ions, the time during which the high-frequency power for plasma generation is off or at a low level is extended to several microseconds or more. And negative ions can be used for plasma processing. Therefore, when the plasma processing method of the present invention is used for an etching method, it is possible to prevent an abnormal etching shape due to charge-up, a microloading effect, or deterioration or destruction of a gate insulating film due to charging.

【0069】本発明のプラズマ処理方法において、エネ
ルギービームが真空紫外光であると、真空紫外光のエネ
ルギーが大きいため、反応性ガスに真空紫外光を照射す
ることにより反応性ガスのイオン化効率を向上できると
共に、他のエネルギービーム例えばX線、β線又はγ線
等を出射するよりも容易に出射できる。
In the plasma processing method of the present invention, if the energy beam is vacuum ultraviolet light, the energy of the vacuum ultraviolet light is large, so that the reactive gas is irradiated with the vacuum ultraviolet light to improve the ionization efficiency of the reactive gas. In addition to the above, it is easier to emit than other energy beams such as X-rays, β-rays or γ-rays.

【0070】本発明のプラズマ処理方法において、エネ
ルギービーム照射工程が、エネルギービームを反応性ガ
スに試料台に対してほぼ平行に照射する工程を含むと、
被処理基板にエネルギービームが直接に照射されること
を防止できるため、デバイス特性の劣化を防止できる。
In the plasma processing method of the present invention, when the energy beam irradiating step includes a step of irradiating the reactive gas with the reactive gas substantially parallel to the sample stage,
Since it is possible to prevent the target substrate from being directly irradiated with the energy beam, deterioration of device characteristics can be prevented.

【0071】本発明のプラズマ処理方法が、プラズマ発
生工程において所定レベルの高周波電力又は高レベルの
高周波電力の供給を開始するよりも前に、エネルギービ
ームを反応性ガスに照射する工程をさらに備えている
と、反応性ガスをイオン化して電子を発生させた後、プ
ラズマ発生用の所定レベルの高周波電力又は高レベルの
高周波電力の供給が開始されるため、プラズマの発生が
容易になり短時間で放電が安定する。
The plasma processing method of the present invention further comprises a step of irradiating the reactive gas with an energy beam before starting to supply a predetermined level of high-frequency power or a high-level high-frequency power in the plasma generation step. In this case, after the reactive gas is ionized to generate electrons, supply of a predetermined level of high-frequency power or high-level high-frequency power for plasma generation is started. Discharge is stabilized.

【0072】本発明のプラズマ処理方法において、バイ
アス電圧印加工程が、プラズマ発生用の高周波電力がオ
フ又は低レベルである期間の少なくとも一部の期間に、
試料台に正のバイアス電圧を印加する工程を含むと、被
処理基板上の被処理膜に付着している電子を被処理基板
に引き込むことができると共に、プラズマ中の負イオン
を被処理基板上の被処理膜に引き込むことによって被処
理基板上の被処理膜の正イオンによる帯電を解消するこ
とができるため、チャージアップ現象を一層低減するこ
とができる。従って、本発明のプラズマ処理方法がエッ
チング方法に適用される場合には、チャージアップに起
因するエッチング形状の異常、マイクロローディング効
果、又はチャージングによるゲート絶縁膜の劣化ないし
は破壊等を一層防止することができると共に、プラズマ
発生用の高周波電力がオフ又は低レベルであるときにも
負イオンによるエッチングが行われるため、エッチング
レートが向上する。
In the plasma processing method of the present invention, the bias voltage applying step may be performed at least during a period in which the high frequency power for plasma generation is off or at a low level.
When a step of applying a positive bias voltage to the sample stage is included, electrons adhering to the film to be processed on the substrate to be processed can be drawn into the substrate to be processed, and negative ions in the plasma can be transferred to the substrate to be processed. By drawing into the film to be processed, the charge of the film to be processed on the substrate to be processed due to positive ions can be eliminated, so that the charge-up phenomenon can be further reduced. Therefore, when the plasma processing method of the present invention is applied to an etching method, it is necessary to further prevent an abnormality in an etching shape due to charge-up, a microloading effect, or deterioration or destruction of a gate insulating film due to charging. In addition to the above, etching is performed with negative ions even when the high frequency power for plasma generation is off or at a low level, so that the etching rate is improved.

【図面の簡単な説明】[Brief description of the drawings]

【図1】(a)は、本発明の第1の実施形態に係るドラ
イエッチング装置を側方から見た断面図であり、(b)
は、本発明の第1の実施形態に係るドライエッチング装
置を上方から見た断面図である。
FIG. 1A is a cross-sectional view of a dry etching apparatus according to a first embodiment of the present invention viewed from a side, and FIG.
1 is a cross-sectional view of a dry etching apparatus according to a first embodiment of the present invention as viewed from above.

【図2】本発明の第1の実施形態に係るドライエッチン
グ方法における各種パラメータの時間変化を示した図で
ある。
FIG. 2 is a diagram showing a time change of various parameters in the dry etching method according to the first embodiment of the present invention.

【図3】本発明の第2の実施形態に係るドライエッチン
グ装置を側方から見た断面図である。
FIG. 3 is a side sectional view of a dry etching apparatus according to a second embodiment of the present invention.

【図4】従来のドライエッチング装置を側方から見た断
面図である。
FIG. 4 is a cross-sectional view of a conventional dry etching apparatus viewed from a side.

【符号の説明】[Explanation of symbols]

10 チャンバー 11 誘電体板 12 渦巻き状電極 13 高周波パルス電源 14 試料台 15 被エッチング試料 16 ストリップ線路 17 DCバイアス電源 18 プラズマ発生領域 19 真空紫外光源 20 シリンドリカルレンズ 21 高周波電力供給源 Reference Signs List 10 chamber 11 dielectric plate 12 spiral electrode 13 high-frequency pulse power supply 14 sample table 15 sample to be etched 16 strip line 17 DC bias power supply 18 plasma generation area 19 vacuum ultraviolet light source 20 cylindrical lens 21 high-frequency power supply source

───────────────────────────────────────────────────── フロントページの続き (72)発明者 山中 通成 大阪府高槻市幸町1番1号 松下電子工業 株式会社内 Fターム(参考) 4K057 DA12 DA16 DB06 DD01 DE01 DE11 DG15 DM04 DM20 DN01 5F004 AA02 AA03 BA04 BA09 BB02 BB05 BD04 DA00 DA04 DB01 DB02  ──────────────────────────────────────────────────の Continuing on the front page (72) Inventor Mitsunari Yamanaka 1-1 Sachimachi, Takatsuki-shi, Osaka Matsushita Electronics Co., Ltd. F-term (reference) 4K057 DA12 DA16 DB06 DD01 DE01 DE11 DG15 DM04 DM20 DN01 5F004 AA02 AA03 BA04 BA09 BB02 BB05 BD04 DA00 DA04 DB01 DB02

Claims (11)

【特許請求の範囲】[Claims] 【請求項1】 被処理基板を保持する試料台が設けられ
ていると共に反応性ガスを導入するガス導入手段を有す
る真空チャンバーと、 前記真空チャンバーに、所定レベルの高周波電力を間欠
的に繰り返し供給するか又は高レベル及び低レベルの高
周波電力を交互に繰り返し供給することにより、前記真
空チャンバーに前記反応性ガスからなるプラズマを発生
させる高周波電力供給手段と、 前記高周波電力供給手段から前記所定レベルの高周波電
力が供給されていない期間又は前記低レベルの高周波電
力が供給されている期間に、前記反応性ガスにエネルギ
ービームを照射するエネルギービーム照射手段と、 前記試料台にバイアス電圧を印加するバイアス電圧供給
手段とを備えていることを特徴とするプラズマ処理装
置。
A vacuum chamber provided with a sample stage for holding a substrate to be processed and having a gas introducing means for introducing a reactive gas; and a predetermined level of high-frequency power intermittently and repeatedly supplied to the vacuum chamber. High frequency power supply means for generating a plasma comprising the reactive gas in the vacuum chamber by alternately or repeatedly supplying a high level and a low level high frequency power, and the predetermined level from the high frequency power supply means. Energy beam irradiation means for irradiating the reactive gas with an energy beam during a period in which high-frequency power is not supplied or a period in which the low-level high-frequency power is supplied; and a bias voltage for applying a bias voltage to the sample stage. A plasma processing apparatus comprising: a supply unit.
【請求項2】 前記エネルギービーム照射手段は、真空
紫外光を出射する手段を有していることを特徴とする請
求項1に記載のプラズマ処理装置。
2. The plasma processing apparatus according to claim 1, wherein said energy beam irradiation means has means for emitting vacuum ultraviolet light.
【請求項3】 前記エネルギービーム照射手段は、前記
エネルギービームを前記反応性ガスに前記試料台に対し
てほぼ平行に照射することを特徴とする請求項1に記載
のプラズマ処理装置。
3. The plasma processing apparatus according to claim 1, wherein said energy beam irradiation means irradiates the reactive gas with the energy beam substantially parallel to the sample stage.
【請求項4】 前記エネルギービーム照射手段は、重水
素ランプから出射された真空紫外光をシリンドリカルレ
ンズを介して前記反応性ガスに照射することを特徴とす
る請求項1に記載のプラズマ処理装置。
4. The plasma processing apparatus according to claim 1, wherein said energy beam irradiation means irradiates the reactive gas with vacuum ultraviolet light emitted from a deuterium lamp through a cylindrical lens.
【請求項5】 前記バイアス電圧供給手段は、DCパル
ス電源であることを特徴とする請求項1に記載のプラズ
マ処理装置。
5. The plasma processing apparatus according to claim 1, wherein said bias voltage supply means is a DC pulse power supply.
【請求項6】 前記バイアス電圧供給手段は、高周波電
力供給源であることを特徴とする請求項1に記載のプラ
ズマ処理装置。
6. The plasma processing apparatus according to claim 1, wherein said bias voltage supply means is a high-frequency power supply.
【請求項7】 被処理基板を保持する試料台が内部に設
けられた真空チャンバーに反応性ガスを供給すると共
に、前記真空チャンバーに、所定レベルの高周波電力を
間欠的に繰り返し供給するか又は高レベル及び低レベル
の高周波電力を交互に繰り返し供給することにより、前
記真空チャンバーに前記反応性ガスからなるプラズマを
発生させるプラズマ発生工程と、 前記試料台にバイアス電圧を印加するバイアス電圧印加
工程とを備え、 前記プラズマ発生工程は、前記所定レベルの高周波電力
が供給されていない期間又は前記低レベルの高周波電力
が供給されている期間に、前記反応性ガスにエネルギー
ビームを照射するエネルギービーム照射工程を有してい
ることを特徴とするプラズマ処理方法。
7. A reactive gas is supplied to a vacuum chamber in which a sample stage holding a substrate to be processed is provided, and a high-frequency power of a predetermined level is repeatedly or intermittently supplied to the vacuum chamber. A plasma generating step of generating plasma comprising the reactive gas in the vacuum chamber by alternately and repeatedly supplying a high-frequency power of a level and a low level, and a bias voltage applying step of applying a bias voltage to the sample stage. The plasma generating step includes an energy beam irradiation step of irradiating the reactive gas with an energy beam during a period in which the predetermined level of high-frequency power is not supplied or a period in which the low-level high-frequency power is supplied. A plasma processing method comprising:
【請求項8】 前記エネルギービームは真空紫外光であ
ることを特徴とする請求項7に記載のプラズマ処理方
法。
8. The plasma processing method according to claim 7, wherein the energy beam is vacuum ultraviolet light.
【請求項9】 前記エネルギービーム照射工程は、前記
エネルギービームを前記反応性ガスに前記試料台に対し
てほぼ平行に照射する工程を含むことを特徴とする請求
項7に記載のプラズマ処理方法。
9. The plasma processing method according to claim 7, wherein the energy beam irradiating step includes a step of irradiating the reactive gas with the energy beam substantially parallel to the sample stage.
【請求項10】 前記プラズマ発生工程において前記所
定レベルの高周波電力又は前記高レベルの高周波電力の
供給を開始するよりも前に、エネルギービームを前記反
応性ガスに照射する工程をさらに備えていることを特徴
とする請求項7に記載のプラズマ処理方法。
10. The method according to claim 1, further comprising a step of irradiating the reactive gas with an energy beam before starting to supply the predetermined level of high-frequency power or the high-level high-frequency power in the plasma generation step. The plasma processing method according to claim 7, wherein:
【請求項11】 前記バイアス電圧印加工程は、前記プ
ラズマ発生工程において、前記所定レベルの高周波電力
が供給されていない期間又は前記低レベルの高周波電力
が供給されている期間の少なくとも一部の期間に、前記
試料台に正のバイアス電圧を印加する工程を含むことを
特徴とする請求項7に記載のプラズマ処理方法。
11. The method according to claim 11, wherein the bias voltage applying step is performed during at least a part of a period during which the predetermined level of high-frequency power is not supplied or a period during which the low-level high-frequency power is supplied in the plasma generating step. 8. The plasma processing method according to claim 7, further comprising a step of applying a positive bias voltage to said sample stage.
JP10220537A 1998-08-04 1998-08-04 Method and device for plasma treatment Withdrawn JP2000058292A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10220537A JP2000058292A (en) 1998-08-04 1998-08-04 Method and device for plasma treatment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10220537A JP2000058292A (en) 1998-08-04 1998-08-04 Method and device for plasma treatment

Publications (1)

Publication Number Publication Date
JP2000058292A true JP2000058292A (en) 2000-02-25

Family

ID=16752559

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10220537A Withdrawn JP2000058292A (en) 1998-08-04 1998-08-04 Method and device for plasma treatment

Country Status (1)

Country Link
JP (1) JP2000058292A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1632994A4 (en) * 2003-05-29 2007-06-13 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP2008241293A (en) * 2007-03-26 2008-10-09 Univ Nagoya Atomic analyzing apparatus
JP2010519758A (en) * 2007-02-21 2010-06-03 アプライド マテリアルズ インコーポレイテッド Pulsed plasma system for etching semiconductor structures using pulsed sample bias
JP2012009544A (en) * 2010-06-23 2012-01-12 Tokyo Electron Ltd Substrate processing method
WO2014175279A1 (en) * 2013-04-26 2014-10-30 東京エレクトロン株式会社 Etching method
KR20230050463A (en) * 2020-09-27 2023-04-14 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. semiconductor reaction chamber

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1632994A4 (en) * 2003-05-29 2007-06-13 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP2010519758A (en) * 2007-02-21 2010-06-03 アプライド マテリアルズ インコーポレイテッド Pulsed plasma system for etching semiconductor structures using pulsed sample bias
JP2014039050A (en) * 2007-02-21 2014-02-27 Applied Materials Inc Pulsed plasma system with pulsed sample bias for etching semiconductor structure
JP2008241293A (en) * 2007-03-26 2008-10-09 Univ Nagoya Atomic analyzing apparatus
JP2012009544A (en) * 2010-06-23 2012-01-12 Tokyo Electron Ltd Substrate processing method
WO2014175279A1 (en) * 2013-04-26 2014-10-30 東京エレクトロン株式会社 Etching method
JP2014216535A (en) * 2013-04-26 2014-11-17 東京エレクトロン株式会社 Etching method
US9530671B2 (en) 2013-04-26 2016-12-27 Tokyo Electron Limited Etching method
KR20230050463A (en) * 2020-09-27 2023-04-14 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. semiconductor reaction chamber
KR102635953B1 (en) 2020-09-27 2024-02-13 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. semiconductor reaction chamber
JP7495577B2 (en) 2020-09-27 2024-06-04 北京北方華創微電子装備有限公司 Semiconductor Reaction Chamber

Similar Documents

Publication Publication Date Title
KR102167957B1 (en) Material modification and selective etching using RF pulsing
US10515782B2 (en) Atomic layer etching with pulsed plasmas
US5639519A (en) Method for igniting low pressure inductively coupled plasma
US5753886A (en) Plasma treatment apparatus and method
US20160293386A1 (en) Energetic negative ion impact ionization plasma
US10600619B2 (en) Plasma processing apparatus
JP2006505128A (en) Plasma treatment magnetically enhanced by high power pulses
JP2002289583A (en) Beam treatment device
JP2006505906A (en) Method and apparatus for generating high density plasma
JP2007165304A (en) Toroidal plasma chamber
JPH08139077A (en) Surface treatment and surface treating device
US6909087B2 (en) Method of processing a surface of a workpiece
KR101191698B1 (en) Methods and apparatus for igniting a low pressure plasma
JPH09326383A (en) Plasma processing system and plasma processing method
TW202123301A (en) Enhanced ignition in inductively coupled plasmas for workpiece processing
JPH11224796A (en) Apparatus and method for plasma treatment
CN110752136B (en) Plasma processing apparatus and plasma processing method
JP2002289581A (en) Neutral particle beam treatment device
JP2000058292A (en) Method and device for plasma treatment
JP2006054129A (en) Plasma igniter and device loading the same
JPH05243160A (en) Plasma cvd device for manufacturing semiconductor device
JP2006164648A (en) Plasma igniter and device with the same mounted thereon
US20080169064A1 (en) Surface-treating apparatus
KR20000063075A (en) Method for reducing topography dependent charging effects in a plasma enhanced semiconductor wafer processing system
JP2024514409A (en) Fast neutral particle generation for plasma processing

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20051004