JPH09326383A - Plasma processing system and plasma processing method - Google Patents

Plasma processing system and plasma processing method

Info

Publication number
JPH09326383A
JPH09326383A JP8142566A JP14256696A JPH09326383A JP H09326383 A JPH09326383 A JP H09326383A JP 8142566 A JP8142566 A JP 8142566A JP 14256696 A JP14256696 A JP 14256696A JP H09326383 A JPH09326383 A JP H09326383A
Authority
JP
Japan
Prior art keywords
plasma
sample
pulse
plasma processing
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8142566A
Other languages
Japanese (ja)
Other versions
JP3319285B2 (en
Inventor
Tetsunori Kaji
哲徳 加治
Toru Otsubo
徹 大坪
Hideyuki Kazumi
秀之 数見
Katsuya Watanabe
克哉 渡辺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP14256696A priority Critical patent/JP3319285B2/en
Publication of JPH09326383A publication Critical patent/JPH09326383A/en
Application granted granted Critical
Publication of JP3319285B2 publication Critical patent/JP3319285B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To control the generation quantity and the quality of ions or radicals required for surface reaction and to enhance the selectivity and the like of plasma processing by obtaining a narrow ion energy distribution with high controllability. SOLUTION: The plasma processing system comprises a vacuum processing chamber 10, a stage 15 for arranging a sample to be processed in the vacuum processing chamber, and a plasma generation means including a high frequency power supply 16. The plasma processing system further comprises a pair of parallel plate electrodes 12, 15, means 20, 22, 23 for holding a sample 40 to the sample stage through electrostatic attraction, and means 17 for applying a bias voltage to the sample. Metastable atoms generated in a plasma generation chamber for generating metastable atoms are injected into the vacuum processing chamber 10 and applied with the high frequency power supply 16 of 10-500MHz while reducing the pressure in the vacuum processing chamber 10 to 5-50mTorr by means of a vacuum pump 18.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明はプラズマ処理装置お
よびプラズマ処理方法に係り、特に半導体製造工程にお
ける微細なパターンを形成するのに好適なプラズマ処理
装置およびプラズマ処理方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma processing apparatus and a plasma processing method, and more particularly to a plasma processing apparatus and a plasma processing method suitable for forming a fine pattern in a semiconductor manufacturing process.

【0002】[0002]

【従来の技術】特開平6−267900号公報では、高
周波停止時間を10マイクロ秒、繰り返し周波数を10
kHz以上でON−OFFパルス変調された高周波電源
を用い、解離反応やイオン温度を制御し、エッチングを
高精度化することが記載されている。この公報では、N
2ガスプラズマでのパルス停止時間とプラズマ密度特性
が記載されており、パルスの停止時間であるインタ−バ
ル時間は、パルス密度を低下させないことも考慮し、1
0マイクロ秒以下が最適であることが記載されている。 ま
た、一方、CHF3プラズマでパルス停止時間を10マイ
クロ秒に固定した状態でパルス幅を低下させると、好まし
くないFラジカルと好ましいCF2ラジカルとの比:F
/CF2が低下し、酸化膜エッチングにおいて下地シリ
コンとの選択比が改善される。しかし、この例では、パ
ルスの停止時間を10マイクロ秒以上にすると、プラズマ密
度が低下し、それとともにエッチング速度が低下するた
め、好ましくないことが示されている。
2. Description of the Related Art Japanese Unexamined Patent Publication No. 6-267900 discloses a high frequency stop time of 10 microseconds and a repetition frequency of 10
It is described that a high frequency power source that is ON-OFF pulse modulated at a frequency of kHz or higher is used to control the dissociation reaction and the ion temperature to improve the accuracy of etching. In this publication, N
The pulse stop time and plasma density characteristics for two gas plasmas are described, and considering that the interval time, which is the pulse stop time, does not decrease the pulse density.
It is stated that 0 microseconds or less is optimal. On the other hand, when the pulse width is reduced with CHF3 plasma and the pulse stop time is fixed at 10 microseconds, the ratio of undesired F radicals to preferred CF2 radicals: F
/ CF2 is reduced, and the selectivity with respect to the underlying silicon is improved in the oxide film etching. However, in this example, it is shown that if the pulse stop time is 10 microseconds or more, the plasma density is lowered and the etching rate is also lowered, which is not preferable.

【0003】また、プラズマを用い、半導体のエッチン
グ処理や成膜処理等を行う技術分野において、被処理物
(例えば半導体ウェハ基板、以下試料と略する。)を配
置する試料台に対して、プラズマ中のイオンを加速する
ためのバイアス用高周波電源と、静電吸着力によって試
料を試料台に保持させる静電吸着膜とを備えた処理装置
として、USP5,320,982号明細書等に記載の
ものがある。
Further, in the technical field of performing etching processing and film forming processing of semiconductors using plasma, plasma is applied to a sample table on which an object to be processed (for example, a semiconductor wafer substrate, hereinafter referred to as a sample) is placed. US Pat. No. 5,320,982 discloses a processing apparatus provided with a high frequency bias power source for accelerating ions in the sample and an electrostatic adsorption film for holding a sample on a sample table by electrostatic adsorption force. There is something.

【0004】このUSP5,320,982号明細書記
載の装置は、マイクロ波でプラズマを発生させ、静電吸
着力によって試料を試料台に保持させると共に試料と試
料台との間に伝熱ガスを介在させて試料の温度制御を行
いながら、正弦波出力の高周波電源をバイアス電源とし
て、該電源を試料台に接続して試料に入射するイオンエ
ネルギーを制御するものである。
The apparatus described in US Pat. No. 5,320,982 generates plasma by microwaves and holds a sample on a sample table by electrostatic attraction force, and at the same time, a heat transfer gas is provided between the sample and the sample table. While controlling the temperature of the sample through the interposition, a high frequency power source for sine wave output is used as a bias power source, and the power source is connected to the sample stage to control the ion energy incident on the sample.

【0005】また、特開昭62−280378号公報で
は、プラズマー電極間の電界強度を一定化するパルス状
のイオン制御バイアス波形を発生させ試料台に印加する
ことにより、試料に入射するイオンエネルギーの分布幅
を狭くでき、エッチングの加工寸法精度や被処理膜と下
地材とのエッチング速度比を数倍に上げることが可能と
なることが記載されている。
Further, in Japanese Laid-Open Patent Publication No. 62-280378, a pulsed ion control bias waveform for stabilizing the electric field strength between the plasma electrode and the electrode is generated and applied to the sample stage so that the ion energy incident on the sample is It is described that the distribution width can be narrowed and the processing dimensional accuracy of etching and the etching rate ratio between the film to be processed and the base material can be increased several times.

【0006】また、特開平6−61182号公報では、
電子サイクロトロン共鳴を利用してプラズマを発生さ
せ、試料に、パルスデューティが0.1%程度以上の幅
のパルスバイアスを印加し、ノッチの発生を防止するこ
とが記載されている。
Further, in Japanese Patent Laid-Open No. 6-61182,
It is described that plasma is generated by using electron cyclotron resonance, and a pulse bias having a pulse duty of about 0.1% or more is applied to a sample to prevent the generation of a notch.

【0007】[0007]

【発明が解決しようとする課題】図1にアルゴンガスを
比較的高いガス圧力(1 Torr)で直流放電を発生させ
た時の、電子のエネルギ−分布(以下EEDFと略す)
を実線で、またマックスウエル分布を点線で示す。通常
のガスを電離させるさせるためには、15電子ボルト
(以下eVと略す)程度以上の電子エネルギ−を必要と
している。一方、半導体のプラズマ処理に使われるガス
の解離には10eV程度以下の電子エネルギ−で十分な
場合がほとんどである。従って、ガスの解離のみを考え
れば、15eV以上の高エネルギ−の電子は不要であ
り、解離を必要以上に進めすぎて、細かすぎるラジカ
ル、分子あるいは原子にまで解離させるため悪影響の方
が大きい。上記図1の実線で示すように、ガス圧力の高
い領域では、電子とガスとの衝突が頻繁に生じるため、
15eV程度以上の高エネルギ−の電子の数は、少な
い。このため上記の悪影響は、比較的低く押さえること
が出来る。しかし、半導体製造工程における、近年のパ
タ−ンの高精細化に対応するには、処理圧力は、50mT
orr程度以下にする必要がでてきた。この低圧力領域で
は、EEDFは、図1の点線で示したマックスウエル分
布に近づき、15eV程度以上の高エネルギ−の電子の
数が増え、電離が盛んとなりイオンが増加しプラズマ密
度も増えるが、上記の悪影響が、特に酸化膜エッチング
処理で顕著になってきている。この傾向は、処理の高速
化をはかるため、高電力を注入し高密度プラズマにて試
料を処理するときに、更に顕著となっている。
FIG. 1 shows an electron energy distribution (hereinafter abbreviated as EEDF) when a direct current discharge is generated with argon gas at a relatively high gas pressure (1 Torr).
Is shown by a solid line and the Maxwell distribution is shown by a dotted line. In order to ionize a normal gas, electron energy of about 15 electron volts (hereinafter abbreviated as eV) or more is required. On the other hand, in most cases, electron energy of about 10 eV or less is sufficient for dissociating the gas used for plasma processing of semiconductors. Therefore, considering only gas dissociation, electrons with high energy of 15 eV or more are not necessary, and dissociation proceeds too much and dissociates into too fine radicals, molecules or atoms, which is more harmful. As shown by the solid line in FIG. 1 above, in a region where the gas pressure is high, collisions between electrons and gas frequently occur,
The number of high-energy electrons of about 15 eV or more is small. Therefore, the above adverse effects can be suppressed to a relatively low level. However, the processing pressure is 50 mT in order to cope with the recent finer patterning in the semiconductor manufacturing process.
It has become necessary to make it less than orr. In this low pressure region, the EEDF approaches the Maxwell distribution shown by the dotted line in FIG. 1, the number of high-energy electrons of about 15 eV or more increases, ionization becomes active, the number of ions increases, and the plasma density also increases. The above-mentioned adverse effects have become remarkable especially in the oxide film etching process. This tendency becomes more remarkable when high power is injected and a sample is processed with high-density plasma in order to speed up the process.

【0008】すなわち、低圧力−高密度−過剰解離の防
止の3つを総て満たすプラズマは、得られていないのが
現状である。
That is, at present, a plasma satisfying all three requirements of low pressure, high density, and prevention of excessive dissociation has not been obtained.

【0009】前記従来例で述べた特開平−267900
号公報では、停止時間が10マイクロ秒以下でかつ繰返し周
波数10kHz以上でON−OFFされた高周波電界を
印加することにより、過剰解離を防いでいるが、まだ不
十分である。この方法では、過剰解離の防止を十分に行
おうとすると、停止時間を10マイクロ秒以上にする必要が
あるが、停止時間の増大とともに、処理速度が大幅に低
下し実用的ではなくなる大きな欠点がある。
Japanese Unexamined Patent Publication No. 267900 mentioned in the above-mentioned conventional example.
In the publication, excessive dissociation is prevented by applying a high-frequency electric field that is turned on and off at a stop time of 10 microseconds or less and a repetition frequency of 10 kHz or more, but it is still insufficient. In this method, in order to sufficiently prevent excessive dissociation, it is necessary to set the stop time to 10 microseconds or more, but with the increase in the stop time, the processing speed is greatly reduced, and there is a great disadvantage that it becomes impractical. .

【0010】また、特開昭62−280378号公報や
特開平6−61182号公報に記載のパルスバイアス電
源方式は、試料台電極と試料との間に静電吸着用誘電体
層を使用して試料にパルスバイアスを印加する場合の検
討がなされておらず、静電吸着方式にそのまま適用する
と静電吸着膜の両端間に発生する電圧の変化によりイオ
ンエネルギー分布が広がるため、試料に十分な温度制御
を行いながら、必要とする微細パターンの処理に対処す
ることができない欠点があった。
Further, the pulse bias power supply method described in JP-A-62-280378 and JP-A-6-61182 uses a dielectric layer for electrostatic attraction between the sample stage electrode and the sample. The application of pulse bias to the sample has not been studied.If it is applied to the electrostatic adsorption method as it is, the ion energy distribution is widened due to the change in the voltage generated across the electrostatic adsorption film. However, there is a drawback in that it is impossible to deal with the necessary processing of a fine pattern while performing control.

【0011】また、USP5,320,982号明細書
に記載された従来の正弦波出力バイアス電源方式では、
周波数が高くなると、シース部のインピーダンスがプラ
ズマ自身のインピーダンスに近づくか、それ以下になる
ため、バイアス電源により不要なプラズマが生じイオン
の加速に有効に使われなくなって、プラズマ分布の悪化
やプラズマで発生する粒子種の変化をきたしたりバイア
ス電源によるイオンエネルギーの制御性が失われる等の
欠点があった。
Further, in the conventional sine wave output bias power supply system described in USP 5,320,982,
When the frequency becomes higher, the impedance of the sheath approaches the impedance of the plasma itself or becomes lower than that, so unnecessary plasma is generated by the bias power source and it is not used effectively for accelerating ions, and the plasma distribution deteriorates and There are drawbacks such as changes in the type of particles generated and loss of controllability of ion energy by the bias power source.

【0012】本発明の目的は、このよううな従来技術の
問題点を解決するためになされたもので、イオン、ラジ
カルの生成量や質を制御し、試料の静電吸着により温度
制御性を改善し、必要とする微細パターンの処理を精度
良く高速で安定して行うプラズマ処理装置及びプラズマ
処理方法を提供することにある。
The object of the present invention is to solve the problems of the prior art as described above, and to control the production amount and quality of ions and radicals and to improve the temperature controllability by electrostatic adsorption of the sample. Another object of the present invention is to provide a plasma processing apparatus and a plasma processing method for performing required processing of a fine pattern with high accuracy and stability.

【0013】本発明の他の目的は、イオンとラジカル生
成の量や質を制御し、狭いイオンエネルギー分布を得て
高速で安定して制御性良くプラズマ処理の選択性等を向
上できるプラズマ処理装置及びプラズマ処理方法を提供
することにある。
Another object of the present invention is to control the quantity and quality of ions and radicals produced, obtain a narrow ion energy distribution, and stabilize the plasma at a high speed with good controllability to improve the selectivity of plasma processing. And a plasma processing method.

【0014】本発明の他の目的は、イオンとラジカル生
成の量や質を制御し、比較的低いガス圧下において試料
を処理することにより、微細パターンの精密な加工が容
易で、かつ、プラズマ処理の選択比や速度等を向上させ
た、プラズマ処理装置及びプラズマ処理方法を提供する
ことにある。
Another object of the present invention is to control the amount and quality of ion and radical generation and to process a sample under a relatively low gas pressure, thereby facilitating precise processing of fine patterns and performing plasma processing. It is an object of the present invention to provide a plasma processing apparatus and a plasma processing method in which the selection ratio, the speed, etc. are improved.

【0015】本発明の他の目的は、イオンとラジカル生
成の量や質を制御し、試料中の絶縁膜(例えばSiO2,
SiN,BPSG等)に対するプラズマ処理の選択性
や速度等を向上させたプラズマ処理装置及びプラズマ処
理方法を提供することにある。
Another object of the present invention is to control the amount and quality of ions and radicals produced and to control the insulating film (eg SiO2,
It is an object of the present invention to provide a plasma processing apparatus and a plasma processing method in which the selectivity and speed of plasma processing with respect to SiN, BPSG, etc.) are improved.

【0016】[0016]

【課題を解決するための手段】本発明の一つは、プラズ
マ中に比較的微量存在する準安定原子の種類や数により
プラズマの生成特性が大きく変化する性質を有効に活用
し、イオンとラジカルの量と質をかなり独立に制御して
いる。つまり、準安定原子を生成するためには、8〜2
0eVのかなり高いエネルギ−を必要とするが、準安定
原子が存在している状態では5eV程度以下の低いエネ
ルギ−で容易に電離が起きる。準安定原子を生成する場
所あるいはタイミングと、準安定原子が多数存在する状
態で比較的低エネルギ−のプラズマを生成する場所ある
いはタイミングとを異ならせ別々に設定することによ
り、イオンとラジカルの量と質の制御性を大幅に改善す
ることができる。
Means for Solving the Problems One of the present invention is to effectively utilize the property that plasma generation characteristics greatly change depending on the type and number of metastable atoms that are present in plasma in relatively small amounts. It controls the quantity and quality of each of them fairly independently. That is, in order to generate a metastable atom, 8 to 2
It requires a fairly high energy of 0 eV, but ionization easily occurs at a low energy of about 5 eV or less in the presence of metastable atoms. By setting different places or timings of generating metastable atoms and places or timings of generating relatively low energy plasma in the presence of a large number of metastable atoms and setting them separately, the amount of ions and radicals can be controlled. The quality controllability can be greatly improved.

【0017】すなわち、瞬時電力の大きい高周波源で強
いプラズマを発生させる第一のプラズマ生成手段により
多くの準安定原子を発生させ、その準安定原子を真空処
理室内に存在させた状態で、瞬時電力の小さい高周波源
で比較的弱いプラズマを発生させる第一のプラズマ生成
手段によりイオンと過剰に解離していないラジカルを発
生させる。
That is, a large number of metastable atoms are generated by the first plasma generating means for generating a strong plasma with a high-frequency source having a large instantaneous power, and the instantaneous power is generated while the metastable atoms are present in the vacuum processing chamber. The first plasma generating means for generating a relatively weak plasma with a high-frequency source having a small number generates a radical that is not excessively dissociated from the ions.

【0018】このよう構成することにより、50mTo
rr程度以下の低いガス圧で高密度のプラズマにおいて
も、図1の実線で示したものと類似もしくはさらに電子
温度が低く、15eV以上の高エネルギ−の電子が大幅
に少ないEEDFを得ることができる。
With this configuration, 50 mTo
Even in a high-density plasma at a low gas pressure of about rr or less, an EEDF similar to that shown by the solid line in FIG. 1 or having a lower electron temperature and significantly less high-energy electrons of 15 eV or more can be obtained. .

【0019】すなわち、従来のプラズマでは達せられな
かった、低ガス圧−高密度−過剰解離の防止が可能とな
った。ここで、イオンの数は、主に第一のプラズマ生成
手段による準安定原子の数で決定され、一方、ラジカル
の数や質は、第二のプラズマ生成手段により主に決定さ
れる。第一のプラズマを生成する場所は、第二のプラズ
マを生成する場所と異なる場合と、第二のプラズマを生
成する場所と同じ場所だがプラズマを発生させるタイミ
ングが異なる場合と、いずれの場合も本発明を適用する
ことができる。
That is, it becomes possible to prevent low gas pressure-high density-excessive dissociation, which cannot be achieved by conventional plasma. Here, the number of ions is mainly determined by the number of metastable atoms by the first plasma generation means, while the number and quality of radicals are mainly determined by the second plasma generation means. The place where the first plasma is generated is different from the place where the second plasma is generated, or the place where the second plasma is generated is the same place but the timing of generating the plasma is different. The invention can be applied.

【0020】上記により生成されたイオンやラジカルを
用い高性能の処理性能を得るには、更に、試料に入射す
るイオンのエネルギ−を所定の大きさでかつエネルギ−
の分布幅を狭くする必要がある。このためには、パルス
状のバイアス電圧を試料台に印加するのが好ましい。ま
た、試料の温度制御性を改善するには、試料を試料台に
静電気にて吸着し、数ミクロンから数十ミクロンの厚さで数Torr
から数十Torrのガス層を通して熱の伝導を行う様に構成
する。
In order to obtain high-performance processing performance by using the ions and radicals generated as described above, the energy of the ions incident on the sample must be a predetermined magnitude and energy.
It is necessary to narrow the distribution width of. For this purpose, it is preferable to apply a pulsed bias voltage to the sample stage. In addition, in order to improve the temperature controllability of the sample, the sample is electrostatically adsorbed on the sample table and the thickness of several microns to several tens of microns is used for several Torr.
It is configured to conduct heat through a gas layer of several tens of torr.

【0021】本発明の特徴は、真空処理室と、該真空処
理室内で処理される試料を配置するための試料台と、プ
ラズマ生成手段とを有するプラズマ処理装置であって、
前記試料を静電吸着力によって前記試料台に保持する静
電吸着手段と、前記試料にバイアス電圧を印加するバイ
アス印加手段と、前記真空処理室の内もしくは外にプラ
ズマを発生させる第一のプラズマ生成手段と、前記真空
処理室内にプラズマを発生させる第二のプラズマ生成手
段とを具備し、イオンとラジカル生成の量や質を制御し
ていることにある。
A feature of the present invention is a plasma processing apparatus having a vacuum processing chamber, a sample stage for placing a sample to be processed in the vacuum processing chamber, and a plasma generating means,
An electrostatic adsorption means for holding the sample on the sample table by an electrostatic adsorption force, a bias application means for applying a bias voltage to the sample, and a first plasma for generating plasma inside or outside the vacuum processing chamber. The production means and the second plasma production means for producing plasma in the vacuum processing chamber are provided to control the quantity and quality of the production of ions and radicals.

【0022】本発明の特徴は、真空処理室と、該真空処
理室内で処理される試料を配置するための試料台と、プ
ラズマ生成手段とを有するプラズマ処理装置であって、
前記試料を静電吸着力によって前記試料台に保持する静
電吸着手段と、前記試料にバイアス電圧を印加するバイ
アス印加手段と、前記真空処理室の内もしくは外にプラ
ズマを発生させる第一のプラズマ生成手段と、前記真空
処理室内にプラズマを発生させる第二のプラズマ生成手
段とを具備し、前記第一のプラズマ生成手段の瞬時電力
が、前記第2のプラズマ生成手段の瞬時電力の2倍以上
かつ100倍以下に設定し、イオンとラジカル生成の量
や質を制御していることにある。
A feature of the present invention is a plasma processing apparatus having a vacuum processing chamber, a sample stage for placing a sample to be processed in the vacuum processing chamber, and a plasma generating means,
An electrostatic adsorption means for holding the sample on the sample table by an electrostatic adsorption force, a bias application means for applying a bias voltage to the sample, and a first plasma for generating plasma inside or outside the vacuum processing chamber. It is provided with a generation means and a second plasma generation means for generating plasma in the vacuum processing chamber, and the instantaneous power of the first plasma generation means is at least twice the instantaneous power of the second plasma generation means. In addition, it is set to 100 times or less to control the quantity and quality of ion and radical generation.

【0023】本発明の他の特徴は、真空処理室と、該真
空処理室内で処理される試料を配置するための試料台
と、前記真空処理室の内もしくは外にプラズマを発生さ
せる第一のプラズマ生成手段と、前記真空処理室内にプ
ラズマを発生させる第二のプラズマ生成手段と、前記試
料を静電吸着力によって前記試料台に保持する静電吸着
手段と、前記試料にパルスバイアス電圧を印加するパル
スバイアス印加手段とを備え、イオンとラジカル生成の
量や質を制御していることにある。
Another feature of the present invention is to provide a vacuum processing chamber, a sample stage for placing a sample to be processed in the vacuum processing chamber, and a first plasma generating chamber inside or outside the vacuum processing chamber. Plasma generation means, second plasma generation means for generating plasma in the vacuum processing chamber, electrostatic attraction means for holding the sample on the sample stage by electrostatic attraction force, and application of a pulse bias voltage to the sample Pulse bias applying means for controlling the quantity and quality of ion and radical generation.

【0024】本発明の他の特徴は、真空処理室と、該真
空処理室内で処理される試料を配置するための試料台
と、前記真空処理室の内もしくは外にプラズマを発生さ
せる第一のプラズマ生成手段と、前記真空処理室内にプ
ラズマを発生させる第二のプラズマ生成手段と、前記試
料を静電吸着力によって前記試料台に保持する静電吸着
手段と、前記試料にパルスバイアス電圧を印加するパル
スバイアス印加手段とを備え、イオンとラジカル生成の
量や質をに制御し、前記プラズマ生成源として10MHz
〜500MHzの高周波電圧を印加するとともに、前記真
空処理室を5mTorr〜50mTorrに減圧するように構成し
たことにある。
Another feature of the present invention is that a vacuum processing chamber, a sample stage for placing a sample to be processed in the vacuum processing chamber, and a first plasma generating chamber inside or outside the vacuum processing chamber. Plasma generation means, second plasma generation means for generating plasma in the vacuum processing chamber, electrostatic attraction means for holding the sample on the sample stage by electrostatic attraction force, and application of a pulse bias voltage to the sample And a pulse bias applying means for controlling the quantity and quality of ion and radical generation to 10 MHz as the plasma generation source.
A high-frequency voltage of up to 500 MHz is applied and the vacuum processing chamber is decompressed to 5 mTorr to 50 mTorr.

【0025】本発明の他の特徴は、真空処理室と、該真
空処理室で処理される試料を配置するための試料台と、
前記真空処理室の内もしくは外にプラズマを発生させる
第一のプラズマ生成手段と、前記真空処理室内にプラズ
マを発生させる第二のプラズマ生成手段と、前記試料を
静電吸着力によって前記試料台に保持する静電吸着手段
と、前記試料にパルスバイアス電圧を印加するパルスバ
イアス印加手段とを備え、前記パルスバイアス電圧の印
加に伴い前記静電吸着手段の静電吸着容量に対応して発
生する電圧の変化を抑制する電圧抑制手段とを設け、イ
オンとラジカル生成の量や質を制御していることにあ
る。
Another feature of the present invention is that a vacuum processing chamber, a sample stand for placing a sample to be processed in the vacuum processing chamber,
A first plasma generating means for generating plasma inside or outside the vacuum processing chamber, a second plasma generating means for generating plasma in the vacuum processing chamber, and the sample on the sample stage by electrostatic attraction. An electrostatic attraction means for holding the sample and a pulse bias applying means for applying a pulse bias voltage to the sample, and a voltage generated corresponding to the electrostatic attraction capacity of the electrostatic attracting means with the application of the pulse bias voltage. Is provided to control the amount and quality of ion and radical production.

【0026】本発明の他の特徴は、真空処理室と、該真
空処理室で処理される試料を配置するための試料台と、
前記真空処理室の内もしくは外にプラズマを発生させる
第一のプラズマ生成手段と、前記真空処理室内にプラズ
マを発生させる第二のプラズマ生成手段と、前記試料を
静電吸着力によって前記試料台に保持する静電吸着手段
と、一方の電極に試料が配置される一対の対向する電極
と、該試料のエッチング時に前記一方の電極に、250
V〜800Vのパルス振幅と0.05〜0.4のデュー
ティ比を有するパルスバイアス電圧を印加するパルスバ
イアス印加手段とを備え、イオンとラジカルの量や質を
独立に制御し、前記試料中の絶縁膜(例えばSiO2,
SiN,BPSG等)を前記プラズマを用いて処理する
ことにある。
Another feature of the present invention is that a vacuum processing chamber, a sample stage for placing a sample to be processed in the vacuum processing chamber,
A first plasma generating means for generating plasma inside or outside the vacuum processing chamber, a second plasma generating means for generating plasma in the vacuum processing chamber, and the sample on the sample stage by electrostatic attraction. The electrostatic attraction means for holding, the pair of opposing electrodes on which the sample is arranged on one electrode, and the one electrode at the time of etching the sample, 250
A pulse bias applying means for applying a pulse bias voltage having a pulse amplitude of V to 800 V and a duty ratio of 0.05 to 0.4 is provided, and the amount and quality of ions and radicals are independently controlled, and Insulating film (eg SiO2,
SiN, BPSG, etc.) with the plasma.

【0027】本発明の他の特徴は、真空処理室内に設置
された試料台に試料を配置するステップと、該配置され
た試料を静電吸着力によって前記電極に保持するステッ
プと、ガスを導入するステップと、該導入されたガスを
プラズマ化する第一のプラズマ化のステップと、少なく
とも該プラズマ化されたガスを含んで前記試料が配置さ
れた雰囲気にてさらにプラズマ化する第二のプラズマ化
のステップと、、前記プラズマにより前記試料をエッチ
ングするステップと、該エッチング時に、試料台の電極
にバイアス電圧を印加するステップからなり、イオンと
ラジカル生成の量や質を制御し反応の高選択化を図ると
ともに、処理の異方性と処理の高速化を兼備したプラズ
マ処理方法にある。
Another feature of the present invention is to place a sample on a sample stage installed in a vacuum processing chamber, to hold the placed sample on the electrode by electrostatic attraction, and to introduce a gas. And a step of first plasma-converting the introduced gas into plasma, and a second plasma-processing to further plasma-convert into an atmosphere in which the sample is placed containing at least the plasma-converted gas. And the step of etching the sample with the plasma and the step of applying a bias voltage to the electrode of the sample stage at the time of the etching, which controls the amount and quality of the generation of ions and radicals to increase the selectivity of the reaction. In addition to the above, the plasma processing method has both anisotropy of processing and high-speed processing.

【0028】本発明の他の特徴は、前記第一のプラズマ
化のステップの供給電力の瞬時値が、前記第二のプラズ
マ化のステップの供給電力の瞬時値の2倍以上でかつ1
00倍以下に設定し、イオンとラジカル生成の量や質を
制御し反応の高選択化を図るとともに、処理の異方性と
処理の高速化を兼備したプラズマ処理方法にある。
Another feature of the present invention is that the instantaneous value of the power supplied in the first plasma conversion step is at least twice the instantaneous value of the power supplied in the second plasma conversion step, and 1
This is a plasma processing method in which the amount and quality of ion and radical generation are controlled to increase the selectivity of the reaction by setting the ratio to 00 times or less, and at the same time, the anisotropy of the processing and the speeding up of the processing are combined.

【0029】本発明の他の特徴は、真空処理室に設けら
れた対向する一対の電極の一方に試料を配置するステッ
プと、該試料を静電吸着力によって前記電極に保持する
ステップと、ガスを導入するステップと、該導入された
ガスをプラズマ化する第一のプラズマ化のステップと、
少なくとも該プラズマ化されたガスを含んで前記試料が
配置された雰囲気にて10MHz〜500MHzの高周波電
圧を印加しさらにプラズマ化する第二のプラズマ化のス
テップと、、前記プラズマにより前記試料をエッチング
するステップと、該エッチング時に、試料台の電極にバ
イアス電圧を印加するステップと、前記雰囲気を、5mT
orr〜50mTorrに減圧排気するステップとからなり、イ
オンとラジカル生成の量や質を制御し反応の高選択化を
図るとともに、処理の異方性と処理の高速化を兼備した
プラズマ処理方法にある。
Another feature of the present invention is that a sample is placed on one of a pair of opposing electrodes provided in a vacuum processing chamber, the sample is held on the electrode by electrostatic attraction, and a gas is used. A step of introducing a gas, and a step of forming a plasma into a plasma of the introduced gas;
A second plasma-izing step of applying a high-frequency voltage of 10 MHz to 500 MHz to further plasma in an atmosphere in which the sample containing at least the plasma-converted gas is placed; and etching the sample with the plasma. Step, applying a bias voltage to the electrode of the sample stage during the etching, and setting the atmosphere to 5 mT
This is a plasma processing method which comprises a step of evacuating under reduced pressure to orr to 50 mTorr to control the amount and quality of ion and radical generation to achieve high selectivity of the reaction, and at the same time, anisotropy of the processing and speeding up of the processing. .

【0030】本発明の他の特徴は、対向する電極の一方
の電極に試料を配置するステップと、該配置された試料
を静電吸着力によって前記電極に保持するするステップ
と、ガスを導入するステップと、該導入されたガスをプ
ラズマ化する第一のプラズマ化のステップと、少なくと
も該プラズマ化されたガスを含んで前記試料が配置され
た雰囲気にてさらにプラズマ化する第二のプラズマ化の
ステップと、、前記プラズマにより前記試料をエッチン
グするステップと、該エッチング時に、試料台の電極に
バイアス電圧を印加するステップからなり、該エッチン
グ時に前記試料台電極に、250V〜800Vのパルス
振幅と0.05〜0.4のデューティ比を有するパルス
バイアス電圧を印加するステップからなり、イオンとラ
ジカル生成の量や質を制御し、前記試料中の絶縁膜(例
えばSiO2, SiN,BPSG等)をプラズマ処理す
ることにある。
Another feature of the present invention is to dispose a sample on one of the electrodes facing each other, to hold the arranged sample on the electrode by electrostatic attraction, and to introduce gas. A step of first plasma-converting the introduced gas into plasma, and a second plasma-processing of further plasma-converting into an atmosphere in which the sample is placed containing at least the plasma-converted gas. A step of etching the sample with the plasma, and a step of applying a bias voltage to the electrode of the sample stage during the etching, and a pulse amplitude of 250 V to 800 V and 0 to the sample stage electrode during the etching. The step of applying a pulse bias voltage having a duty ratio of 0.05 to 0.4, and Controls, there insulating film (e.g. SiO2, SiN, BPSG, etc.) in the sample to be plasma treated.

【0031】本発明の他の特徴は、前記プラズマ処理装
置において、第一のプラズマ化手段と、第二のプラズマ
化手段とを、パルス変調された一つの高周波電源のそれ
ぞれ高出力のタイミング部分と低出力のタイミング部分
とに対応させて、イオンとラジカル生成の量や質を制御
し反応の高精度化を図るとともに、処理の異方性と処理
の高速化を兼備したプラズマ処理装置にある。
Another feature of the present invention is that, in the above plasma processing apparatus, the first plasma generating means and the second plasma generating means are each a high-output timing portion of one pulse-modulated high-frequency power source. This is a plasma processing apparatus that controls the amount and quality of ions and radicals generated to improve the accuracy of the reaction in correspondence with the low-output timing portion, and also has the anisotropy of the processing and the speeding up of the processing.

【0032】本発明によれば、イオンとラジカル生成の
量や質を制御し反応の高精度化を図るとともに、静電吸
着用誘電体層を有する静電吸着手段を備えた試料台に所
定の特性のパルス状バイアス電源を印加することによ
り、試料の温度制御性を十分に行ないつつ、必要とする
微細パターンの処理を異方性よく高速に安定して行うこ
とが出来る。
According to the present invention, the amount and quality of ions and radicals produced are controlled to improve the accuracy of the reaction, and a predetermined amount is provided on the sample table equipped with electrostatic adsorption means having a dielectric layer for electrostatic adsorption. By applying the pulsed bias power supply having the characteristic, the temperature controllability of the sample can be sufficiently performed, and the necessary fine pattern processing can be stably performed at high speed with good anisotropy.

【0033】さらに、イオンとラジカル生成の量や質を
制御し、狭いイオンエネルギー分布を得て、安定して制
御性良くプラズマ処理の選択性等を向上させることがで
きる。
Further, it is possible to control the quantity and quality of the generation of ions and radicals, obtain a narrow ion energy distribution, and improve the selectivity of the plasma treatment stably and with good controllability.

【0034】また、本発明によれば、イオンとラジカル
生成の量や質を制御し、パルスバイアス電圧の印加に伴
い静電吸着手段の静電吸着容量に対応して発生する電圧
の変化を抑制する電圧抑制手段として、パルス一周期中
の静電吸着により誘電体層の両端に加わる電圧変化が、
パルスバイアス電圧の大きさの1/2以下となるように
構成する。具体的には、下部電極の表面に設けられた誘
電体の静電チャック膜の膜厚を薄くしたり、誘電体を比
誘電率の大きい材料とする。あるいはまた、パルスバイ
アス電圧の周期を短くして誘電体層の両端に加わる電圧
の上昇を抑制する方法を採用しても良い。
Further, according to the present invention, the quantity and quality of ion and radical generation are controlled, and the change in the voltage generated corresponding to the electrostatic adsorption capacity of the electrostatic adsorption means due to the application of the pulse bias voltage is suppressed. As a voltage suppressing means for changing the voltage applied to both ends of the dielectric layer by electrostatic attraction during one pulse cycle,
The pulse bias voltage is configured to be 1/2 or less. Specifically, the thickness of the dielectric electrostatic chuck film provided on the surface of the lower electrode is reduced, or the dielectric is made of a material having a large relative dielectric constant. Alternatively, a method may be adopted in which the cycle of the pulse bias voltage is shortened to suppress a rise in the voltage applied to both ends of the dielectric layer.

【0035】また、本発明によれば、イオンとラジカル
生成の量や質を制御し、試料のエッチング時に前記一方
の電極に、250V〜800Vのパルス振幅と0.05
〜0.4のデューティ比を有するパルスバイアス電圧を
印加することにより、試料中の絶縁膜(例えばSiO2,
SiN,BPSG等)に対するプラズマ処理の選択性
等を向上させることができる。
Further, according to the present invention, the amount and quality of ion and radical generation are controlled, and a pulse amplitude of 250 V to 800 V and 0.05 V is applied to the one electrode during etching of the sample.
By applying a pulse bias voltage having a duty ratio of ~ 0.4, the insulating film (eg SiO2,
It is possible to improve the selectivity of plasma treatment for SiN, BPSG, etc.).

【0036】さらに、本発明の他の特徴によれば、イオ
ンとラジカル生成の量や質を制御し、プラズマ発生用の
高周波電源として、10MHz〜500MHzの高周波電圧
を用い、処理室内のガス圧力を、5mTorr〜50mTorrの
低圧としている。これにより、安定したプラズマが得ら
れる。また、このような高周波電圧を用いることにより
ガスプラズマの電離がよくなり、試料加工時の選択比制
御が良くなるとともに、比較的低いイオンエネルギで高
速の処理が得られるため、試料が受けるダメ−ジも低く
押さえる事が出来る。
Further, according to another feature of the present invention, the amount and quality of ions and radicals produced are controlled, a high frequency voltage of 10 MHz to 500 MHz is used as a high frequency power source for plasma generation, and the gas pressure in the processing chamber is controlled. The low pressure is 5 mTorr to 50 mTorr. Thereby, a stable plasma can be obtained. Further, by using such a high frequency voltage, the ionization of the gas plasma is improved, the control of the selection ratio during sample processing is improved, and high-speed processing can be obtained with a relatively low ion energy, so that the sample is damaged. Ji can be held low.

【0037】[0037]

【発明の実施の形態】以下、本発明の一実施例を説明す
る。まず図2に、本発明を対向する電極型のプラズマエ
ッチング装置へ適用した第一の実施例を示す。
DESCRIPTION OF THE PREFERRED EMBODIMENTS One embodiment of the present invention will be described below. First, FIG. 2 shows a first embodiment in which the present invention is applied to a facing electrode type plasma etching apparatus.

【0038】図2において、真空容器としての処理室1
0は、上部電極12と下部電極15とから成る一対の対
向する電極を備えている。平行平板電極12,15の間
隙は、10mm〜50mm程度とするのが望ましい。上部電
極12には、高周波エネルギーを供給する高周波電源1
6が、高周波電源変調信号源161を介して接続されて
いる。上部電極12の下側表面には、シリコン、カーボ
ンもしくはSiCからなるフッ素や酸素の除去板として
の上部電極カバー30が設けられている。また、上部電
極12の上部にはガスを所望の分布に拡散するガス拡散
板32を備えたガス導入室34が設けられている。処理
室10には、ガス供給部36からガス導入室34のガス
拡散板32、上部電極12及び上部電極カバー30に設
けられた孔38を介して、試料のエッチング等の処理に
必要なガスが供給される。外室11は、外室にバルブ1
4を介して接続された真空ポンプ18により真空排気さ
れ、処理室10が試料の処理圧力に調整される。処理室
10の周囲には放電止じ込め用リング37が設けられて
いる。
In FIG. 2, a processing chamber 1 as a vacuum container.
0 is provided with a pair of opposing electrodes consisting of an upper electrode 12 and a lower electrode 15. The gap between the parallel plate electrodes 12 and 15 is preferably about 10 mm to 50 mm. A high frequency power supply 1 for supplying high frequency energy to the upper electrode 12.
6 are connected via a high frequency power source modulation signal source 161. An upper electrode cover 30 is provided on the lower surface of the upper electrode 12 as a fluorine or oxygen removing plate made of silicon, carbon, or SiC. Further, a gas introduction chamber 34 having a gas diffusion plate 32 that diffuses the gas into a desired distribution is provided above the upper electrode 12. In the processing chamber 10, gas necessary for processing such as etching of a sample is supplied from the gas supply unit 36 through the gas diffusion plate 32 of the gas introduction chamber 34, the holes 38 provided in the upper electrode 12 and the upper electrode cover 30. Supplied. The outer chamber 11 has a valve 1 in the outer chamber.
A vacuum pump 18 connected via 4 evacuates the processing chamber 10 to the processing pressure of the sample. A discharge containment ring 37 is provided around the processing chamber 10.

【0039】なお、本発明における平行平板電極12,
15は、相対向する一対の電極があれば良く、プラズマ
生成特性等の要求から平行平板電極12,15が凹面あ
るいは凸面を持つものであっても良い。
The parallel plate electrodes 12 in the present invention,
It suffices that 15 has a pair of electrodes facing each other, and the parallel plate electrodes 12 and 15 may have a concave surface or a convex surface in view of requirements such as plasma generation characteristics.

【0040】試料40を保持する下部電極15は、2極
式の静電チャック20を備えた構成となっている。すな
わち、下部電極15は、外側の第1下部電極15Aと、
その内側上方に絶縁体21を介して配置された第2下部
電極15Bによって構成され、第1、第2両下部電極の
上表面に静電吸着用誘電体層(以下、静電吸着膜と略称
する)22が設けられている。第1、第2両下部電極間
には、高周波成分カット用のコイル24A,24Bを介
して直流電源23が接続されており、第2下部電極15
B側が正になるようにして両下部電極間に直流電圧を印
加する。これにより、静電吸着膜22を介して試料40
と両下部電極間に作用するクーロン力により、試料40
が下部電極15上に吸着、保持される。静電吸着膜22
としては、例えば、酸化アルミニウム、酸化アルミニウ
ムにチタン酸化物を混合したものなどの誘電体を使用す
ることができる。また、電源23としては、数100V
の直流電源を用いる。
The lower electrode 15 for holding the sample 40 has a two-pole type electrostatic chuck 20. That is, the lower electrode 15 includes the outer first lower electrode 15A,
A dielectric layer for electrostatic adsorption (hereinafter, abbreviated as electrostatic adsorption film) is formed on the upper surface of the first and second lower electrodes by the second lower electrode 15B disposed above the inside thereof with the insulator 21 interposed therebetween. 22) is provided. A DC power supply 23 is connected between the first and second lower electrodes via high frequency component cutting coils 24A and 24B, and the second lower electrode 15 is connected.
A DC voltage is applied between both lower electrodes so that the B side becomes positive. This allows the sample 40 to pass through the electrostatic adsorption film 22.
And Coulomb force acting between both lower electrodes
Are adsorbed and held on the lower electrode 15. Electrostatic adsorption film 22
For example, a dielectric material such as aluminum oxide or a mixture of aluminum oxide and titanium oxide can be used. Also, as the power supply 23, several hundreds of volts
Use the DC power source.

【0041】また、下部電極15(15A,15B)に
は、20V〜800Vの正のパルスバイアスを供給する
パルスバイアス電源17が、DC成分をカットするブロ
ッキングコンデンサ19A,19Bを介してそれぞれ接
続されている。
A pulse bias power supply 17 for supplying a positive pulse bias of 20V to 800V is connected to the lower electrodes 15 (15A, 15B) via blocking capacitors 19A, 19B for cutting DC components. There is.

【0042】エッチング処理を行う場合、処理の対象物
である試料40は、処理室10の下部電極15の上に載
置され、静電チャック20により吸着される。一方、ガ
ス供給部36からガス導入室34を介して、試料40の
エッチング処理に必要なガスが処理室10に供給され
る。外室11は真空ポンプ18により真空排気され、処
理室10が試料の処理圧力、例えば5mTorr〜50mTorr
になるように減圧排気される。次に、高周波電源16よ
り10MHz〜500MHz、望ましくは30MHz〜200
MHzの高周波電力を出力して、処理室10の処理ガスを
プラズマ化する。他方、下部電極15に、パルスバイア
ス電源17から電圧20V〜800Vで周期が0.1μ
s〜10μs、好ましくは、0.2μs〜5μsでパルス幅
が0.05μs〜0.5μsの正のパルスバイアスを印
加し、試料に入射する電子やイオンのエネルギ−を制御
して試料40に対する所定のエッチング処理を行う。
When the etching process is performed, the sample 40, which is the object of the process, is placed on the lower electrode 15 of the processing chamber 10 and adsorbed by the electrostatic chuck 20. On the other hand, a gas necessary for etching the sample 40 is supplied from the gas supply unit 36 to the processing chamber 10 via the gas introduction chamber 34. The outer chamber 11 is evacuated by a vacuum pump 18, and the processing chamber 10 is processed at a sample processing pressure, for example, 5 mTorr to 50 mTorr.
Is exhausted under reduced pressure. Next, from the high frequency power source 16, 10 MHz to 500 MHz, preferably 30 MHz to 200 MHz.
The high frequency power of MHz is output to turn the processing gas in the processing chamber 10 into plasma. On the other hand, the lower electrode 15 has a voltage of 20 V to 800 V and a period of 0.1 μm from the pulse bias power supply 17.
s to 10 μs, preferably 0.2 μs to 5 μs, and a positive pulse bias with a pulse width of 0.05 μs to 0.5 μs is applied to control the energy of electrons and ions incident on the sample to obtain a predetermined value for the sample 40. The etching process is performed.

【0043】なお、高周波電源16は、高周波電源変調
信号源161により、パルス幅1〜50μs、パルス周
期20μs〜1ms、パルスデュティ比(パルス幅/パ
ルス周期)として1/3〜1/50でパルス変調されて
おり、パルス幅部分の瞬時電力をその他のタイミング部
分の瞬時電力の2倍〜100倍の強さで加え、パルス幅
の部分で準安定原子を効率良く生成させる。一方前記の
その他のタイミング部分では、前記準安定原子の存在の
もとに比較的低い瞬時電力の高周波電力を印加し電離の
促進を図り高密度のプラズマを得るとともに、15eV
以上の高いエネルギ−の電子の生成を押さえて処理ガス
の過剰解離を阻止し、プラズマ処理に望ましい比較的低
い10eV程度以下の電子エネルギ−での解離を促進さ
せる。なお、瞬時電力の大きいパルス幅部分においては
高いエネルギ−の電子が発生するが、高いエネルギ−の
電子は、数μsで消滅するため、パルスデュティ比を1
/3以下、好ましくは1/5以下の低い値にし、パルス
周期を20μs以上することによりその影響は小さくな
る。また、準安定原子は、その寿命が長く、衝突による
消滅を考慮しても、数十μs〜数百μsの期間は存在を
続ける。前記のその他のタイミング部分では、この準安
定原子の存在により5eV程度以下の比較的低いエネル
ギ−の電子によっても効率良く電離が起きるので、高密
度のプラズマが高エネルギ−電子の存在なしで維持され
る。上記のその他のタイミング部分の瞬時電力を変化さ
せることにより、表面反応を起こすラジカルの数と解離
の程度を制御する。一方、上記パルス幅部分の瞬時電力
を変化することにより、主にイオンの数を制御する。好
ましくは、パルス幅部分の瞬時電力としては、その他の
タイミング部分の瞬時電力の2倍〜100倍、好ましく
はの5倍〜20倍程度の強さで加え、パルス幅の部分で
準安定原子を効率良く生成させるとともに、その他のタ
イミング部分における過剰解離の防止と必要なラジカル
の数の確保を行う。
The high frequency power source 16 is pulse modulated by the high frequency power source modulation signal source 161 with a pulse width of 1 to 50 μs, a pulse period of 20 μs to 1 ms, and a pulse duty ratio (pulse width / pulse period) of 1/3 to 1/50. Therefore, the instantaneous power of the pulse width portion is applied with a strength that is 2 to 100 times stronger than the instantaneous power of the other timing portion, and metastable atoms are efficiently generated in the pulse width portion. On the other hand, in the other timing portions, a high frequency plasma having a relatively low instantaneous power is applied in the presence of the metastable atoms to promote ionization to obtain high density plasma, and at the same time, 15 eV
The above-mentioned generation of high-energy electrons is suppressed to prevent excessive dissociation of the processing gas, and promote dissociation with electron energy of about 10 eV or less, which is relatively low, which is desirable for plasma processing. Although electrons with high energy are generated in the pulse width portion where the instantaneous power is large, electrons with high energy disappear in a few μs, so the pulse duty ratio is set to 1
The effect is reduced by setting the value to a low value of ⅓ or less, preferably ⅕ or less and setting the pulse period to 20 μs or more. Further, the metastable atom has a long life and continues to exist for a period of several tens μs to several hundreds μs even when the disappearance due to collision is taken into consideration. In the other timing portions described above, the existence of the metastable atoms efficiently causes ionization even with relatively low energy electrons of about 5 eV or less, so that a high density plasma is maintained without the presence of high energy electrons. It The number of radicals causing surface reaction and the degree of dissociation are controlled by changing the instantaneous power of the other timing portions. On the other hand, the number of ions is mainly controlled by changing the instantaneous power of the pulse width portion. Preferably, the instantaneous power of the pulse width portion is 2 to 100 times, preferably 5 to 20 times as strong as the instantaneous power of the other timing portions, and metastable atoms are added in the pulse width portion. In addition to efficient generation, it prevents excessive dissociation in other timing parts and secures the necessary number of radicals.

【0044】エッチングガスは、ガス拡散板32で所望
の分布にされた後、上部電極12及び上部電極カバー3
0に明けられた孔38を通して処理室10に注入され
る。
The etching gas is distributed by the gas diffusion plate 32 to a desired distribution, and then the upper electrode 12 and the upper electrode cover 3 are formed.
It is injected into the processing chamber 10 through a hole 38 drilled.

【0045】また上部電極カバー30には、カーボンや
シリコンあるいはこれらを含有するものを用い、フッ素
や酸素成分を除去してレジストやシリコン等の下地との
選択比を向上させる。
The upper electrode cover 30 is made of carbon, silicon, or a material containing these, and fluorine or oxygen components are removed to improve the selectivity with respect to the base such as resist or silicon.

【0046】絶縁膜(たとえばSiO2,BPSG等)
をエッチング処理する場合のガス種の例としては、希ガ
ス:200ccm,C4F8: 10ccm ,ガス圧:2
0mTorrで、希ガス(ヘリウム、ネオン、アルゴン、キ
セノン等)の準安定原子を活用し、希ガス自身の電離を
促進する場合や;希ガス1:200ccm,希ガス2:
20ccm,C4F8:10ccm、ガス圧20mTorr
で、希ガス1(ヘリウム、ネオン、等)の準安定原子を
活用してそのエネルギ−準位より低い電離準位を持つ希
ガス2(アルゴン、クリプトン、キセノン等)の電離を
促進する場合等がある。このようにして、高エネルギ−
の電子を少なくすることにより、C4F8は過剰の解離
が防止され、絶縁膜処理に好都合のCF2を多量に生成
できる。希ガスとC4F8ガスとの比を変更することに
より、イオンとラジカルとの量の比を変化することがで
きる。選択性等の特性をさらに改善するために、水素成
分を含むガス(CHF3,CH2F2,CH3F,CH4,CH3OH等)を加え
たりしてもよい。
Insulating film (eg, SiO2, BPSG, etc.)
As an example of the gas species in the case of performing the etching treatment on rare earth, rare gas: 200 ccm, C4F8: 10 ccm, gas pressure: 2
At 0 mTorr, when utilizing metastable atoms of rare gas (helium, neon, argon, xenon, etc.) to promote ionization of the rare gas itself; rare gas 1: 200 ccm, rare gas 2:
20ccm, C4F8: 10ccm, gas pressure 20mTorr
In order to promote the ionization of rare gas 2 (argon, krypton, xenon, etc.) having an ionization level lower than its energy level by utilizing metastable atoms of rare gas 1 (helium, neon, etc.) There is. In this way, high energy
By reducing the number of electrons in C4F8, excessive dissociation of C4F8 can be prevented, and a large amount of CF2, which is convenient for insulating film treatment, can be generated. By changing the ratio of the rare gas to the C4F8 gas, the ratio of the amounts of ions and radicals can be changed. To further improve characteristics such as selectivity, a gas containing a hydrogen component (CHF3, CH2F2, CH3F, CH4, CH3OH, etc.) may be added.

【0047】処理室10の周囲は、放電止じ込め用リン
グ37によってプラズマを試料40の周辺に極在化させ
ることにり、プラズマ密度の向上を図ると共に、放電止
じ込め用リング37より外の部分への不要なデポ物の付
着を最小とさせる。
The discharge containment ring 37 localizes the plasma around the sample chamber 40 to improve the plasma density and the outside of the discharge containment ring 37. Minimize unnecessary deposition of deposits on the

【0048】なお、放電止じ込め用リング37として
は、石英等の絶縁体を用いるのがよい。但し、カーボン
やシリコンあるいはSiC等の半導体や導電材を用い、
高周波電源に接続しイオンによるスパッタを生じさせる
と、リング37へのデポ付着を低減すると共にフッ素や
酸素の除去効果も持たせることができる。
It should be noted that as the discharge stopping ring 37, it is preferable to use an insulator such as quartz. However, using a semiconductor or conductive material such as carbon, silicon, or SiC,
By connecting to a high frequency power source and causing sputtering by ions, it is possible to reduce deposition of deposits on the ring 37 and also to have an effect of removing fluorine and oxygen.

【0049】なお、試料40の周辺の絶縁体13上に、
カーボンやシリコンあるいはこれらを含有するサセプタ
カバー39を設けると、フッ素や酸素を除去出来るの
で、選択比の向上に役立つ。
On the insulator 13 around the sample 40,
When the susceptor cover 39 containing carbon or silicon or these is provided, fluorine and oxygen can be removed, which is useful for improving the selection ratio.

【0050】また、直流電源23の電位により、誘電体
の静電吸着膜22を挟んで下部電極15(15A,15
B)と試料40を介してして静電吸着回路が形成され
る。この状態で試料40は静電気力により下部電極15
に係止、保持される。静電気力により係止された試料4
0の裏面には、ヘリウム、窒素、アルゴン等の冷却ガス
が供給される。冷却ガスは、下部電極15の凹部に充填
されるが、その圧力は、数トールから数10トールの範
囲とする。なお、静電吸着力は、ギャップが設けられた
凹部の間では、ほとんどゼロであり、下部電極15の凸
部においてのみ静電吸着力が発生しているとみなせる。
しかし、後で述べるように、直流電源23に電圧を適切
に設定して、冷却ガスの圧力に十分耐えることのできる
吸着力を設定することができるので、冷却ガスにより試
料40が動いたり飛ばされたりすることはない。
Further, the lower electrode 15 (15A, 15A) is sandwiched by the electric potential of the DC power supply 23 with the electrostatic attraction film 22 of the dielectric material interposed therebetween.
An electrostatic adsorption circuit is formed via B) and the sample 40. In this state, the sample 40 is moved to the lower electrode 15 by electrostatic force.
It is locked and retained by. Sample 4 locked by electrostatic force
A cooling gas such as helium, nitrogen, or argon is supplied to the back surface of 0. The cooling gas fills the concave portion of the lower electrode 15, and the pressure thereof is in the range of several torr to several tens of torr. Note that the electrostatic attraction force is almost zero between the concave portions where the gap is provided, and it can be considered that the electrostatic attraction force is generated only at the convex portion of the lower electrode 15.
However, as will be described later, since the voltage can be appropriately set in the DC power supply 23 and the adsorption force that can sufficiently withstand the pressure of the cooling gas can be set, the sample 40 is moved or skipped by the cooling gas. There is nothing to do.

【0051】試料の微細加工性を向上させるには、プラ
ズマ発生用高周波電源16としてより高い周波数のもの
を用い、低ガス圧領域での放電の安定化を計るのがよ
い。本発明では、処理室10における試料の処理圧力を
5mTorr〜50mTorrとしている。処理室10内のガス圧
力を50mTorr以下の低圧にすることにより、シース中
でのイオンの衝突が少なくなるので、試料40の処理に
際して、イオンの方向性が増し垂直な微細加工が可能に
なった。なお、5mTorr以下では、同じ処理速度を得る
には、排気装置や高周波電源が大型化すると共に、電子
温度の上昇による必要以上の解離が生じ、特性が劣化す
る傾向がある。
In order to improve the fine workability of the sample, it is preferable to use a higher frequency power source 16 for plasma generation and to stabilize the discharge in the low gas pressure region. In the present invention, the processing pressure of the sample in the processing chamber 10 is 5 mTorr to 50 mTorr. By reducing the gas pressure in the processing chamber 10 to a low pressure of 50 mTorr or less, the collision of ions in the sheath is reduced, so that the directionality of ions is increased during the processing of the sample 40, and vertical fine processing is enabled. . At 5 mTorr or less, in order to obtain the same processing speed, the size of the exhaust device and the high-frequency power source are increased, and more than necessary dissociation occurs due to an increase in the electron temperature, which tends to deteriorate the characteristics.

【0052】一般に、平行平板電極を用いたプラズマ発
生用の電源の周波数と安定的に放電が行われる最低のガ
ス圧力との間には、電源の周波数が高くなるほど、電極
間距離が大きくなるほど、安定放電最低ガス圧が低下す
るという関係がある。周囲の壁や放電閉込めリング37
へのデポ等の悪影響を避け、上部電極カバー30やサセ
プタカバー39や試料中のレジスト等によるフッ素や酸
素を除去する効果を有効に機能させるために、最高ガス
圧50mTorr時の平均自由工程の30倍以下に対応し
て、電極間距離を50mm程度以下とするのが望ましい。
また、電極間距離として、最高ガス圧(50mTorr)時
の平均自由工程の2〜4倍(3mm〜6mm)程度以上でな
いと、安定な放電が困難となる。
Generally, between the frequency of the power source for plasma generation using the parallel plate electrodes and the lowest gas pressure for stable discharge, the higher the frequency of the power source and the greater the distance between the electrodes, There is a relationship that the minimum gas pressure for stable discharge decreases. Surrounding wall and discharge confinement ring 37
In order to avoid the adverse effect of deposits on the upper electrode cover 30, the susceptor cover 39, and the resist and the like in the sample to effectively remove the fluorine and oxygen, the average free process of 30 at the maximum gas pressure of 50 mTorr is used. It is desirable to set the distance between the electrodes to about 50 mm or less in correspondence with the double or less.
If the distance between the electrodes is not more than about 2 to 4 times (3 mm to 6 mm) of the mean free path at the maximum gas pressure (50 mTorr), stable discharge becomes difficult.

【0053】図2に示す本発明の一実施例では、プラズ
マ発生用高周波電源16として、10MHz〜500MH
z、望ましくは30MHz〜200MHzの高周波電力を用
いるため、処理室内のガス圧力を、5mTorr〜50mTorr
の低圧にしても、安定したプラズマが得られ、微細加工
性を向上させることができる。また、このような高周波
電力を用いることによりガスプラズマの解離が良くな
り、試料加工時の選択比制御が良くなる。
In the embodiment of the present invention shown in FIG. 2, the high frequency power source 16 for plasma generation is 10 MHz to 500 MHz.
Since a high frequency power of z, preferably 30 MHz to 200 MHz is used, the gas pressure in the processing chamber is 5 mTorr to 50 mTorr.
Even if the pressure is low, stable plasma can be obtained, and fine workability can be improved. Further, by using such high-frequency power, the dissociation of gas plasma is improved, and the selectivity control during sample processing is improved.

【0054】ところで、静電吸着膜22は、イオンに対
するパルスバイアスの作用を阻害する様に作用する。本
発明では、パルスバイアスの印加に伴い静電吸着膜22
の両端間に発生する電圧の上昇を抑制し、パルスバイア
スの効果を高めるために、電圧抑制手段を設けたことに
1つの特徴がある。
By the way, the electrostatic adsorption film 22 acts so as to inhibit the action of the pulse bias on the ions. In the present invention, the electrostatic adsorption film 22 is applied with the application of the pulse bias.
One of the features is that the voltage suppressing means is provided in order to suppress the rise of the voltage generated between both ends and to enhance the effect of the pulse bias.

【0055】電圧抑制手段の一例としては、パルスバイ
アスの印加に伴い静電吸着膜の両端間に生ずるバイアス
電圧の一周期中の電圧の変化(VCM)が、パルスバイア
ス電圧の大きさ(Vp)の1/2以下となるように構成す
るのが良い。具体的には、下部電極15の表面に設けら
れた誘電体からなる静電吸着膜の膜厚を薄くしたり、誘
電体を誘電率の大きい材料とすることにより、誘電体の
静電容量を増す方法がある。
As an example of the voltage suppressing means, a change in voltage (V CM ) during one cycle of the bias voltage generated between both ends of the electrostatic adsorption film due to the application of the pulse bias is the magnitude of the pulse bias voltage (V CM ). It is preferable to configure it to be 1/2 or less of p ). Specifically, the electrostatic capacitance of the dielectric is reduced by reducing the thickness of the electrostatic attraction film made of the dielectric provided on the surface of the lower electrode 15 or by using a material having a large dielectric constant. There is a way to increase.

【0056】あるいはまた、他の電圧抑制手段として、
パルスバイアス電圧の周期を短くして電圧VCMの上昇を
抑制する方法もある。さらに、静電吸着回路とパルスバ
イアス電圧印加回路を別な位置、例えば試料が配置保持
される電極とは別の対向する電極、あるいは別に設けた
第三の電極に、分離して設ける方法も考えられる。
Alternatively, as another voltage suppressing means,
There is also a method of suppressing the rise of the voltage V CM by shortening the cycle of the pulse bias voltage. Furthermore, a method in which the electrostatic suction circuit and the pulse bias voltage application circuit are separately provided at different positions, for example, at an opposite electrode different from the electrode on which the sample is arranged and held, or at a third electrode separately provided is also considered. Can be

【0057】次に、本発明における電圧抑制手段により
もたらされるべき、パルスバイアス一周期中の静電吸着
膜の両端間に生じる電圧の変化(VCM)とパルスバイア
ス電圧の関係について、図3〜図9を用いて詳細に述べ
る。
Next, the relationship between the pulse bias voltage and the change in voltage (V CM ) generated across the electrostatic adsorption film during one cycle of the pulse bias, which should be brought about by the voltage suppressing means in the present invention, will be described with reference to FIGS. This will be described in detail with reference to FIG.

【0058】まず、本発明のパルスバイアス電源17に
おいて使用する望ましい出力波形の例を図3に示す。図
中、パルス振幅:vp ,パルス周期:T0 ,正方向パル
ス幅:T1 とする。
First, an example of a desirable output waveform used in the pulse bias power supply 17 of the present invention is shown in FIG. In the figure, the pulse amplitude is v p , the pulse period is T 0 , and the positive direction pulse width is T 1 .

【0059】図3(A)の波形をブロッキングコンデン
サ,静電吸着用誘電体層(以下、静電吸着膜と略称す
る)を経由して試料に印加した時、別の電源によりプラ
ズマを発生させた状態での定常状態での試料表面の電位
波形を図3(B)に示す。
When the waveform of FIG. 3 (A) is applied to the sample via the blocking capacitor and the dielectric layer for electrostatic adsorption (hereinafter abbreviated as electrostatic adsorption film), plasma is generated by another power source. The potential waveform on the surface of the sample in the steady state in this state is shown in FIG.

【0060】 ただし、波形の直流成分電圧 :VDC プラズマのフローティングポテンシャル:Vf 静電吸着膜の両端間に生じる電圧の一周期中の最大電
圧:VCM とする。
However, the direct current component voltage of the waveform: V DC The floating potential of the plasma: V f The maximum voltage in one cycle of the voltage generated across the electrostatic adsorption film is V CM .

【0061】図3(B)中、Vf より正電圧となってい
る(I)なる部分は、主に電子電流のみを引き込んでい
る部分であり、Vf より負の部分は、イオン電流を引き
込んでいる部分,Vf の部分は、電子とイオンとがつり
あっている部分(Vf は通常数V〜十数V)である。
In FIG. 3 (B), the portion (I) where the positive voltage is higher than V f is the portion that mainly draws only the electron current, and the negative portion from V f is the ionic current. The part where Vf is drawn is a part where electrons and ions are balanced ( Vf is usually several V to ten and several V).

【0062】なお、図3(A)および今後の説明では、
ブロッキングコンデンサの容量や試料表面近辺の絶縁体
による容量は静電吸着膜による容量(以下静電吸着容量
と略称する)に比べて十分大きいと仮定している。
Incidentally, in FIG. 3 (A) and the following description,
It is assumed that the capacity of the blocking capacitor and the capacity of the insulator near the surface of the sample are sufficiently larger than the capacity of the electrostatic attraction film (hereinafter simply referred to as electrostatic attraction capacity).

【0063】VCMの値は次の式(数1)で表わされる。The value of V CM is expressed by the following equation (Equation 1).

【0064】[0064]

【数1】 [Equation 1]

【0065】 但し、q:(T0−T1)期間に試料に流入するイオン電流密度(平均値) c:単位面積当りの静電吸着容量(平均値) ii :イオン電流密度, εr :静電吸着膜の比誘電率 d:静電吸着膜の膜厚 ε0 :真空中の誘電率(定数) K:静電吸着膜の電極被覆率(≦1) 図4及び図5に、パルスデューティ比:(T1/T0)は
一定のままT0 を変化させた時の試料表面の電位波形と
イオンエネルギーの確率分布を示す。但し、T01
02:T03:T04:T05=16:8:4:2:1とす
る。
However, q: ion current density (average value) flowing into the sample during the period (T 0 −T 1 ) c: electrostatic adsorption capacity per unit area (average value) i i : ion current density, ε r : Relative permittivity of electrostatic adsorption film d: film thickness of electrostatic adsorption film ε 0 : dielectric constant (constant) in vacuum K: electrode coverage of electrostatic adsorption film (≦ 1) The pulse duty ratio: (T 1 / T 0 ) shows a probability distribution of the potential waveform and ion energy of the sample surface when T 0 is changed while keeping it constant. Where T 01 ,
T 02 : T 03 : T 04 : T 05 = 16: 8: 4: 2: 1.

【0066】図4の(1)に示す様に、パルス周期T0
が大きすぎると、試料表面の電位波形は矩形波から大き
くはずれ、三角波になり、イオンエネルギーは図5に示
すように、低い方から高い方まで一定の分布となり好ま
しくない。
As shown in FIG. 4A, the pulse period T 0
Is too large, the potential waveform on the sample surface deviates greatly from the rectangular wave and becomes a triangular wave, and the ion energy has a constant distribution from the lower side to the higher side, which is not preferable.

【0067】図4の(2)〜(5)に示す様に、パルス
周期T0 を小さくするにつれて、(VCM/vp )は1よ
りも小さな値となり、イオンエネルギー分布も狭くなっ
てゆく。
As shown in (2) to (5) of FIG. 4, as the pulse period T 0 is decreased, (V CM / v p ) becomes a value smaller than 1, and the ion energy distribution also narrows. .

【0068】図4,図5においてT0=T01,TO02
03,T04,T05は、(VCM/vp )=1,0.63,
0.31,0.16,0.08に対応している。
4 and 5, T 0 = T 01 , TO 02 ,
T 03 , T 04 , and T 05 are (V CM / v p ) = 1, 0.63,
It corresponds to 0.31, 0.16, and 0.08.

【0069】次に、パルスのオフ(T0−T1)期間と、
静電吸着膜の両端間に生じる電圧の一周期中の最大電圧
CMの関係を図6に示す。
Next, the pulse off (T 0 -T 1 ) period, and
FIG. 6 shows the relationship between the maximum voltage V CM in one cycle of the voltage generated across the electrostatic adsorption film.

【0070】静電吸着膜として、厚み0.3mmの酸化チ
タン含有アルミナ(εr=10)を用いて電極の約50
%を被膜(K=0.5 )した場合、イオン電流密度ii
=5mA/cm2 の中密度プラズマ中でのVCMの値の変化
を図6の太線(標準条件の線)で示す。
As the electrostatic adsorption film, a titanium oxide-containing alumina having a thickness of 0.3 mm (ε r = 10) was used.
% (K = 0.5), the ion current density i i
The change in the value of V CM in the medium density plasma of = 5 mA / cm 2 is shown by the thick line (standard condition line) in FIG.

【0071】図6から明らかなように、パルスのオフ
(T0−T1)期間が大きくなるにつれ、静電吸着膜の両
端間に生じる電圧VCMはそれに比例して大きな値とな
り、通常使用されるパルス電圧vp 以上になってしま
う。
As is clear from FIG. 6, as the pulse off (T 0 -T 1 ) period becomes longer, the voltage V CM generated across the electrostatic adsorption film becomes a larger value in proportion to it, which is normally used. The applied pulse voltage becomes vp or more.

【0072】例えば、プラズマエッチング装置において
は、ダメージ,下地やマスクとの選択性,形状等により
通常、 ゲートエッチングでは 20volt ≦ vp ≦100volt メタルエッチングでは 50volt ≦ vp ≦200volt 酸化膜エッチングでは 250volt ≦ vp ≦800volt に制限される。
[0072] For example, in a plasma etching apparatus, damage, selectivity with the base or the mask, typically the shape or the like, in the gate etch 250Volt ≦ a 50volt ≦ v p ≦ 200volt oxide film etched by 20volt ≦ v p ≦ 100volt metal etching Limited to v p ≤ 800 volt.

【0073】後述の(VCM/vp )≦0.5の条件を満
たそうとすると標準状態では、(T0−T1)の上限は次
のようになる。
When the condition of (V CM / v p ) ≦ 0.5 described later is to be satisfied, the upper limit of (T 0 −T 1 ) is as follows in the standard state.

【0074】ゲートエッチングでは (T0−T1)≦
0.15μs メタルエッチングでは (T0−T1)≦0.35μs 酸化膜エッチングでは (T0−T1)≦1.2μs ところで、T0が0.1μs に近くなると、イオンシー
スのインピーダンスがプラズマのインピーダンスに近づ
くかそれ以下となるため、不要なプラズマの発生を生じ
ると共に、バイアス電源がイオンの加速に有効に使われ
なくなってくる。このため、バイアス電源によるイオン
エネルギーの制御性が悪化するため、T0は、0.1μ
s以上、好ましくは0.2μs以上が良い。
For gate etching, (T 0 −T 1 ) ≦
0.15μs metal in the etching (T 0 -T 1) ≦ 0.35μs oxide film in the etching (T 0 -T 1) ≦ 1.2μs Incidentally, when T 0 is close to 0.1 .mu.s, the impedance of the ion sheath plasma Since the impedance becomes close to or lower than the impedance of, the plasma is generated undesirably and the bias power supply is not effectively used for accelerating ions. Therefore, since the control of the ion energy by the bias power source is degraded, T 0 is, 0.1 [mu]
s or more, preferably 0.2 μs or more.

【0075】従って、vp を低くおさえられるゲートエ
ッチャ等においては、静電吸着膜の材料を比誘電率が1
0〜100と高いもの、(例えばTa23でεr =2
5)に変えたり、絶縁耐圧を低下させず膜厚を薄く、例
えば10μm〜400μm、望ましくは10μm〜10
0μmにしたりする必要がある。
Therefore, in a gate etcher or the like in which v p can be kept low, the dielectric constant of the material of the electrostatic adsorption film is 1
0 to 100 (eg, ε r = 2 in Ta 2 O 3)
5) or a thin film thickness without lowering the withstand voltage, for example, 10 μm to 400 μm, preferably 10 μm to 10 μm.
It needs to be 0 μm.

【0076】図6には、単位面積当りの静電容量cを、
それぞれ2.5倍、5倍、10倍に増加させた時のVCM
の値も併記した。静電吸着膜の改善を行っても現状では
静電容量cを数倍にする改善が限度とみられ、VCM≦3
00 volt、c≦10c0とすると、0.1μs≦(T0
1)≦10μsとなる。
In FIG. 6, the electrostatic capacity c per unit area is
V CM when increased by 2.5 times, 5 times and 10 times respectively
The value of is also shown. Even if the electrostatic adsorption film is improved, at present it is considered that the improvement of the capacitance c by several times is the limit, and V CM ≦ 3
Assuming that 00 volt and c ≦ 10c 0 , 0.1 μs ≦ (T 0
T 1 ) ≦ 10 μs.

【0077】イオンの加速によりプラズマ処理に有効な
部分は(T0−T1)の部分であり、パルスデューティ
(T1/T0)としてはできるだけ小さい方が好ましい。
The portion effective for plasma treatment by the acceleration of ions is the portion (T 0 -T 1 ), and the pulse duty (T 1 / T 0 ) is preferably as small as possible.

【0078】時間平均も加味した、プラズマ処理の効率
として(VDC/vp)で見積ったのが、図7である。(T
1/T0)を小さくし、(VDC/vp)を大きくするのが
好ましい。
FIG. 7 shows the efficiency of the plasma treatment estimated by (V DC / v p ) in consideration of the time average. (T
It is preferable to decrease 1 / T 0 ) and increase (V DC / v p ).

【0079】プラズマ処理の効率として0.5≦(VDC
/vp)を仮定し、後述の条件、(VCM/vp )≦0.5
を入れると、パルスデューディは、(T1/T0)≦0.
4程度となる。
The plasma processing efficiency is 0.5 ≦ (V DC
/ V p ) and the following condition, (V CM / v p ) ≦ 0.5
, The pulse duty is (T 1 / T 0 ) ≦ 0.
It will be about 4.

【0080】なお、パルスデューディ(T1/T0)は小
さいほどイオンエネルギーの制御に有効であるが、必要
以上に小さくするとパルス幅T1が0.05μs程度の
小さい値となり、数十MHzの周波数成分を多く含むよう
になり、後述するような、プラズマ発生用高周波成分と
の分離も難しくなる。図7に示すように、0≦(T1
0)≦0.05間での(VDC/vp)の低下はわずかで
あり、(T1/T0)として0.05以上で特に問題は生
じない。
The smaller the pulse duty (T 1 / T 0 ) is, the more effective it is to control the ion energy. However, if it is made smaller than necessary, the pulse width T 1 becomes a small value of about 0.05 μs, which is several tens of MHz. Therefore, it becomes difficult to separate it from the high frequency component for plasma generation, which will be described later. As shown in FIG. 7, 0 ≦ (T 1 /
When (T 0 ) ≦ 0.05, the decrease of (V DC / v p ) is slight, and when (T 1 / T 0 ) is 0.05 or more, no particular problem occurs.

【0081】ここで図8に、ゲートエッチングの例とし
て、塩素ガス10mTをプラズマ化した時のシリコンと下
地の塩化膜とのエッチングレートESiおよびESiO
2のイオンエネルギー依存性を示す。シリコンのエッチ
ングレートESiは低イオンエネルギーでは一定値にな
る。イオンエネルギーが10V程度以上では、イオンエ
ネルギーの増加に従って、ESiも増加する。一方下地
となる酸化膜のエッチングレートESiO2は、イオン
エネルギーが20V程度以下では0であり、20V程度
を越えると、イオンエネルギーと共にESiO2は増加
する。
Here, as an example of gate etching, FIG. 8 shows etching rates ESi and ESiO between silicon and an underlying chloride film when chlorine gas of 10 mT is turned into plasma.
2 shows the ion energy dependence of 2 . The silicon etching rate ESi has a constant value at low ion energy. When the ion energy is about 10 V or more, ESi increases as the ion energy increases. On the other hand, the etching rate ESiO 2 of the underlying oxide film is 0 when the ion energy is about 20 V or less, and when it exceeds about 20 V, ESiO 2 increases with the ion energy.

【0082】その結果、イオンエネルギーが20V程度
以下では下地との選択比ESi/ESiO2が∞となる
領域が存在する。イオンエネルギーが20V程度以上で
は、下地との選択比ESi/ESiO2は、イオンエネ
ルギーの増加と共に急速に低下する。
As a result, when the ion energy is about 20 V or less, there is a region where the selection ratio ESi / ESio 2 with respect to the base becomes ∞. When the ion energy is about 20 V or more, the selectivity ESi / ESiO 2 with respect to the base rapidly decreases as the ion energy increases.

【0083】図9は、絶縁膜の一種である酸化膜(Si
2 ,BPSG,HISO等)のエッチングの例とし
て、C4F8ガス10mTをプラズマ化した時の、酸化膜
とシリコンとのエチングレートESiO2および、ES
iのイオンエネルギー分布を示すものである。
FIG. 9 shows an oxide film (Si
As an example of etching (O 2 , BPSG, HISO, etc.), an etching rate ESiO 2 and ES of an oxide film and silicon when C 4 F 8 gas 10 mT is turned into plasma
It shows the ion energy distribution of i.

【0084】酸化膜のエッチングレートESiO2は、
低イオンエネルギーでは負の値となり、デポを生じる。
イオンエネルギーが400V付近にてESiO2が急速
に正に立ち上がり、その後は、徐々に増加する。一方下
地となるシリコンのエッチングレートESiは、ESi
2よりイオンエネルギーの高い所で(−)(エッチン
グ)から(+)(エッチング)となり徐々に増加する。
The etching rate ESiO 2 of the oxide film is
At low ion energies, the value is negative and a depot occurs.
When the ion energy is around 400 V, ESiO 2 rises rapidly and positively, and thereafter gradually increases. On the other hand, the etching rate ESi of the underlying silicon is ESi
At a place where the ion energy is higher than O 2 , (−) (etching) is changed to (+) (etching), and gradually increases.

【0085】この結果、ESiO2が(−)から(+)に変
化する付近にて、下地との選択比ESiO2/ESiが
∞ となり、それ以上でESiO2/ESiはイオンエネ
ルギーの増加と共に急速に低下する。
As a result, in the vicinity of the change of ESiO 2 from (-) to (+), the selection ratio ESiO 2 / ESi with the base is
、, above which ESiO 2 / ESi drops rapidly with increasing ion energy.

【0086】図8、図9で、実際のプロセスへの適用に
対しては、ESiやESiO2の値や、ESi/ESi
2や、ESiO2/ESiの値の大きさを考慮して、バ
イアス電源を調整してイオンエネルギーを適正値にす
る。
In FIG. 8 and FIG. 9, the values of ESi and ESiO 2 and ESi / ESi are applied to the actual process.
The ion energy is adjusted to an appropriate value by adjusting the bias power source in consideration of the magnitudes of O 2 and ESiO 2 / ESi.

【0087】また、ジャストエッチング(下地膜が現わ
れるまでのエッチング)まではエッチングレートの大き
さを優先し、ジャストエッチ後は選択比の大きさを優先
してイオンエネルギーをジャストエッチの前後に変更す
れば、更に良い特性が得られる。多層膜をエッチングす
るとき場合等においては、ジャストエッチング迄におい
てもイオンエネルギ−値を変化させ各タイミングにおい
て最適値に設定すれば、特性の改善がはかれる。
Further, until just etching (etching until the underlying film appears), the size of the etching rate is prioritized, and after the just etching, the ion energy is changed before and after the just etching by prioritizing the size of the selection ratio. If so, better characteristics can be obtained. In the case of etching a multilayer film, the characteristics can be improved by changing the ion energy value even before just etching and setting the optimum value at each timing.

【0088】ところで図8、図9に示した特性は、イオ
ンのエネルギー分布が狭い部分に限定された時の特性で
ある。イオンのエネルギー分布が広い場合の各エッチン
グレートはその時間平均値となるため、最適値に設定す
ることが出来ず、選択比は大幅に低下してしまう。
The characteristics shown in FIGS. 8 and 9 are characteristics when the ion energy distribution is limited to a narrow portion. When the energy distribution of ions is wide, each etching rate becomes the time average value, so that it cannot be set to the optimum value, and the selectivity is greatly reduced.

【0089】実験によると、(VDC/vp)は0.3以
下程度であれば、イオンエネルギーの広がりは±15%
程度以下となり、図8や図9の特性でも高い選択比が得
られた。また、(VDC/vp)≦0.5であれば、従来
の正弦波バイアス法に比べて選択比等の改善が図れた。
According to the experiment, if (V DC / v p ) is about 0.3 or less, the spread of ion energy is ± 15%.
It was below the level, and a high selection ratio was obtained with the characteristics shown in FIGS. Further, if (V DC / v p ) ≦ 0.5, the selection ratio and the like were improved as compared with the conventional sinusoidal bias method.

【0090】このように、静電吸着膜の両端間に生じる
パルス電圧の一周期中の電圧変化(VCM)を抑える電圧抑
制手段として、VCMが、パルスバイアス電圧の大きさv
pの1/2以下となるように構成するのが良く、具体的
には、下部電極15の表面に設けられた誘電体の静電チ
ャック膜22の膜厚を薄くしたり、誘電体を誘電率の大
きい材料とすることにより、誘電体の容量を増すことが
できる。あるいは、パルスバイアス電圧の周期を、0.
1μs〜10μs、好ましくは0.2μs〜5μs(繰
り返し周波数:0.2MHz〜5MHzに対応)と短くし、パ
ルスデューディ(T1/T0)を、0.05≦(T1
0)≦=0.4として静電吸着膜の両端の電圧変化を
抑制する。
As described above, as a voltage suppressing means for suppressing the voltage change (V CM ) during one cycle of the pulse voltage generated between both ends of the electrostatic adsorption film, V CM is the magnitude v of the pulse bias voltage.
It is preferable that the thickness is set to be equal to or less than 1/2 of p . Specifically, the thickness of the dielectric electrostatic chuck film 22 provided on the surface of the lower electrode 15 is reduced, By using a material having a high rate, the capacity of the dielectric can be increased. Alternatively, the cycle of the pulse bias voltage is set to 0.
1 μs to 10 μs, preferably 0.2 μs to 5 μs (corresponding to a repetition frequency of 0.2 MHz to 5 MHz), and the pulse duty (T 1 / T 0 ) is set to 0.05 ≦ (T 1 /
T 0 ) ≦ = 0.4 is set to suppress the voltage change across the electrostatic adsorption film.

【0091】あるいはまた、上記誘電体の静電吸着膜の
膜厚と、誘電体の比誘電率及びパルスバイアス電圧の周
期の幾つかを組み合わせて、静電吸着膜の両端間に生じ
る電圧VCMの変化が上記した(VCM/vp )≦0.5の
条件を満たすようにしても良い。
Alternatively, by combining the film thickness of the electrostatic attraction film of the dielectric and some of the dielectric constant of the dielectric and the period of the pulse bias voltage, the voltage V CM generated across the electrostatic attraction film is obtained. May satisfy the condition of (V CM / v p ) ≦ 0.5 described above.

【0092】次に、図2の真空処理室を、酸化膜膜(例
えばSiO2, SiN,BPSG等)のエッチングに用
いた他の実施例について述べる。
Next, another embodiment in which the vacuum processing chamber shown in FIG. 2 is used for etching an oxide film (eg, SiO2, SiN, BPSG) will be described.

【0093】ガス19としては、C4F8:1〜5%,
Ar:90〜95%,O2:0〜5% もしくは、C4F8:1〜5%,Ar:70〜90%,
2:0〜5%,CO:10〜20%,の組成のものを
用いる。プラズマ発生用高周波電源16としては、従来
よりも高い周波数、例えば40MHzのものを用い、1
0mTorr〜30mTorrの低ガス圧領域での放電の安定化を
計る。
As the gas 19, C 4 F 8: 1 to 5%,
Ar: 90~95%, O 2: 0~5% or, C 4 F8: 1~5%, Ar: 70~90%,
A composition having O 2 : 0 to 5% and CO 10 to 20% is used. As the high frequency power source 16 for plasma generation, one having a higher frequency than the conventional one, for example, 40 MHz is used.
The discharge is stabilized in the low gas pressure region of 0 mTorr to 30 mTorr.

【0094】また、プラズマ源用高周波電源の変調周期
は、通常、パルスバイアスの周期に比べ長くなる。そこ
で、プラズマ源用高周波電源の変調周期をパルスバイア
スの周期の整数倍にし、2つの間の位相を最適化するこ
とにより、選択比の改善ができた。
The modulation cycle of the high frequency power source for plasma source is usually longer than the cycle of pulse bias. Therefore, the modulation ratio of the high-frequency power source for plasma source is set to an integral multiple of the period of the pulse bias, and the phase between the two is optimized to improve the selection ratio.

【0095】一方、パルスバイアス電圧の印加によっ
て、プラズマ中のイオンを試料に加速、垂直入射させる
ことにより、イオンエネルギーの制御を行う。パルスバ
イアス電源17として、例えば、パルス周期:T=0.
65μs、パルス幅:T1=0.15μs、パルス振
幅:Vp=600Vの電源を用いることにより、イオン
エネルギーの分布幅は±15%以下になり、下地のSi
やSiNとの選択比として20〜50の特性の良いプラ
ズマ処理が可能になった。
On the other hand, the ion energy is controlled by accelerating and vertically injecting the ions in the plasma into the sample by applying the pulse bias voltage. As the pulse bias power supply 17, for example, a pulse cycle: T = 0.
By using a power source of 65 μs, pulse width: T 1 = 0.15 μs, and pulse amplitude: Vp = 600 V, the ion energy distribution width becomes ± 15% or less, and
Plasma processing with a good selection ratio of 20 to 50 with respect to SiN or SiN has become possible.

【0096】次に、図10により本発明の他の実施例を
説明する。この実施例は、図2に示した平行平板電極型
のプラズマエッチング装置と同様な構成であるが、試料
40を保持する下部電極15は、単極式の静電チャック
20を備えた構成となっている。すなわち、下部電極1
5の上表面に静電吸着用誘電体層22が設けられ、下部
電極15には、高周波成分カット用のコイル24を介し
て直流電源23のプラス側が接続されている。また、2
0V〜800Vの正のパルスバイアスを供給するパルス
バイアス電源17が、ブロッキングコンデンサ19を介
して接続されている。
Next, another embodiment of the present invention will be described with reference to FIG. This embodiment has the same configuration as the parallel plate electrode type plasma etching apparatus shown in FIG. 2, but the lower electrode 15 holding the sample 40 is provided with a unipolar electrostatic chuck 20. ing. That is, the lower electrode 1
A dielectric layer 22 for electrostatic attraction is provided on an upper surface of the DC power supply 5, and a lower side of the DC power supply 23 is connected to the lower electrode 15 via a coil 24 for cutting high frequency components. Also, 2
A pulse bias power supply 17 that supplies a positive pulse bias of 0 V to 800 V is connected via a blocking capacitor 19.

【0097】処理の対象物である試料40は、下部電極
15の上に載置され、静電チャック20、すなわち直流
電源23による正電荷とプラズマから供給される負電荷
により静電吸着膜22の両端間に生じるクーロン力によ
り吸着される。
The sample 40 to be processed is placed on the lower electrode 15, and the electrostatic chuck 20, that is, the DC charge 23 supplies the positive charge and the negative charge supplied from the plasma to the electrostatic chuck film 22. It is adsorbed by the Coulomb force generated between both ends.

【0098】この装置の作用は、図2に示した平行平板
電極型のプラズマエッチング装置と同様であり、エッチ
ング処理を行う場合、処理を行なうべき試料40を試料
台15に載置し、静電力で保持し、ガス供給系36から
処理室10に処理ガスを所定の流量で導入しながら、他
方真空ポンプ18により真空排気することにより、処理
室10の圧力を試料の処理圧力、5mTorr〜50mTorrに
減圧排気する。次に、10MHz〜500MHz、好ましく
は30MHz〜200MHzの高周波電源16の出力は高周
波電源変調信号源161によりレベル変調し、平行平板
電極12,15間に印加してプラズマを発生させる。一
方、下部電極15に、パルスバイアス電源17から20
V〜800V、周期が0.1μs〜10μs好ましくは
0.2μs〜5μsの正のパルスバイアス電圧を印加し、
処理室10内のプラズマを制御して試料40にエッチン
グ処理を行う。
The operation of this apparatus is the same as that of the parallel plate electrode type plasma etching apparatus shown in FIG. 2. When performing the etching process, the sample 40 to be processed is placed on the sample table 15 and electrostatic force is applied. The pressure in the processing chamber 10 is reduced to 5 mTorr to 50 mTorr by introducing the processing gas from the gas supply system 36 into the processing chamber 10 at a predetermined flow rate while evacuating the chamber with the vacuum pump 18. Evacuate under reduced pressure. Next, the output of the high frequency power source 16 of 10 MHz to 500 MHz, preferably 30 MHz to 200 MHz is level-modulated by the high frequency power source modulation signal source 161, and applied between the parallel plate electrodes 12 and 15 to generate plasma. On the other hand, the lower electrode 15 is provided with pulse bias power supplies 17 to 20.
A positive pulse bias voltage of V to 800 V and a period of 0.1 μs to 10 μs, preferably 0.2 μs to 5 μs is applied,
The plasma in the processing chamber 10 is controlled to etch the sample 40.

【0099】高周波電源16は、高周波電源変調信号源
161により、パルス幅1〜50μs、パルス周期40
μs〜10ms、パルスデュティ比(パルス幅/パルス
周期)として1/5〜1/50でパルス変調されてお
り、パルス幅部分の瞬時電力をその他のタイミング部分
の瞬時電力の2倍〜100倍の強さで加え、パルス幅の
部分で準安定原子を効率良く生成させる。一方前記のそ
の他のタイミング部分では、前記準安定原子の存在のも
とに比較的低い瞬時電力の高周波電力を印加し電離の促
進を図り高密度のプラズマを得るとともに、15eV以
上の高いエネルギ−の電子の生成を押さえて処理ガスの
過剰解離を阻止し、プラズマ処理に望ましい比較的低い
10eV程度以下の電子エネルギ−での解離を促進させ
る。その結果イオンとラジカルの量と質を所望の値に制
御することが出来る。また、パルスバイアス電圧の印加
によって、プラズマ中のイオンもしくはイオン及び及び
電子を試料に加速、垂直入射させることにより、高精度
の形状制御あるいは選択比制御を行う。高周波電源1
6、高周波電源変調信号源161、パルスバイアス電源
17及び静電吸着膜22に必要な特性は図2の実施例と
同様であり、詳細は省略する。
The high-frequency power source 16 uses the high-frequency power source modulation signal source 161 to generate a pulse width of 1 to 50 μs and a pulse period of 40.
μs to 10 ms, pulse duty ratio (pulse width / pulse period) of 1/5 to 1/50 is pulse-modulated, and the instantaneous power of the pulse width portion is 2 to 100 times stronger than the instantaneous power of other timing portions. In addition, metastable atoms are efficiently generated in the pulse width portion. On the other hand, in the other timing portions, in the presence of the metastable atoms, high-frequency power having a relatively low instantaneous power is applied to promote ionization to obtain high-density plasma, and high energy of 15 eV or more. The generation of electrons is suppressed to prevent the excessive dissociation of the processing gas, and the dissociation is promoted at an electron energy of 10 eV or less, which is relatively low for plasma processing. As a result, the quantity and quality of ions and radicals can be controlled to desired values. Further, by applying a pulse bias voltage, ions in the plasma or ions and electrons are accelerated and vertically incident on the sample, thereby performing highly accurate shape control or selection ratio control. High frequency power supply 1
6, the characteristics required for the high frequency power supply modulation signal source 161, the pulse bias power supply 17, and the electrostatic adsorption film 22 are the same as those in the embodiment of FIG.

【0100】以上述べた本発明の他の実施例において、
パルスバイアス電源の出力とプラズマ発生用電源の出力
との間に干渉が生ずる可能性も考えられる。そこで、以
下、この対策についてのべる。
In another embodiment of the present invention described above,
Interference may occur between the output of the pulse bias power supply and the output of the plasma generation power supply. Therefore, the following will describe this measure.

【0101】まず、パルス幅:T1,パルス周期:T0
無限大の立上り/立下り速度をもつ理想的な矩形パルス
においては、図11に示す様に、f≦3f0(f0=(1
/T1))の周波数範囲に70〜80%程度の電力が含ま
れる。実際に印加される波形は、立上り/立下り速度が
有限となるため、電力の収束性は更に改善され、f≦3
0の周波数範囲に90%程度以上の電力が含まれる様
にできる。
First, in an ideal rectangular pulse having a pulse width: T 1 and a pulse period: T 0 and an infinite rise / fall speed, as shown in FIG. 11, f ≦ 3f 0 (f 0 = (1
/ T 1 )) includes about 70 to 80% of the power. Since the actually applied waveform has a finite rise / fall speed, the power convergence is further improved, and f ≦ 3
The frequency range of f 0 can include about 90% or more of electric power.

【0102】3f0 なる高い周波数成分をもつパルスバ
イアスを試料面内に均一に印加される様にするために
は、試料にほぼ平行な対向電極を設け、次式数2で求ま
る3f0に対して、f≦3f0 なる範囲の周波数成分を
接地することが望ましい。
In order to uniformly apply a pulse bias having a high frequency component of 3f 0 to the sample surface, a counter electrode substantially parallel to the sample is provided, and 3f 0 obtained by the following equation 2 is obtained. Therefore, it is desirable to ground the frequency component in the range of f ≦ 3f 0 .

【0103】[0103]

【数2】 [Equation 2]

【0104】図2に示した平行平板電極プラズマエッチ
ング装置に関して、上記パルスバイアス電源出力とプラ
ズマ発生用電源出力との干渉の対策を行った実施例を図
12に示す。この平行平板電極プラズマエッチング装置
において、試料40と対向する上部電極12には、プラ
ズマ発生用高周波電源16が接続される。この上部電極
12をパルスバイアスの接地レベルにするには、プラズ
マ発生用高周波電源16の周波数f1 を上記の3f0
り大きくし、かつ、f≦f1 付近でのインピーダンスが
大きく、他の周波数ではインピーダンスが低い、バンド
エリミネータ141を上部電極12と接試レベルとの間
に接続する。
FIG. 12 shows an embodiment of the parallel plate electrode plasma etching apparatus shown in FIG. 2, in which measures against interference between the pulse bias power source output and the plasma generating power source output are taken. In this parallel plate electrode plasma etching apparatus, a high frequency power supply 16 for plasma generation is connected to the upper electrode 12 facing the sample 40. In order to bring the upper electrode 12 to the ground level of the pulse bias, the frequency f 1 of the high frequency power source 16 for plasma generation is set to be larger than the above 3f 0 , and the impedance in the vicinity of f ≦ f 1 is large, so that other frequencies are not used. Then, the band eliminator 141 having a low impedance is connected between the upper electrode 12 and the contact level.

【0105】一方、f=f1 付近でのインピーダンスが
低く、他の周波数はインピーダンスが高いバンドパスフ
ィルタ142を、試料台15と接地レベル間に設置す
る。このような構成を用いれば、パルスバイアス電源1
7の出力とプラズマ発生用電源16出力との間の干渉
を、問題のないレベルに抑え、試料40に良好なバイア
スを加えることができる。
On the other hand, a bandpass filter 142 having a low impedance near f = f 1 and a high impedance at other frequencies is installed between the sample stage 15 and the ground level. With such a configuration, the pulse bias power supply 1
The interference between the output of the sample 7 and the output of the power supply 16 for plasma generation can be suppressed to a level without any problem, and a good bias can be applied to the sample 40.

【0106】図13は本発明を、外部エネルギー供給放
電方式のうち、誘導結合型放電方式でかつ、無磁場タイ
プのプラズマエッチング装置へ適用した例である。52
は平面コイル、54は平面コイルに10MHz〜250MH
zの高周波電圧を印加する高周波電源であり、高周波電
源変調信号源161により、パルス幅1〜50μs、パ
ルス周期20μs〜10ms、パルスデュティ比(パル
ス幅/パルス周期)として1/3〜1/50でパルス変
調されており、パルス幅部分の瞬時電力をその他のタイ
ミング部分の瞬時電力の2倍〜100倍の強さで加え、
パルス幅の部分で準安定原子を効率良く生成させる。一
方前記のその他のタイミング部分では、前記準安定原子
の存在のもとに比較的低い瞬時電力の高周波電力を印加
し電離の促進を図り高密度のプラズマを得るとともに、
15eV以上の高いエネルギ−の電子の生成を押さえて
処理ガスの過剰解離を阻止し、プラズマ処理に望ましい
比較的低い10eV程度以下の電子エネルギ−での解離
を促進させる。その結果イオンとラジカルの量と質を所
望の値に制御することが出来る。。
FIG. 13 shows an example in which the present invention is applied to a plasma etching apparatus of an inductively coupled discharge method and a non-magnetic field type among external energy supply discharge methods. 52
Is a flat coil, 54 is a flat coil with 10 MHz to 250 MHz
A high-frequency power source for applying a high-frequency voltage of z. The high-frequency power source modulation signal source 161 has a pulse width of 1 to 50 μs, a pulse period of 20 μs to 10 ms, and a pulse duty ratio (pulse width / pulse period) of 1/3 to 1/50. It is pulse-modulated, and the instantaneous power of the pulse width part is added with the strength of 2 to 100 times the instantaneous power of other timing parts.
Metastable atoms are efficiently generated in the pulse width portion. On the other hand, in the other timing part, a high frequency plasma of relatively low instantaneous power is applied in the presence of the metastable atoms to promote ionization and obtain a high density plasma,
The generation of electrons with high energy of 15 eV or more is suppressed to prevent excessive dissociation of the processing gas, and the dissociation with electron energy of about 10 eV or less, which is relatively low for plasma processing, is promoted. As a result, the quantity and quality of ions and radicals can be controlled to desired values. .

【0107】誘導結合型放電方式は図10に示した平行
平板型に比べ、低い周波数でかつ低圧での安定なプラズ
マ発生が可能になる。真空容器としての処理室10は、
静電吸着膜22の上に試料40が載置される試料台15
を備えている。
Compared with the parallel plate type shown in FIG. 10, the inductively coupled discharge method enables stable plasma generation at low frequency and low pressure. The processing chamber 10 as a vacuum container is
The sample table 15 on which the sample 40 is placed on the electrostatic adsorption film 22.
It has.

【0108】エッチング処理を行う場合、処理を行なう
べき試料40を試料台15に載置し、静電力で保持し、
ガス供給系(図示せず)から処理室10に処理ガスを所
定の流量で導入しながら、他方真空ポンプにより真空排
気することにより、処理室10の圧力を5mTorr〜50m
Torrに減圧排気する。次に、高周波電源54よりレベル
変調された13.56MHzの高周波電圧出力し処理室1
0にプラズマを発生させる。このプラズマを用いて試料
40をエッチング処理する。他方、エッチング時には、
下部電極15に、周期が0.1μs〜10μs好ましくは
0.2μs〜5μsのパルスバイアス電圧を印加する。パ
ルスバイアス電圧の振幅は、膜種により範囲が異なるこ
とは図2の実施例で述べたとおりである。このパルスバ
イアス電圧の印加によって、プラズマ中のイオンを試料
に加速、垂直入射させることにより、高精度の形状制御
あるいは選択比制御を行う。これにより、試料のレジス
トマスクパターンが極微細なものであっても、高精度の
エッチング処理を行うことができる。
When performing the etching process, the sample 40 to be processed is placed on the sample table 15 and held by electrostatic force.
While introducing the processing gas from the gas supply system (not shown) into the processing chamber 10 at a predetermined flow rate, the pressure in the processing chamber 10 is reduced to 5 mTorr to 50 m by evacuating by the vacuum pump.
Evacuate to Torr. Next, the high-frequency power supply 54 outputs a high-frequency voltage of 13.56 MHz, which has been level-modulated, to the processing chamber 1
Plasma is generated at 0. The sample 40 is etched using the plasma. On the other hand, during etching,
A pulse bias voltage having a period of 0.1 μs to 10 μs, preferably 0.2 μs to 5 μs is applied to the lower electrode 15. The amplitude range of the pulse bias voltage varies depending on the film type, as described in the embodiment of FIG. By applying the pulse bias voltage, ions in the plasma are accelerated and vertically incident on the sample, thereby performing highly accurate shape control or selectivity control. Thus, even if the resist mask pattern of the sample is extremely fine, highly accurate etching can be performed.

【0109】図14は、図13に示した誘導結合型放電
方式無磁場タイプのプラズマエッチング装置において、
誘導電高周波出力の処理室側10に、隙間55を有する
ファラデーシールド板53と、0.5mm〜5mmの薄いシ
ールド板保護用絶縁板54を設置しており、そのファラ
デーシールド板53を接地する。ファラデーシールド板
53の設置によって、コイルとプラズマ間の容量成分が
少なくなり、図13におけるコイル52下の石英板や図
14のシールド板保護用絶縁板54を叩くイオンのエネ
ルギーを低下することが出来、石英板や絶縁板の損傷を
少なくすると共に、プラズマ中への異物の混入を防ぐこ
とが出来る。
FIG. 14 shows the induction-coupling type discharge type non-magnetic type plasma etching apparatus shown in FIG.
A Faraday shield plate 53 having a gap 55 and a thin shield plate protection insulating plate 54 of 0.5 mm to 5 mm are installed on the processing chamber side 10 of the induction electric high frequency output, and the Faraday shield plate 53 is grounded. By installing the Faraday shield plate 53, the capacitance component between the coil and the plasma is reduced, and the energy of the ions hitting the quartz plate under the coil 52 in FIG. 13 and the shield plate insulating plate 54 in FIG. 14 can be reduced. It is possible to reduce damage to the quartz plate and the insulating plate and prevent foreign matter from entering the plasma.

【0110】また、ファラデーシールド板53は、パル
スバイアス電源17の接地電極の役目も兼ねるため、試
料40とファラデーシールド板53との間に均一にパル
スバイアスを印加することが出来る。図14の例では、
平行平板型の上部電極や試料台15に設置するフィルタ
は不要である。
Further, since the Faraday shield plate 53 also serves as the ground electrode of the pulse bias power source 17, the pulse bias can be applied uniformly between the sample 40 and the Faraday shield plate 53. In the example of FIG.
No parallel plate type upper electrode or a filter installed on the sample table 15 is required.

【0111】次に、図15に示した本発明の他の実施例
により、従来の欠点を改善し、イオンとラジカル生成の
量と質を制御し、極微細なプラズマ処理を可能とするプ
ラズマエッチング装置の他の実施例について述べる。
Next, according to another embodiment of the present invention shown in FIG. 15, plasma etching which improves conventional defects, controls the amount and quality of ion and radical generation, and enables ultrafine plasma processing. Another embodiment of the apparatus will be described.

【0112】すなわち、試料を設置している真空処理室
の上流側で真空処理室とは別の場所に第一のプラズマ生
成を行う場所を設定し、そこで生成した準安定原子を真
空処理室に注入し、真空処理室にて第二のプラズマを生
成する構成としている。図2に示した平行平板プラズマ
エッチング装置に加えて、イオン・ラジカル源用ガス供
給部60と、準安定原子発生用プラズマ発生室62を備
えている。また上部電極12には、準安定原子を含むガ
スを真空処理室に導入するル−トのほかに、イオン・ラ
ジカル源用ガス供給部に繋がっている導入ル−トを設け
ている。
That is, on the upstream side of the vacuum processing chamber in which the sample is installed, a place where the first plasma is generated is set at a place different from the vacuum processing chamber, and the metastable atoms generated there are set in the vacuum processing chamber. The plasma is injected and the second plasma is generated in the vacuum processing chamber. In addition to the parallel plate plasma etching apparatus shown in FIG. 2, an ion / radical source gas supply unit 60 and a metastable atom generation plasma generation chamber 62 are provided. In addition to the route for introducing the gas containing metastable atoms into the vacuum processing chamber, the upper electrode 12 is provided with an introduction route connected to the gas supply unit for the ion / radical source.

【0113】この実施例の特徴は、次の通りである。The features of this embodiment are as follows.

【0114】 準安定原子発生用ガス供給部36から
供給されたガスを準安定原子発生用プラズマ発生室62
にて高周波電力を印加してプラズマ化し、あらかじめ所
望の準安定原子を所望量発生させ処理室10に流入させ
る。準安定原子発生用プラズマ発生室62は、効率良く
準安定原子を発生させるために、室内の圧力は、数百mT
orr〜数十Torrの高い圧力に設定する。
The gas supplied from the metastable atom generation gas supply unit 36 is supplied to the metastable atom generation plasma generation chamber 62.
At high frequency, high frequency power is applied to generate plasma, and a desired amount of desired metastable atoms are generated in advance and flow into the processing chamber 10. The plasma generation chamber 62 for generating metastable atoms has a pressure of several hundred mT in order to efficiently generate metastable atoms.
Set to a high pressure of orr to several tens of Torr.

【0115】 他方、イオン・ラジカル源用ガス供給
部60からのガスを処理室10に流入させる。
On the other hand, the gas from the ion / radical source gas supply unit 60 is caused to flow into the processing chamber 10.

【0116】 プラズマ発生用電源16で比較的低電
力の高周波を出力し、処理室10にプラズマを発生させ
る。準安定原子の注入により、5eV程度以下の低エネ
ルギ−の電子でもイオンを効率良く生成させることがで
きるため、低電子温度(6eV程度以下、好ましくは4
eV程度以下)で、かつ15eV程度以上の高エネルギ
−電子が大幅に少ないプラズマが得られる。このため、
ラジカル源用ガスは過剰な解離を生じさせることなく、
必要な量と質を確保出来る。一方イオンの量は、準安定
原子発生用プラズマ発生室62にて発生する準安定原子
の量と、イオン・ラジカル源用ガス供給部60からのイ
オン源用ガスにて制御することができる。
The plasma generation power source 16 outputs a high frequency of relatively low power to generate plasma in the processing chamber 10. By implanting metastable atoms, ions can be efficiently generated even with low energy electrons of about 5 eV or less, so that low electron temperature (about 6 eV or less, preferably 4 eV or less) is obtained.
(eV or less) and a plasma having a high energy of about 15 eV or more and significantly less electrons can be obtained. For this reason,
The radical source gas does not cause excessive dissociation,
We can secure the necessary quantity and quality. On the other hand, the amount of ions can be controlled by the amount of metastable atoms generated in the plasma generation chamber 62 for generating metastable atoms and the ion source gas from the ion / radical source gas supply unit 60.

【0117】このようにしてイオンとラジカル生成の質
や量を制御できる様になるため、極微細なプラズマ処理
においても良好な性能が得られる。ラジカル源用ガスと
しては、CHF3、CH2F2,C4F8あるいはCF
4などのフルオロカ−ボンガスに、必要に応じてC,H
を含むガス(C2H4,CH4,CH3OHなど)を混
ぜてもちいる。準安定原子発生用ガスとしては、1種類
ないしは2種類の希ガスをべ−スにしたものを用いる。
イオン源用ガスとしては、下記の性質を持つ希ガス等を
用いることにより、効率良くイオンを生成できる。
Since the quality and quantity of ions and radicals produced can be controlled in this way, good performance can be obtained even in ultrafine plasma processing. As the radical source gas, CHF3, CH2F2, C4F8 or CF
4 or other fluorocarbon gas, if necessary,
(C2H4, CH4, CH3OH, etc.). As the gas for generating metastable atoms, a gas based on one or two kinds of rare gases is used.
By using a rare gas or the like having the following properties as an ion source gas, ions can be efficiently generated.

【0118】前記準安定原子のエネルギ−凖位に対し、
イオン源用ガスの電離凖位が低いもの。もしくは、イオ
ン源用ガスの電離凖位の方が高いが、その差が小さい
(5eV程度以下)ものが用いられる。
With respect to the energy-level of the metastable atom,
A low ionization level of the ion source gas. Alternatively, an ion source gas having a higher ionization potential but a smaller difference (about 5 eV or less) is used.

【0119】尚、性能的には低下するがイオン源用ガス
として特に追加せず、上記準安定原子発生用ガスやラジ
カル源用ガスで代用することもできる。
Although the performance is deteriorated, the gas for metastable atom generation or the gas for radical source may be used as a substitute for the gas for ion source.

【0120】次に、図16にイオンとラジカル生成の質
や量を制御する本発明の他の実施例を示す。図15と基
本的考えは、同じであるが、図15において、準安定原
子発生用プラズマ室62と真空処理室10との間の距離
が長く、この間での準安定原子の減衰が大きい場合の対
策として実施する例である。41はマイクロ波の発振源
としてのマグネトロン、42はマイクロ波の導波管であ
り、43は第一のプラズマ生成室45を真空封じして、
マイクロ波を通過させるための石英板であり、44はガ
ス分散用の石英板である。第一のプラズマ生成室45で
は、数100mTorrから数10Torrのガス圧で
前記マイクロ波によりプラズマを発生させ、準安定原子
を発生させる。図16では、図15に比較し準安定原子
の発生場所と真空処理室間の距離を短く出来るため、高
い密度で準安定原子を真空処理室に注入することがで
き、真空処理室10におけるイオンの量を増加できる。
処理室10は5〜50mTorrの圧力に保ち、20MH
z以上の高周波電源16により、5eV好ましくは3e
V以下で10の10乗から11乗台/cm3の高密度低
電子温度プラズマを発生させ、解離エネルギ−として8
eV以上を必要とするCF2の解離を避けつつ、イオン
源用ガスの電離を進行させる。この結果、試料40の表
面上では、バイアス電源17により数100Vで加速さ
れたイオンの入射でアシストされた下記反応が主に進行
する。
Next, FIG. 16 shows another embodiment of the present invention for controlling the quality and quantity of ion and radical formation. Although the basic idea is the same as that of FIG. 15, in FIG. This is an example implemented as a countermeasure. Reference numeral 41 is a magnetron as a microwave oscillation source, 42 is a microwave waveguide, and 43 is a first plasma generation chamber 45 which is vacuum-sealed.
Reference numeral 44 denotes a quartz plate for passing microwaves, and reference numeral 44 denotes a quartz plate for gas dispersion. In the first plasma generation chamber 45, plasma is generated by the microwave at a gas pressure of several hundred mTorr to several tens Torr, and metastable atoms are generated. In FIG. 16, the distance between the place where the metastable atoms are generated and the vacuum processing chamber can be shortened as compared with FIG. The amount of can be increased.
The processing chamber 10 is maintained at a pressure of 5 to 50 mTorr, and 20 MH
5 eV, preferably 3 e by a high frequency power source 16 of z or more
A high density low electron temperature plasma of 10 10 to 11 11 / cm 3 is generated at V or less, and the dissociation energy is 8
Ionization of the ion source gas is promoted while avoiding dissociation of CF2 that requires eV or more. As a result, the following reaction mainly progresses on the surface of the sample 40, assisted by the incidence of ions accelerated by the bias power supply 17 at several hundred volts.

【0121】 SiO2+2CF2 → SiF4 ↑+2CO ↑ なお、下地材料となるSiやSiNは、CF2ではエッ
チングされないため、高選択比の酸化膜エッチングが可
能となった。
SiO2 + 2CF2 → SiF4 ↑ + 2CO ↑ Since Si and SiN, which are the base materials, are not etched by CF2, the oxide film can be etched with a high selectivity.

【0122】また、CF2の一部解離によるFの増加
は、シリコン、カ−ボンもしくはSiC等からなる上部
電極カバ−30により減少させている。
The increase in F due to the partial dissociation of CF2 is reduced by the upper electrode cover 30 made of silicon, carbon, SiC or the like.

【0123】上で述べたように、ラジカル源用ガスとイ
オン源用ガスとを調節することにより、処理室10内で
のイオンとラジカルとの比率をほぼ独立に制御でき、試
料40の表面での反応を所望のものにコントロ−ルする
ことが容易になった。
As described above, by adjusting the radical source gas and the ion source gas, the ratio of ions and radicals in the processing chamber 10 can be controlled almost independently, and the surface of the sample 40 can be controlled. It became easier to control the reaction of to the desired one.

【0124】本発明の、静電吸着回路とパルスバイアス
電圧印加回路を備えたプラズマ処理装置は、エッチング
ガスに代えてCVDガスを導入する等の変更を加えるこ
とにより、以上述べたエッチング処理に限らずCVD装
置等のプラズマ処理装置にも適用できる。
The plasma processing apparatus of the present invention equipped with the electrostatic attraction circuit and the pulse bias voltage application circuit is limited to the above-described etching processing by making changes such as introducing the CVD gas instead of the etching gas. Instead, it can be applied to a plasma processing apparatus such as a CVD apparatus.

【0125】[0125]

【発明の効果】本発明によれば、イオンとラジカル生成
の質や量を制御できるため、被処理物に適した処理条件
を構築出来る。
According to the present invention, since the quality and quantity of ions and radicals produced can be controlled, processing conditions suitable for the object to be processed can be established.

【0126】また本発明のバイアスを印加することによ
り、被処理物に入射するイオンにたいし、そのエネルギ
ー分布が狭い状態で制御性良くイオンを加速でき、プラ
ズマ処理の選択性と処理速度等を向上させたプラズマ処
理装置及びプラズマ処理方法を提供することができる。
By applying the bias of the present invention, the ions incident on the object to be processed can be accelerated with good controllability in a state where the energy distribution is narrow, and the selectivity and the processing speed of the plasma processing can be improved. It is possible to provide an improved plasma processing apparatus and plasma processing method.

【0127】また、静電吸着用誘電体層を有する試料台
を使用する場合において、イオンとラジカル生成の量や
質を制御し、制御性良く狭いイオンエネルギー分布を得
るとともに、被処理物の温度を所定温度に制御し表面反
応の均一化高性能化が得られ、プラズマ処理の選択性と
処理速度等を向上させたプラズマ処理装置及びプラズマ
処理方法を提供することができる。
When a sample table having a dielectric layer for electrostatic adsorption is used, the amount and quality of ions and radicals produced are controlled to obtain a narrow ion energy distribution with good controllability and the temperature of the object to be treated. It is possible to provide a plasma processing apparatus and a plasma processing method in which the surface reaction is controlled to be a predetermined temperature, the surface reaction is made uniform, and the performance is improved, and the selectivity and the processing speed of the plasma processing are improved.

【0128】また、イオンとラジカル生成の量や質を制
御することにより、プラズマ処理装置の処理室内の圧力
を低くして、微細パターンの精密な加工が容易で、ま
た、微細加工時の選択比等も向上させたプラズマ処理装
置及びプラズマ処理方法を提供することができる。
Further, by controlling the quantity and quality of the generated ions and radicals, the pressure in the processing chamber of the plasma processing apparatus can be lowered to facilitate the precise processing of fine patterns, and the selection ratio during fine processing. It is possible to provide a plasma processing apparatus and a plasma processing method in which the above are improved.

【0129】また、イオンとラジカル生成の量や質を制
御することにより、試料中の絶縁膜(例えばSiO2,
SiN,BPSG等)に対するプラズマ処理の選択性等
を、処理速度を低下することなく、向上させたプラズマ
処理装置及びプラズマ処理方法を提供することができ
る。
By controlling the amount and quality of ions and radicals produced, the insulating film (eg SiO2,
It is possible to provide a plasma processing apparatus and a plasma processing method in which the selectivity of plasma processing with respect to SiN, BPSG, etc.) is improved without lowering the processing speed.

【図面の簡単な説明】[Brief description of drawings]

【図1】電子エネルギ−分布の違いを説明する図であ
る。
FIG. 1 is a diagram illustrating a difference in electron energy distribution.

【図2】本発明の一実施例になる平行平板電極型のプラ
ズマエッチング装置の縦断面図である。
FIG. 2 is a vertical sectional view of a parallel plate electrode type plasma etching apparatus according to an embodiment of the present invention.

【図3】本発明のパルスバイアス電源において使用する
望ましい出力波形の例を示す図である。
FIG. 3 is a diagram showing an example of a desirable output waveform used in the pulse bias power supply of the present invention.

【図4】パルスデューティ比:(T1/T0)は一定のま
まT0 を変化させた時の試料表面の電位波形とイオンエ
ネルギーの確率分布を示す図である。
FIG. 4 is a diagram showing a probability distribution of an ion energy and a potential waveform on a sample surface when T 0 is changed while keeping a pulse duty ratio (T 1 / T 0 ) constant.

【図5】パルスデューティ比を一定のまま、T0 を変化
させた時の試料表面の電位波形とイオンエネルギーの確
率分布を示す図である。
FIG. 5 is a diagram showing a potential distribution on a sample surface and a probability distribution of ion energy when T 0 is changed while keeping a pulse duty ratio constant.

【図6】パルスのオフ(T0−T1)期間と、静電吸着膜
の両端間に生じる電圧の一周期中の最大電圧VCMの関係
を示す図である。
FIG. 6 is a diagram showing the relationship between the pulse off (T 0 -T 1 ) period and the maximum voltage V CM during one cycle of the voltage generated across the electrostatic adsorption film.

【図7】パルスデューティ比と(VDC/vp )の関係を
示す図である。
FIG. 7 is a diagram showing a relationship between a pulse duty ratio and (V DC / v p ).

【図8】塩素ガス5mTをプラズマ化した時のシリコンと
塩化膜とのエッチングレートESiおよびESiO2
イオンエネルギー依存性を示す図である。
FIG. 8 is a diagram showing ion energy dependence of etching rates ESi and ESiO 2 of silicon and a chloride film when chlorine gas of 5 mT is turned into plasma.

【図9】酸化膜のエッチングの例としてCF4ガス5mT
をプラズマ化した時の、酸化膜とシリコンとのエチング
レートESiO2および、ESiのイオンエネルギー分
布を示す図である。
FIG. 9: CF4 gas 5 mT as an example of etching an oxide film
FIG. 3 is a diagram showing an ion energy distribution of an etching rate ESiO 2 between an oxide film and silicon and ESi when plasma is generated.

【図10】本発明の他の実施例になる平行平板電極型の
プラズマエッチング装置の縦断面図である。
FIG. 10 is a vertical cross-sectional view of a parallel plate electrode type plasma etching apparatus according to another embodiment of the present invention.

【図11】パルスバイアス電源の周波数と累積電力の関
係を示した図である。
FIG. 11 is a diagram showing a relationship between a frequency of a pulse bias power supply and accumulated power.

【図12】図1に示した平行平板電極プラズマエッチン
グ装置を改良した他の実施例の縦断面図である。
FIG. 12 is a vertical cross-sectional view of another embodiment in which the parallel plate electrode plasma etching apparatus shown in FIG. 1 is improved.

【図13】本発明を、外部エネルギー供給放電方式のう
ち、誘導結合型放電方式でかつ、無磁場タイプのプラズ
マエッチング装置へ適用した例の縦断面図である。
FIG. 13 is a vertical cross-sectional view of an example in which the present invention is applied to a plasma etching apparatus of an inductively coupled discharge method and a non-magnetic field type among external energy supply discharge methods.

【図14】本発明の他の実施例になる、プラズマエッチ
ング装置の縦断面図である。
FIG. 14 is a vertical sectional view of a plasma etching apparatus according to another embodiment of the present invention.

【図15】本発明の他の実施例になる、平行平板プラズ
マエッチング装置の縦断面図である。
FIG. 15 is a vertical sectional view of a parallel plate plasma etching apparatus according to another embodiment of the present invention.

【図16】本発明をマイクロ波+平行平板プラズマ処理
装置に適用した装置の一部を縦断面した正面図である。
FIG. 16 is a vertical cross-sectional front view of a part of an apparatus in which the present invention is applied to a microwave + parallel plate plasma processing apparatus.

【符号の説明】[Explanation of symbols]

10…処理室、12…上部電極、15…下部電極、16
…高周波電源、17…パルスバイアス電源、20…静電
チャック、22…静電吸着膜、23…直流電源、40…
試料、41…マイクロ波発振源、42…導波管、43…
石英板、44…ガス分散用の石英板、45…第一のプラ
ズマ生成室、161…高周波電源変調信号源。
10 processing chamber, 12 upper electrode, 15 lower electrode, 16
... high frequency power supply, 17 ... pulse bias power supply, 20 ... electrostatic chuck, 22 ... electrostatic attraction film, 23 ... DC power supply, 40 ...
Sample, 41 ... Microwave oscillation source, 42 ... Waveguide, 43 ...
Quartz plate, 44 ... Quartz plate for gas dispersion, 45 ... First plasma generating chamber, 161, ... High frequency power source modulation signal source.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 渡辺 克哉 山口県下松市大字東豊井794番地 株式会 社日立製作所笠戸工場内 ─────────────────────────────────────────────────── ─── Continuation of front page (72) Inventor Katsuya Watanabe 794 Azuma Higashitoyo, Kudamatsu City, Yamaguchi Prefecture Stock company Hitachi Kasado Factory

Claims (12)

【特許請求の範囲】[Claims] 【請求項1】真空処理室と、 該真空処理室内で処理される試料を配置するための試料
台と、 前記試料を静電吸着力によって前記試料台に保持する静
電吸着手段と、 前記試料にバイアス電圧を印加するバイアス印加手段
と、 前記真空処理室の内もしくは外にプラズマを発生させる
第一のプラズマ生成手段と、 前記真空処理室内にプラズマを発生させる第二のプラズ
マ生成手段とを具備したことを特徴とするプラズマ処理
装置。
1. A vacuum processing chamber, a sample stage for placing a sample to be processed in the vacuum processing chamber, electrostatic adsorption means for holding the sample on the sample stage by electrostatic adsorption force, and the sample. A first plasma generating means for generating plasma inside or outside the vacuum processing chamber; and a second plasma generating means for generating plasma in the vacuum processing chamber. A plasma processing apparatus characterized by the above.
【請求項2】請求項1記載のプラズマ処理装置におい
て、 前記第一のプラズマ生成手段の瞬時供給電力が、前記第
二のプラズマ生成手段の瞬時供給電力の2倍以上でかつ
100倍以下であることを特徴とするプラズマ処理装
置。
2. The plasma processing apparatus according to claim 1, wherein the instantaneous power supply of the first plasma generating means is at least twice and not more than 100 times the instantaneous power supply of the second plasma generating means. A plasma processing apparatus characterized by the above.
【請求項3】請求項1記載のプラズマ処理装置におい
て、 前記第一のプラズマ生成手段の供給電力源と、前記第二
のプラズマ生成手段の供給電力源を、パルス変調された
一つの高周波電源とすることを特徴とするプラズマ処理
装置。
3. The plasma processing apparatus according to claim 1, wherein the power supply source of the first plasma generating means and the power supply source of the second plasma generating means are one pulse-modulated high frequency power source. A plasma processing apparatus characterized in that.
【請求項4】請求項1記載のプラズマ処理装置におい
て、 前記第一のプラズマを発生させる部分の圧力を、前記第
二のプラズマを発生させる圧力に比べ、2倍以上に設定
することを特徴とするプラズマ処理装置。
4. The plasma processing apparatus according to claim 1, wherein the pressure of the portion for generating the first plasma is set to be at least twice as high as the pressure for generating the second plasma. Plasma processing apparatus.
【請求項5】請求項1記載のプラズマ処理装置におい
て、 前記試料にパルスバイアス電圧を印加するパルスバイア
ス印加手段を備え、前記プラズマ生成源として10MHz
〜500MHzの高周波電圧を印加するとともに、前記真
空処理室を5mTorr〜50mTorrに減圧するように構成し
たことを特徴とするプラズマ処理装置。
5. The plasma processing apparatus according to claim 1, further comprising pulse bias applying means for applying a pulse bias voltage to the sample, wherein the plasma generation source is 10 MHz.
A plasma processing apparatus characterized in that a high frequency voltage of up to 500 MHz is applied and the vacuum processing chamber is decompressed to 5 mTorr to 50 mTorr.
【請求項6】請求項5記載のプラズマ処理装置におい
て、 一方の電極に試料が配置される一対の対向する電極と、
該試料のエッチング時に前記一方の電極に、250V〜
800Vのパルス振幅と0.05〜0.4のデューティ
比を有するパルスバイアス電圧を印加するパルスバイア
ス印加手段とを備え、前記試料中の絶縁膜を前記真空処
理室中のプラズマを用いて処理するように構成したこと
を特徴とするプラズマ処理装置。
6. The plasma processing apparatus according to claim 5, wherein a pair of opposed electrodes on one side of which a sample is arranged,
During the etching of the sample, 250 V to
A pulse bias applying means for applying a pulse bias voltage having a pulse amplitude of 800 V and a duty ratio of 0.05 to 0.4 is provided, and the insulating film in the sample is processed using plasma in the vacuum processing chamber. A plasma processing apparatus having the above structure.
【請求項7】真空処理室内に設置された試料台に試料を
配置するステップと、該配置され試料を静電吸着力によ
って前記試料台に保持するステップと、ガスを導入する
ステップと、該導入されたガスをプラズマ化する第一の
プラズマ化のステップと、少なくとも該第一のプラズマ
化のステップでプラズマ化されたガスを含んで前記試料
が配置された雰囲気にてさらにプラズマ化する第二のプ
ラズマ化のステップと、前記プラズマにより前記試料を
エッチングするステップと、該エッチング時に、試料に
バイアス電圧を印加するステップとを有する事を特徴と
するプラズマ処理方法。
7. A step of placing a sample on a sample stage installed in a vacuum processing chamber, a step of holding the placed sample on the sample stage by electrostatic attraction, a step of introducing gas, and a step of introducing the gas. A first plasma-converting step of converting the generated gas into a plasma, and a second plasma-converting in an atmosphere in which the sample is disposed, containing at least the gas converted into a plasma in the first plasma-converting step. A plasma processing method comprising: a step of converting to plasma, a step of etching the sample with the plasma, and a step of applying a bias voltage to the sample during the etching.
【請求項8】請求項7記載のプラズマ処理方法におい
て、 前記第一のプラズマ化のステップの瞬時供給電力が、前
記第二のプラズマ化のステップの瞬時供給電力の2倍以
上でかつ100倍以下で用いることを特徴とするプラズ
マ処理方法。
8. The plasma processing method according to claim 7, wherein the instantaneous supply power of the first plasma conversion step is at least twice and not more than 100 times the instantaneous supply power of the second plasma conversion step. A plasma processing method characterized by being used in.
【請求項9】請求項7記載のプラズマ処理方法におい
て、 前記第一のプラズマ化のステップの供給電力源と、前記
第二のプラズマ化のステップの供給電力源を、パルス変
調された一つの高周波電源を用いることを特徴とするプ
ラズマ処理方法。
9. The plasma processing method according to claim 7, wherein the power supply source for the first plasma conversion step and the power supply source for the second plasma conversion step are one pulse-modulated high frequency wave. A plasma processing method characterized by using a power supply.
【請求項10】請求項7記載のプラズマ処理方法におい
て、 前記第一のプラズマを発生させる部分の圧力を、前記第
二のプラズマを発生させる圧力の2倍以上にして使用す
ることを特徴とするプラズマ処理方法。
10. The plasma processing method according to claim 7, wherein the pressure of the portion for generating the first plasma is set to be at least twice the pressure for generating the second plasma before use. Plasma processing method.
【請求項11】請求項7記載のプラズマ処理方法におい
て、 前記試料にパルスバイアス電圧を印加するパルスバイア
ス印加ステップを備え、前記プラズマ生成源として10
MHz〜500MHzの高周波電圧を印加するとともに、前
記真空処理室を5mTorr〜50mTorrに減圧することを特
徴とするプラズマ処理方法。
11. The plasma processing method according to claim 7, further comprising a pulse bias applying step of applying a pulse bias voltage to the sample, wherein the plasma generating source includes a pulse bias applying step.
A plasma processing method comprising applying a high-frequency voltage of MHZ to 500 MHZ and depressurizing the vacuum processing chamber to 5 mTorr to 50 mTorr.
【請求項12】請求項11記載のプラズマ処理方法にお
いて、 一方の電極に試料が配置される一対の対向する電極と、
該試料のエッチング時に前記一方の電極に、250V〜
800Vのパルス振幅と0.05〜0.4のデューティ
比を有するパルスバイアス電圧を印加するパルスバイア
ス印加ステップとを備え、前記試料中の絶縁膜を前記真
空処理室内のプラズマを用いて処理することを特徴とす
るプラズマ処理方法。
12. The plasma processing method according to claim 11, wherein a pair of opposed electrodes on one side of which a sample is arranged,
During the etching of the sample, 250 V to
A pulse bias applying step of applying a pulse bias voltage having a pulse amplitude of 800 V and a duty ratio of 0.05 to 0.4, and treating the insulating film in the sample with plasma in the vacuum treatment chamber. And a plasma processing method.
JP14256696A 1996-06-05 1996-06-05 Plasma processing apparatus and plasma processing method Expired - Fee Related JP3319285B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP14256696A JP3319285B2 (en) 1996-06-05 1996-06-05 Plasma processing apparatus and plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP14256696A JP3319285B2 (en) 1996-06-05 1996-06-05 Plasma processing apparatus and plasma processing method

Publications (2)

Publication Number Publication Date
JPH09326383A true JPH09326383A (en) 1997-12-16
JP3319285B2 JP3319285B2 (en) 2002-08-26

Family

ID=15318314

Family Applications (1)

Application Number Title Priority Date Filing Date
JP14256696A Expired - Fee Related JP3319285B2 (en) 1996-06-05 1996-06-05 Plasma processing apparatus and plasma processing method

Country Status (1)

Country Link
JP (1) JP3319285B2 (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002075692A (en) * 2000-04-26 2002-03-15 Unaxis Balzer Ag Plasma reactor
JP2002526919A (en) * 1998-09-30 2002-08-20 ラム リサーチ コーポレーション Method for plasma etching dielectric material
JP2003506865A (en) * 1999-07-30 2003-02-18 東京エレクトロン株式会社 Etching rate enhancement method by controlling background oxygen of soft etching system
WO2005124844A1 (en) 2004-06-21 2005-12-29 Tokyo Electron Limited Plasma processing device amd method
US7048869B2 (en) 1998-10-12 2006-05-23 Hitachi, Ltd. Plasma processing apparatus and a plasma processing method
JP2009187862A (en) * 2008-02-08 2009-08-20 Ushio Inc Plasma generator
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
KR100971799B1 (en) * 2004-06-21 2010-07-22 도쿄엘렉트론가부시키가이샤 Plasma processing device, plasma processing method and computer readable storage medium
WO2010102125A3 (en) * 2009-03-05 2011-01-06 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US8128831B2 (en) 2005-12-28 2012-03-06 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
JP2012104382A (en) * 2010-11-10 2012-05-31 Tokyo Electron Ltd Plasma treatment apparatus, plasma treatment method, and plasma treatment bias voltage determination method
JP2012513093A (en) * 2008-12-19 2012-06-07 ラム リサーチ コーポレーション Method and apparatus for double confinement and ultra high pressure in a plasma chamber with adjustable gap
US8252193B2 (en) 2007-03-27 2012-08-28 Kabushiki Kaisha Toshiba Plasma processing apparatus of substrate and plasma processing method thereof
JP2012525712A (en) * 2009-05-01 2012-10-22 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド Method and apparatus for controlling ion energy distribution
US20130313443A1 (en) * 2008-12-04 2013-11-28 Varian Semiconductor Equipment Associates, Inc. Excited gas injection for ion implant control
KR101361217B1 (en) * 2009-09-29 2014-02-10 가부시끼가이샤 도시바 Substrate processing device and substrate processing method
US10014192B2 (en) 2011-07-20 2018-07-03 Lam Research Corporation Apparatus for atomic layering etching
JP2019197891A (en) * 2018-05-10 2019-11-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method of controlling ion energy distribution using pulse generator with current-return output stage
JP2020501351A (en) * 2016-12-12 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Generation of ion energy distribution function (IEDF)

Cited By (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002526919A (en) * 1998-09-30 2002-08-20 ラム リサーチ コーポレーション Method for plasma etching dielectric material
US7048869B2 (en) 1998-10-12 2006-05-23 Hitachi, Ltd. Plasma processing apparatus and a plasma processing method
JP2003506865A (en) * 1999-07-30 2003-02-18 東京エレクトロン株式会社 Etching rate enhancement method by controlling background oxygen of soft etching system
JP2010067615A (en) * 2000-04-26 2010-03-25 Oc Oerlikon Balzers Ag Plasma reactor
US9045828B2 (en) 2000-04-26 2015-06-02 Tel Solar Ag RF plasma reactor having a distribution chamber with at least one grid
JP2002075692A (en) * 2000-04-26 2002-03-15 Unaxis Balzer Ag Plasma reactor
KR100971799B1 (en) * 2004-06-21 2010-07-22 도쿄엘렉트론가부시키가이샤 Plasma processing device, plasma processing method and computer readable storage medium
KR101248709B1 (en) * 2004-06-21 2013-04-02 도쿄엘렉트론가부시키가이샤 Plasma processing device
US10854431B2 (en) 2004-06-21 2020-12-01 Tokyo Electron Limited Plasma processing apparatus and method
KR100952521B1 (en) * 2004-06-21 2010-04-12 도쿄엘렉트론가부시키가이샤 Plasma processing device, plasma processing method and computer readable storage medium
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
EP1780777A1 (en) * 2004-06-21 2007-05-02 Tokyo Electron Ltd. Plasma processing device amd method
US10546727B2 (en) 2004-06-21 2020-01-28 Tokyo Electron Limited Plasma processing apparatus and method
US10529539B2 (en) 2004-06-21 2020-01-07 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
CN102263001A (en) * 2004-06-21 2011-11-30 东京毅力科创株式会社 Plasma processing device amd method
US9490105B2 (en) 2004-06-21 2016-11-08 Tokyo Electron Limited Plasma processing apparatus and method
US8137471B2 (en) 2004-06-21 2012-03-20 Tokyo Electron Limited Plasma processing apparatus and method
WO2005124844A1 (en) 2004-06-21 2005-12-29 Tokyo Electron Limited Plasma processing device amd method
TWI447802B (en) * 2004-06-21 2014-08-01 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
US8790490B2 (en) 2004-06-21 2014-07-29 Tokyo Electron Limited Plasma processing apparatus and method
US8603293B2 (en) 2004-06-21 2013-12-10 Tokyo Electron Limited Plasma processing apparatus and method
KR101250717B1 (en) * 2004-06-21 2013-04-03 도쿄엘렉트론가부시키가이샤 Plasma processing device
KR101247833B1 (en) * 2004-06-21 2013-03-26 도쿄엘렉트론가부시키가이샤 Plasma processing method
EP1780777A4 (en) * 2004-06-21 2009-12-16 Tokyo Electron Ltd Plasma processing device amd method
US8128831B2 (en) 2005-12-28 2012-03-06 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8252193B2 (en) 2007-03-27 2012-08-28 Kabushiki Kaisha Toshiba Plasma processing apparatus of substrate and plasma processing method thereof
JP2009187862A (en) * 2008-02-08 2009-08-20 Ushio Inc Plasma generator
US9018829B2 (en) * 2008-12-04 2015-04-28 Varian Semiconductor Equipment Associates, Inc. Excited gas injection for ion implant control
US20130313443A1 (en) * 2008-12-04 2013-11-28 Varian Semiconductor Equipment Associates, Inc. Excited gas injection for ion implant control
JP2012513093A (en) * 2008-12-19 2012-06-07 ラム リサーチ コーポレーション Method and apparatus for double confinement and ultra high pressure in a plasma chamber with adjustable gap
WO2010102161A3 (en) * 2009-03-05 2011-01-13 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8368308B2 (en) 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
WO2010102125A3 (en) * 2009-03-05 2011-01-06 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
JP2012525712A (en) * 2009-05-01 2012-10-22 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド Method and apparatus for controlling ion energy distribution
KR101361217B1 (en) * 2009-09-29 2014-02-10 가부시끼가이샤 도시바 Substrate processing device and substrate processing method
JP2012104382A (en) * 2010-11-10 2012-05-31 Tokyo Electron Ltd Plasma treatment apparatus, plasma treatment method, and plasma treatment bias voltage determination method
US10014192B2 (en) 2011-07-20 2018-07-03 Lam Research Corporation Apparatus for atomic layering etching
JP2020501351A (en) * 2016-12-12 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Generation of ion energy distribution function (IEDF)
JP2023022086A (en) * 2016-12-12 2023-02-14 アプライド マテリアルズ インコーポレイテッド Creating ion energy distribution functions (iedf)
US11728124B2 (en) 2016-12-12 2023-08-15 Applied Materials, Inc. Creating ion energy distribution functions (IEDF)
JP2019197890A (en) * 2018-05-10 2019-11-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method of controlling ion energy distribution using pulse generator with current-return output stage
JP2019197892A (en) * 2018-05-10 2019-11-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method of controlling ion energy distribution using pulse generator with current-return output stage
JP2019197891A (en) * 2018-05-10 2019-11-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method of controlling ion energy distribution using pulse generator with current-return output stage

Also Published As

Publication number Publication date
JP3319285B2 (en) 2002-08-26

Similar Documents

Publication Publication Date Title
JP3319285B2 (en) Plasma processing apparatus and plasma processing method
US6197151B1 (en) Plasma processing apparatus and plasma processing method
US6902683B1 (en) Plasma processing apparatus and plasma processing method
JP3381916B2 (en) Low frequency induction type high frequency plasma reactor
US5618382A (en) High-frequency semiconductor wafer processing apparatus and method
US5607542A (en) Inductively enhanced reactive ion etching
US5272417A (en) Device for plasma process
JP4657473B2 (en) Plasma processing equipment
US7169255B2 (en) Plasma processing apparatus
US20130220549A1 (en) Using positive dc offset of bias rf to neutralize charge build-up of etch features
JP2002507315A (en) Toroidal low-field reactive gas source
JP3499104B2 (en) Plasma processing apparatus and plasma processing method
KR0170387B1 (en) High-frequency semiconductor wafer processing method using a negative self-bias
JP2774367B2 (en) Apparatus and method for plasma process
JPH11274141A (en) Plasma processor and plasma processing method
JPS597212B2 (en) Plasma etching method
JP3663392B2 (en) Plasma etching processing equipment
JP4238050B2 (en) Plasma processing apparatus and processing method
JP3368743B2 (en) Plasma processing apparatus and plasma processing method
JP4388455B2 (en) Plasma etching processing equipment
JP4084335B2 (en) Plasma etching processing equipment
JPH08195379A (en) Plasma processing method and device
JP4018935B2 (en) Plasma processing equipment
JP3278732B2 (en) Etching apparatus and etching method
RU2029411C1 (en) Method of plasma etching of thin films

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080621

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080621

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090621

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees