GB2399890A - Multiple scan chains with output pin sharing - Google Patents

Multiple scan chains with output pin sharing Download PDF

Info

Publication number
GB2399890A
GB2399890A GB0411444A GB0411444A GB2399890A GB 2399890 A GB2399890 A GB 2399890A GB 0411444 A GB0411444 A GB 0411444A GB 0411444 A GB0411444 A GB 0411444A GB 2399890 A GB2399890 A GB 2399890A
Authority
GB
United Kingdom
Prior art keywords
scan
circuit
chains
input
clock signals
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
GB0411444A
Other versions
GB2399890B (en
GB0411444D0 (en
Inventor
Gyoo-Chan Sim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/136,670 external-priority patent/US7249298B2/en
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of GB0411444D0 publication Critical patent/GB0411444D0/en
Publication of GB2399890A publication Critical patent/GB2399890A/en
Application granted granted Critical
Publication of GB2399890B publication Critical patent/GB2399890B/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318558Addressing or selecting of subparts of the device under test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318536Scan chain arrangements, e.g. connections, test bus, analog signals
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318541Scan latches or cell details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318552Clock circuits details

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

A circuit comprises a plurality of scan chains 10/11/12, 20/21/22 etc. each having an output connected to a multiplexer 32, which is connected to an output terminal 34. Each scan chain has an input which is derived from a common input point 30 and an individual clock signal SCK1, SCK2 etc. This architecture reduces pin count on the circuit and allows testing by driving multiple scan vectors through the scan chains from a common input to a common output using multiple test clocks.

Description

-;- 2399890 ,
MULTIPLE SCAN CHAINS WITH PIN SHARING
BACKGROUND
1. Field of the invention
The present invention redates generally to techniques for testing circuits, and more paiticular]y to methods and apparatus for testing with multiple scan chains using pin sharing.
2. Description of the related art
Scan based design is a circuit testing technique that involves converting memory/state elements into scan elements (also referred to as scan cells), and then connecting many of I O these scan elements into one or more seria] shift registers (also referred to as scan chains) that wind through the circuit under test (CUT). Each scan chain typically begins at a scan input, traces forward through numerous scan elements, and terminates at a scan output. The scan architecture allows the circuit under test to be placed in a known data state by serially shifting test data patterns (also referred to as test vectors or scan vectors) into the scan chains through the scan inputs under the control of a scan clock. The operation of the circuit under test can then be observed by using the same scan chains to shift data out through the scan outputs Scan based design has become one of the most widely used design for test (DFT) techniques for testing complicated circuits such as those found on integrated circuits (ICs or "chips"). Scan based design enables the use of automatic test pattern generation (ATPG) techniques to create test vectors that provide efficient fault detection, and reduce the cost of testing ICs.
As integrated circuits become increasingly complex, however, reducing the time, and thus cost, of testing becomes more critical. With some very large scale integration (VLSI) products, e.g., system on chip (SOC), the recurring test cost can be 2, 3 and even 4 times the cost of fabricating the chip itself.
To reduce test application times, techniques involving the use of multiple scan chains have been developed. These techniques divide a single scan chain into multiple chains and allow shorter test vectors to be shifted into the circuit under test in parallel, thereby reducing the test time. Existing ri;altiple scan chain techniques, however, require that additional valuable input/output terminals be dedicated to use as scan inputs/outputs.
Efforts to drive multiple scan chains from a single input have produced techniques that require complicated analysis of scan chain circuits and test vectors, including the recornposition of virtual circuits before ATPG can be utilized. This dramatically increases the testing overhead while only providing slight reduction in scan test time.
SUMMARY
One aspect of the present invention is a circuit comprising a plurality of scan chains having a plurality of scan inputs coupled to arj input terminal, and a plurality of scan clock inputs coupled to receive a plurality of scale clock signals. Another aspect is a method for testing a circuit comprising a plurality of scan chains having a plurality of scan inputs and a plurality of scan outputs, the method comprising: driving the plurality of scan inputs through an input tensional; and clocking the plurality of scan chains with a plurality of scan clock signals.
A further aspect of the present invention is a circuit comprising a plurality of scan chains having a plurality of scan outputs coupled to an output terminal through a multiplexer.
Another aspect is a method for testing a circuit cornprisirg a plurality of scan chains having a plurality of scan inputs and a plurality of scan outputs, the method comprising shifting out data fi-om the plurality of scan outputs through an output terminal.
Yet another aspect of the present invention is a method for loading scan vectors into a circuit comprising a plurality of scan chains having a plurality of scan inputs and a plurality of scan outputs, the method comprising: broadcasting a plurality of scan vectors to the plurality of scan chains; and se]ective]y clocking only the bits of a first one of the scan vectors into a first one of the scan chains.
These and other aspects of the present invention are disclosed and claimed.
BRIEF DESCRIPTION OF THE DRAWINGS
Fig. 1 is a schematic diagram of an example embodiment of a scan chain architecture in accordance with the present invention.
Fig. 2 is a tiring diagram that illustrates the operation of the embodiment of Pig. 1.
Fig. 3 is a schematic diagram of another example embodiment of a scan chain architecture in accordance with the present invention.
Fig. 4. is a diagram of an example embodiment of a clock signal generator in accordance with the present invention.
Fig. 5. is a diagram of another example embodiment of a clock signal generator in accordance with the present invention.
Fig. 6 is a diagram of an example embodiment of a sequence detector in accordance with the present invention for use with the clock signal generator of Fig. 5.
Fig. 7 is a diagram of an example embodiment of a select signal generator in accordance with the present invention.
Fig. 8. is a diagram of act embodunent of a multiplexer for use with the scats chain architecture of Fig. 3.
Fig. 9 is a truth table for the multiplexer of Fig. 8.
Fig. I O is a timing diagram that illustrates the operation of the embodiment of Fig. 3.
Fig. 11 is a timing diagram illustrating the post-clock monitoring method utilized by a - typical ATPG tool.
Fig. 12 illustrates an example embodiment of a scan chain architecture having dummy I O scan cells in accordance with the present invention.
Fig. 13 is a diagram of another embodiment of a scan chain architecture in accordance with the present invention.
Fig. 14 is a trig diagram that illustrates the operation of the embodiment of Fig. 13.
Fig. 15 is a diagram of an embodiment of a select signal generator for a 2-to- 1 output multiplexer in accordance with the present invention.
Fig. 16 is a diagram of an embodiment of a select signal generator for a 4-to-1 output multiplexer in accordance with the present invention.
Fig. 17 is a table showing experimental logic simulation times for some embodiments of scar chain architectures in accordance with the present invention.
Fig. 18 is a table showing experimental fault simulation statistics for some embodiments of scan chain architectures in accordance with the present invention.
Fig. 19 is a table showing experimental peak power consumption for some embodiments of scan chain architectures in accordance with the present invention.
Fig. 20 is a diagram that illustrates how level sensitive scan design (LSSD) scan cells can be used in accordance with the present invention.
DETAILED DESCRIPTION
Fig. I is a schematic diagram of an example embodiment of a scan chain architecture in accordance with the present invention. The design of Pig. 1 includes 'by' scan chains, the first scan chain being formed from scan cells 10, 11 and 12; the second scan chain being formed from scan cells 20, 21 and 22; and so forth, with the final scan chain being formed from scan cells N0, N1 and N2. For illustrative purposes, the scan cells shown in Fig. 1 are D type multiplexed scan flip-flops, each having a primary input D, a primary output Q. a scan input SDI, a scan enable SE, and a clock input which also functions as a scan clock input SCK.
The scan inputs SD] of the first cell of each cham are connected together at a smile scan input tea 30 so that the input to each chain receives the same scan input signal SI.
The Q output of each cell is connected to the SDI input of the next successive cell in its respective chain. In this embodiment, the Q output of the final cell in each chain is connected to a corresponding input of an N-to- I multiplexer 32. The output from multiplexer 32 provides the scan output sigma] SO at scan output terrnmal 34 under the control of select signals Sj (where "J" is a number from I to Log:Nl, and where 1 indicates the upper bound of X). The scan cells within each chain have their clock inputs SCK connected together to receive a corresponding scan clock signal SCKi (where "i" is a number from 1 to N) such that each scan chair has its own scan clock signal. Although not shown in Fig. 1, the scan enable inputs SE of the cells in all chains are connected to allow the cells to operate in all of their operational modes such as functional operation, scan load/unload, scan data apply, and scan sample.
A scan operation of the embodiment of Fig. 1 will now be described with reference to Fig. 2. After a scan enable signal SE (not shown) is activated to place the scan chains in scan mode, the first bit (SIT) of a scan vector for the first chain is applied to the SI input and clocked into the first scan cell 10 by a pulse on the first scan clock signal SCK1. The first bit of each of the vectors for the remaining chains (SI2. . . SIN) are sequentially applied to the SI input and clocked in by pulses on corresponding clock signals SCK2. . . SCKN. This sequence is repeated with the next bit of each vector being clocked into the corresponding scan chain until the complete vector for each chain is loaded. Thus, multiple scan vectors are broadcast to the inputs of multiple scan chains, but only the bits for one specific scan chain are being selectively clocked into that chain by a corresponding one of the scan clock signals.
A scan shift-out operation is performed by sequentially selecting a scan chain output using the multiplexer 32 response to the select signals of Fig. 1, and shifting out the selected scan chain output via the scan output terminal 34.
The interval between times TO and T1 in Fig. 2 is preferably equal to the cycle time for shifting iD a single bit in a prior art scan chain design. That is, the test data Sll, SI2, SI3. . . SIN is provided to the SI input at a rate that is N times faster than in a system in which each scan chain has its OWD input. Thus, test data is shifted into the circuit under test at N tunes the speed of the prior art, but the clock signal SCKi for each individual scan chain operates at tle same frequency it was originally designed for, so it is easy to adapt devices laviu, existing multiple scan chains to work in accordance with the present invention.
The example embodi',nent shown in Fig. ] car,, be modified in many ways, and the present invention is not limited to this embodiment. For example, the embodiment of Fig. 1 is in]enented wit]i the primary output Q of each scan cell is connected to the scats input SDI of the next scan cell in the chain. However, some embodiments, the scan cells might have separate scan outputs SDO, each of which is connected to the SDI input of the next cell.
AISO, the mu]tip]exer 32 could be omitted from the embodiment of Fig. l, albeit at the expense of additional output terrnina]s which would be needed to provide the scan outputs.
I O As a further example, the scan chains could be made up of different types of scan cells, e.g., level sensitive scan design (LSSD) cells as described below.
If a scan chain includes cells made up of both positive and negative edge triggered flip-flops that are driven by the same scan clock, the negative edge triggered flip-flops should precede the positive edge triggered flipflops if the clock signal is an active high signal as shown in Fig. 2. Also, the active edges of the various scan clock signals should not overlap (or in the case of level sensitive scan design (LSSD), the]eve] should not overlap) since only one bit of test data can be on the scan input line at a time. The scan clock signals will typically have minimum pulse widths, and the time required for an output port to perform a strobe operation should be determined with consideration of the delay between the time when a scan clock is applied until the output data reaches the output port.
As described above, the embodiment of Fig. 1 reduces the number of input terminals required to drive a multiple scan chain design. However, N input terminals are required to supply the individual scan clock signals SCK1, SCK 2. . . SCKN, and additional input terminals are needed to supply the select signals Sj. The number of input terminals required for a scan based design in accordance with the present invention can be further reduced by utilizing a clock signal generator 36 and/or a select signal generator 38 as shown in Fig. 3 which illustrates another example embodiment of a scan chain architecture in accordance with the present invention which uses four scan chains 100, 102, 104 and 106 (i.e., N=4).
The clock signal generator 36 generates multiple scan clock signals SCK1, SCK2, SCK3 and SCK4 from a single scan clock signal SCK, thereby reducing the number of input terminals. The select signal generator 38 generates the select signals S1 and S2 responsive to the multiple scan clock signals SCK1, SCK2, SCK3 and SCK4 so as to synchronize the scan chain output selected by the multiplexer 32 with the corresponding scan clock signals.
One embodiment of a scan clock signal generator m accordance with the present invention is shown in Fig. 4. The generator 36A of Fig. 4 includes a chain of four serially connected delay units 40, 42, 44 and 46. The Input of the first delay unit receives a main scan clock signal SCK. The delay units are constructed so that four independent non-overlapping scan clock signals SCKI, SCK2, SCK3 and SCK4 are obtained from the chain of delay units.
Another embodiment of a scan clock signal generator in accordance with the present invention is shown in Fig. 5. The generator 36B of Fig. 5 includes a phase locked loop 47 whirls generates an intermediate scan clock signs] ISCK at four times the frequency of the scan clock SCK. The ISCK signal is applied to one of the inputs of each of four AND gates 0 48, 50, 52, 54. The ISCK signa] also drives a 2-bit counter 56 which generates count signals COUNT] and COUNTS, which in turn, drive a sequence detector 58. Each of the four outputs of sequence detector 58 drives the other input of a corresponding one of the AND gates which generate the scan clock signals SCK1, SCK2, SCK3 and SCK4. An example of a sequence detector 58, which is essentially a 1-of-4 decoder, is shown in Fig. 6.
An embodiment of a select signal generator in accordance with the present invention is slows in Fig. 7. Tle generator 38A of Fig. 7 includes an OR gate 60 having four inputs Welch receive the scan clock signals SCKI, SCK2, SCK3 and SCK4, and an output connected to the clock inputs CK of two D flip-flops 62 and 64. The select signals SI and S2 are taken from the Q outputs of the first and second flip-flops 62 and 64, respectively. The Q output of the first flip-flop 62 is inverted by an inverter 66 and fed back to the D input of flip flop 62 as well as an input of each of OR gate 68 and NAND gate 70. The Q output of the second flip-flop 64 is fed to the other input of each of OR gate 68 and NAND gate 70.
Another NAND gate 72 has its two inputs connected to the outputs of OR gate 68 and NAND gate 70, and its output connected to the D input of flipflop 64. The reset inputs of flip-flops 62 and 64 are commonly connected to a global reset signal SET in the circuit under test, thereby further reducing the number of input terminals required.
An exarnp]e embodiment of a 4-to- I multiplexer 32A for use with the architecture of Fig. 3 is shown in Fig. 8. A truth table for this multiplexer is shown in Fig. 9.
The overall operation of the embodiment of Fig. 3 will now be described with reference to Fig. 10. First, the scan enable signs] SET is activated to place the scan chains in scan mode. Then, the first bit of the scan vector for each scan chain (SIT, SI2, etc.) is sequentially applied to the scan input terminal 30 and clocked into their corresponding scan chains in response to pulses in the individual scan clocks SCKI, SCK2, SCK3 and SCK4 which are generated by the clock signal generator 36 in response to the scan clock signal SCK. After the first bit (SI4) of the scan vector for the fourth scan chain 106 is clocked in, the clock sigma] generator 36 generates another series of pulses in the individual scan clocks SCK I, SCK2, SCK3 and SCK4, and the second bit for each scan chain is sequentially clocked into its corresponding scan chain. Willis cycle repeats until all bits of the scan vectors are clocked into their respective scan chains.
As the scan vectors are being clocked into the scan chain, the multiplexer 32 sequentially selects the outputs from the scan chains in response to the select signals S 1 and S2 generated by the select signal generator 38 so as to sequentially shift the output data SOI, S02, S03 and S04 out through the output terminal 34.
l 0 As with the embodiment of Fig. 1, the embodiment of Fig. 4 can be modified in many ways, and the present invention is not limited by the details of this embodiment. For exam,u]e, the number of scan chains is not limited to four, and in a practical implementation, N would probably be much higher. Moreover, the present invention is not limited to example embodiments of the clock signa] generator and select signal generator shown here.
The clock signal generator 36 and select signa] generator 38 each have independent utility, and a practice] embodiment can irc]ude either of therm both of thern, or neither of them. The same is true for the output multiplexer 32. For example, even if the clock signal generator 36 is utilized, the select signals S1 and S2 can stir] be provided through input tenninals, or they can be generated internally with a select signal generator 38.
The multiplexer 32 also has independent utility, and it can reduce the number of pins required for a scan based design, even if multiple scan clocks are not used. Thus, an output multiplexer in accordance with the present invention can be used with multiple scan chains either by itself, or in combination with multiple scan clocks, a clock signal generator, and select signal generator, etc. If a select signal generator in accordance with the present invention is used to control an output multiplexer, there is a possibility that the multiplexer will still be outputting normal operating data when it should preferably be strobing out test data during a scan operation.
This can be better understood with reference to Fig. 11 which is a timing diagram illustrating the post-clock monitoring method utilized by a typical ATPG tool. The first scan output value is measured for one clock cycle after a scan normal operation. However, no scan clock is applied during this clock cycle. Therefore, the value captured by the last scan cell in each scan chain during a scan normal operation is not applied to the output terminal. When a scan clock is applied, another shift operation takes place, and the first bit of output test data is lost.
To overcome this problem, the first scan output values captured during norms] operation should be held UDti] the next cycle. One possible solution is to insert an extra scan cell ("dummy" scan cell) into each scan chain as shown in Fig. 12. The example circuit of Fig. 12 includes dummy scan cells I 3 and 23 at the end of the two scan chains. The first output bit from each scan chain is discarded.
An additional technique for reducing the number of input terrnina]s in a scan based design in accordance with the present invention is to modify the embodiment of Fig. 1 so that two scan chains are driven from each scan clock signal. This can be accomplished, for example, by using negative edge triggered scan flip-flops in one of the chains and positive ] O edge triggered scan flip-flops in the other chain. Allen, two of the scan clock inputs shown in Fig. 2, e.g., SCKI and SCK 2 can be replaced by a single scan clock signal that has a rising edge when the SI1 data is valid and a falling edge when the SI2 data is valid.
Fig. 13 is a schematic diagram of yet another embodiment of a scan chain architecture in accordance with the present invention. Because the embodiment of Fig. 13 only includes two scan chains, a single scan clock signal SCK can be used as the first clock signal SCKI for the first scan chain, and a single inverter 74 can be used lo generate both the accord clock signa] SCK2 for the other scan chain and the select signs] S for the 2-to-1 multiplexer 32B.
Thus, the scan clock generator and select signal generator are combined into a single circuit element. Fig. 14 is a timing diagram illustrating the operation of the embodiment of Fig. 13.
ID this case, although more pins are required per scan chain, less support circuitry is needed.
The scan chain architectures made possible by the present invention are particularly well suited for application to testing VLSI semiconductors. If a scan chain circuit in accordance with the present invention is fabricated on an integrated circuit chip, very few of the input/output pads on the chip are required for the input and output terminals of the scan chain circuit. Accordingly, fewer terminal pins or balls are required for test purposes when the chip is mounted in a package.
There are also other advantages to the present invention, some of which have been briefly mentioned above. For example, even though test data is shifted into a single scan input at a higher rate, the frequency of the scan clock signa] for each individual scan chain does not increase, so existing scan vectors, which have been produced and verified to operate at certain frequencies, can be used without modification. Thus, there is no increase in overhead associated with other techniques that atternt to increase the frequency of the scan clock signa] or recalculate the scan vectors.
An additional advantage of the present invention is that it can be used with conventional automatic test pattern generation (ATPG) tools for generating scan vectors.
Ellis call be accomplished by creating a virtue] circuit for use with the ATPG tool. The - virtual circuit is preferably a conventional scan design circuit with multiple scan chains, each driven by an independent scan clock. Tile clocks should p,referab]y be asserted with some time intervals, so the proper constraints OF clock timing should be used during ATPG. Thus, the clock signal configuration with the up and down transition timing should preferably be described in the test constraints. The clock timing configuration should preferably be compatible with that used in the select signal generator.
After the scan vectors for this virtua] circuit are generated, they can be then merged into a single scan vector that can be applied to a single scan input in accordance with the present invention. If a clock signal generator and/or select signal generator in accordance with the present invention are used, only a single clock signal would be defined in the scan vectors while other clock signals would be removed. Otherwise, the select signals that l S determine the measuring points of the scan output values would be added in the scan vectors.
A farther advantage of the present invention is that, since the pulses on individual scan clocks are staggered, the peak power consumed during a scan test operation is reduced as compared with a prior art system in which all scan cells are clocked simultaneously.
Yet another advantage of the present invention is that it can be extended to boundary scan designs that only allow a single scan chain, thus, eliminating the problem of long test vector application time.
As discussed above, the present invention reduces the number of input and output tennals required for a scan based design. With a prior art N-chain scan design, N scan input pins, N scan output pins, one scan enable pin, and one clock pin are required. Thus, a tote] of 2N+2 test pins are required. In contrast, an N-chain embodiment of the present invention that utilizes an output multiplexer but applies the scan clock and select signals through separate pins requires one scan input pin, one scan output pin, one scan enable pin, N scan clock input pins, and Log2N1 select signal pins for a total of N+3+Log2N1 pins. If a scan clock generator in accordance with the present invention is added, only one scan clock input pin is needed, and the total number of test pins required drops to 4+ Log zN 1. And if a select signal generator is added, the total number of test pins required is four, regardless of how many scan chains are used. Thus, for a scan based design where N=32, a prior art architecture requires 66 pins whereas the present invention requires as little as four.
Experimental data for some example embodiments of the present invention are illustrated below. Experiments were conducted on a digital core having a gate count of 30,000 including] 862 flip-flops. The following three cases were tested: Case 1: a conventional scan circuit having a single scan chain.
Case 2: a scats circuit having two scan chains in accordance with the present invention.
A 2-to- 1 multiplexer was used to connect the outputs from the scan chains to a single output pin. The select signal generator of Fig. 15 was used to control the 2-to-1 multiplexer.
Dummy shift registers were used each of the scan chains.
Case 3: a scan circuit having four scan chains in accordance with the present I O invention. A 4-to- I multiplexer was used to connect the outputs from the scan chains to a single output pin- The select signal generator of Fig. 16 was used to control the 4-to- 1 multiplexer. Dummy shift registers were used each of the scan chains.
In cases 2 and 3, the scan inputs were shared with an input pin by merging the input signals. Individual scan clock signals were used, i.e., no clock signal generator was riot used.
Using a scan synthesis tool, a scan circuit for case I and a virtua] circuit for each of cases 2 and 3 were generated. Then, scan vectors were generated for each circuit using an ATPG too]. Conditional timing constraints for multiple scan chains with multiple scan clocks were used for cases 2 and 3 during ATPG. The scan vectors for cases 2 and 3 were merged to form single scan vectors.
In all three cases, logic simulations were performed using the scan vectors to validate the merged scan vectors and to compare the test application time. Fault simulation was also performed to examine the fault coverage for each case. Finally, power estimation was performed to estimate the rnaxnum current for each case.
The table in Fig. 17 shows the logic simulation times for all three cases. The logic simulation time listed in the first row is the test application time. Fig. 17 shows that the test application time for cases 2 and 3 are less than half and a quarter, respectively, of that in case 1. The CPU time for logic simulation show similar reductions in actual execution time.
The table of Fig. 18 shows the fault simulation statistics for all three cases. Detected faults are shown as Drop_detected and Drop_potential. Although the total number of faults is slightly different due to circuit differences, the fault coverage for all three cases is almost the same.
Finally, the table of Fig. 19 shows the peak power consumption for all three cases using periodic average power estimation. For comparison purposes, power consumption for the virtual circuits used to generate the scan vectors are shown As illustrated by Fig. 19, the peak power consumption decreases significantly as the number of scan chains in accordance with the present invention increases.
Having described and illustrated the principles of the invention in a preferred emboduneDt thereof, it should be apparent that the invention can be modified in arrangement and detail without departing fi-om such principles. For example, the embodiments described above are shows with multiplexed scan cells, but other types of scan cells such as level sensitive scan design (LSSD) cells or Clocked-Scan cells can be used. To this end, Fig. 20 illustrates how the present invention can be irnp] emented with LSSD scan cells, in which case each scan cell includes inputs for both master clock signals SCKM and slave clock signals O SCKS. Thecircuit of Fig. 20 includes the optional duTrny cells 76 which prevent problems with lost data as discussed above with reference to Figs. 11 and 12. Master clock signals are designated MSCK, while slave clock signals are designated SSCK. Accordingly, such changes and modifications are considered to fall within the scope of the following clalzns.

Claims (18)

  1. CLAIMS: 1. A circuit comprising a plurality of scan chains having a
    plurality of scan outputs coupled to an output terminal through a multiplexer.
  2. 2. A circuit according to Claim 1 wherein the plurality of scan chains have a plurality of scan inputs coupled to an input terminal, and a plurality of scan clock inputs coupled to receive a plurality of scan clock signals.
  3. 3. A circuit according to Claim 2 furthe1 comprising a scan clock signal generator coupled to the plurality of scan chains, and adapted to generate the plurality of scan clock signals responsive to a single scan clock signal.
  4. 4. A circuit according to Claim 3 wherein the plurality of scan clock signals have non-overlapping active edges/levels.
  5. 5. A circuit according to Claim 2 wherein the circuit is an integrated circuit, and the input and output terminals are pads.
  6. 6. A circuit according to Claim 2 wherein the circuit is an integrated circuit mounted in a package, and the input and output terminals are pins.
  7. 7. A circuit according to Claim l wherein each of the scan chains comprises a plurality of multiplexed scan elements.
  8. 8. A circuit according to Claim I wherein each of the scan chains comprises a plurality of clocked scan elements.
  9. 9. A circuit according to Claim 1 wherein: each of the scan chains comprises a plurality of LSSD elements; the plurality of scan clock inputs are master scan clock inputs; the plurality of scan clock signals are master scan clock signals; and ' the plurality of scan chains have a plurality of slave clock inputs coupled to receive a plurality of slave scan clock signals.
  10. 10. A circuit according to Claim 3 wherein the scan clock signal generator comprises a delay chain.
  11. 11. A circuit according to Claim 3 wherein the scan clock signal generator comprises: a phase-locked loop; a counter coupled to the phase- locked loop; and a sequence detector coupled to the counter.
  12. 12. A method for testing a circuit comprising a plurality of scan chains having a plurality of scan inputs and a plurality of scan outputs, the method comprising shifting out data from the plurality of scan outputs through an output terminal.
  13. 13. A method according to Claim 12 wherein shifting out data from the plurality of scan outputs through an output terminal comprises: sequentially selecting one of the plurality of scan outputs; and shifting out data from the selected scan output through the output terminal.
  14. 14. A method according to claim 12 further comprising: driving the plurality of scan inputs through an input terminal; and clocking the plurality of scan chains with a plurality of scan clock signals.
  15. 15. A method according to Claim 14 further comprising generating the plurality of scan clock signals having non-overlapping active edges/levels.
  16. 16. A method according to Claim 14 wherein the circuit is an integrated circuit, and the input and output terminals are pads.
  17. 17. A method according to Claim 14 wherein the circuit is an integrated circuit, and the input and output terminals are pins.
  18. 18. A method according to Claim 14 wherein clocking the plurality of scan chains with a plurality of scan clock signals comprises clocking the plurality of scan chains with a plurality of master scan clock signals and a plurality of slave scan clock signals.
GB0411444A 2002-04-30 2002-07-17 Multiple scan chains with pin sharing Expired - Lifetime GB2399890B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/136,670 US7249298B2 (en) 2002-04-30 2002-04-30 Multiple scan chains with pin sharing
GB0216628A GB2388199B (en) 2002-04-30 2002-07-17 Multiple scan chains with pin sharing

Publications (3)

Publication Number Publication Date
GB0411444D0 GB0411444D0 (en) 2004-06-23
GB2399890A true GB2399890A (en) 2004-09-29
GB2399890B GB2399890B (en) 2005-06-15

Family

ID=32929381

Family Applications (2)

Application Number Title Priority Date Filing Date
GB0411444A Expired - Lifetime GB2399890B (en) 2002-04-30 2002-07-17 Multiple scan chains with pin sharing
GB0411442A Expired - Lifetime GB2399889B (en) 2002-04-30 2002-07-17 Method for loading scan chains

Family Applications After (1)

Application Number Title Priority Date Filing Date
GB0411442A Expired - Lifetime GB2399889B (en) 2002-04-30 2002-07-17 Method for loading scan chains

Country Status (1)

Country Link
GB (2) GB2399890B (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2121997A (en) * 1982-06-11 1984-01-04 Int Computers Ltd Testing modular data processing systems
US4730316A (en) * 1985-07-25 1988-03-08 International Computers Limited Digital integrated circuits

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6070260A (en) * 1998-09-17 2000-05-30 Xilinx, Inc. Test methodology based on multiple skewed scan clocks

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2121997A (en) * 1982-06-11 1984-01-04 Int Computers Ltd Testing modular data processing systems
US4730316A (en) * 1985-07-25 1988-03-08 International Computers Limited Digital integrated circuits

Also Published As

Publication number Publication date
GB2399889B (en) 2005-05-11
GB0411442D0 (en) 2004-06-23
GB2399890B (en) 2005-06-15
GB2399889A (en) 2004-09-29
GB0411444D0 (en) 2004-06-23

Similar Documents

Publication Publication Date Title
US7249298B2 (en) Multiple scan chains with pin sharing
US9958503B2 (en) Tap SPC with tap state machine reset and clock control
US6560739B1 (en) Mechanism for enabling compliance with the IEEE standard 1149.1 for boundary-scan designs and tests
US9291674B1 (en) Integrated circuit with low power scan flip-flop
US7401277B2 (en) Semiconductor integrated circuit and scan test method therefor
GB2391358A (en) Method of testing and/or debugging a system on chip (SOC)
US8037385B2 (en) Scan chain circuit and method
US5621740A (en) Output pad circuit for detecting short faults in integrated circuits
US7406639B2 (en) Scan chain partition for reducing power in shift mode
US8510616B2 (en) Scalable scan-based test architecture with reduced test time and test power
US7421634B2 (en) Sequential scan based techniques to test interface between modules designed to operate at different frequencies
US7421610B2 (en) Clock generation circuit
JP3987585B2 (en) Core test control
GB2399890A (en) Multiple scan chains with output pin sharing
Kim et al. Operation about multiple scan chains based on system-on-chip
Joy et al. Dynamic Shift Scan with Area Efficiency
Plíva et al. Scan based circuits with low power consumption
WO2006138583A2 (en) Sequential scan test of interface between ic modules that operate at different frequencies

Legal Events

Date Code Title Description
PE20 Patent expired after termination of 20 years

Expiry date: 20220716