FR3090998A1 - Architecture à transistors n et p superposes a structure de canal formee de nanofils - Google Patents
Architecture à transistors n et p superposes a structure de canal formee de nanofils Download PDFInfo
- Publication number
- FR3090998A1 FR3090998A1 FR1873985A FR1873985A FR3090998A1 FR 3090998 A1 FR3090998 A1 FR 3090998A1 FR 1873985 A FR1873985 A FR 1873985A FR 1873985 A FR1873985 A FR 1873985A FR 3090998 A1 FR3090998 A1 FR 3090998A1
- Authority
- FR
- France
- Prior art keywords
- block
- semiconductor
- transistor
- bars
- sacrificial
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 229910052698 phosphorus Inorganic materials 0.000 title claims abstract description 15
- 239000004065 semiconductor Substances 0.000 claims abstract description 162
- 239000000463 material Substances 0.000 claims description 88
- 238000005530 etching Methods 0.000 claims description 46
- 238000000926 separation method Methods 0.000 claims description 22
- 238000000034 method Methods 0.000 claims description 17
- 230000015572 biosynthetic process Effects 0.000 claims description 16
- 238000000151 deposition Methods 0.000 claims description 13
- 238000000407 epitaxy Methods 0.000 claims description 13
- 239000004020 conductor Substances 0.000 claims description 12
- 238000004519 manufacturing process Methods 0.000 claims description 9
- 229910052710 silicon Inorganic materials 0.000 claims description 9
- 239000010703 silicon Substances 0.000 claims description 9
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 8
- 230000008021 deposition Effects 0.000 claims description 7
- 239000011248 coating agent Substances 0.000 claims description 6
- 238000000576 coating method Methods 0.000 claims description 6
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 5
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 claims description 3
- 229910052796 boron Inorganic materials 0.000 claims description 3
- 239000011574 phosphorus Substances 0.000 claims description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 2
- 239000010410 layer Substances 0.000 description 102
- 239000011810 insulating material Substances 0.000 description 18
- 239000000758 substrate Substances 0.000 description 15
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 13
- 229910052814 silicon oxide Inorganic materials 0.000 description 12
- 229910052581 Si3N4 Inorganic materials 0.000 description 9
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 9
- 125000006850 spacer group Chemical group 0.000 description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- 230000000873 masking effect Effects 0.000 description 7
- 239000002070 nanowire Substances 0.000 description 7
- 239000012212 insulator Substances 0.000 description 6
- 238000001459 lithography Methods 0.000 description 6
- 239000011241 protective layer Substances 0.000 description 6
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 6
- 230000036961 partial effect Effects 0.000 description 5
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 5
- 229920005591 polysilicon Polymers 0.000 description 5
- 239000011347 resin Substances 0.000 description 5
- 229920005989 resin Polymers 0.000 description 5
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 230000010354 integration Effects 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 230000002829 reductive effect Effects 0.000 description 3
- 239000002344 surface layer Substances 0.000 description 3
- ZMANZCXQSJIPKH-UHFFFAOYSA-O triethylammonium ion Chemical compound CC[NH+](CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-O 0.000 description 3
- 229910004261 CaF 2 Inorganic materials 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 239000002253 acid Substances 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- WUKWITHWXAAZEY-UHFFFAOYSA-L calcium difluoride Chemical compound [F-].[F-].[Ca+2] WUKWITHWXAAZEY-UHFFFAOYSA-L 0.000 description 1
- 229910001634 calcium fluoride Inorganic materials 0.000 description 1
- 238000005538 encapsulation Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 229910052596 spinel Inorganic materials 0.000 description 1
- 239000011029 spinel Substances 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8221—Three dimensional integrated circuits stacked in different levels
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823814—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823871—Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823885—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/06—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
- H01L27/0688—Integrated circuits having a three-dimensional layout
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/1025—Channel region of field-effect devices
- H01L29/1029—Channel region of field-effect devices of field-effect transistors
- H01L29/1033—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
- H01L29/1037—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66439—Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/775—Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/161—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
- H01L29/165—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7848—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Nanotechnology (AREA)
- Materials Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Thin Film Transistor (AREA)
Abstract
Mise en œuvre d’un dispositif à transistors superposés comprenant :- un premier transistor (T1) d’un premier type, N ou P, à grille enrobante et région de canal formée dans un ou plusieurs premiers barreaux semi-conducteurs (5a, 5b) d’une structure semi-conductrice comportant des barreaux semi-conducteurs disposés les uns au-dessus des autres et alignés,- un deuxième transistor (T2) d’un deuxième type, en particulier P ou N, à grille enrobante et à région de canal formée dans un ou plusieurs deuxièmes barreaux semi-conducteurs (5c, 5d) de ladite structure semi-conductrice et disposés au-dessus des premiers barreaux semi-conducteurs, le bloc (53), de source du deuxième transistor étant distinct du bloc (51) de source et de drain du deuxième transistor (T2), le bloc drain du deuxième transistor étant distinct des blocs de drain et de source du deuxième transistor. Figure pour l’abrégé : figure 2.
Description
Description
Titre de l’invention : ARCHITECTURE À TRANSISTORS N ET P SUPERPOSES A STRUCTURE DE CANAL FORMEE DE NANOFILS
[0001 ] DOMAINE TECHNIQUE ET ÉTAT DE LA TECHNIQUE ANTÉRIEURE
[0002] La présente demande se rapporte au domaine des dispositifs micro-électroniques à transistors, et plus particulièrement celui des dispositifs avec des transistors de types différents, en particulier de type P et de type N, co-intégrés et dont la région de canal est formée d’un ou plusieurs barreaux semi-conducteurs.
[0003] Les transistors FinFET sont dotés d’une structure de canal sous forme d’un barreau semi-conducteur encore appelé « doigt » (Fin, « selon la terminologie anglo-saxonne) ou « nano-fil », ou « nano-poutre », autrement dit un élément semi-conducteur de forme oblongue et typiquement parallélépipédique. Un intérêt de ce type de structure est de pouvoir lui associer une grille non-planaire qui s’étend autour du barreau afin d’augmenter le niveau de courant par unité de surface tout en maintenant un bon contrôle électrostatique et donc un faible niveau de fuite à l’état bloqué du transistor.
[0004] Des transistors avec des structures de canal formées de plusieurs barreaux semiconducteurs disposés les uns au-dessus des autres sont également apparus et permettent d’avoir un niveau de courant plus élevé.
[0005] Les documents : “Novel 3D integration process for highly scalable Nano-Beam stacked-channels GAA (NBG) FinFETs with HfO2/TiN gate stack”, IEDM 2006, de T. Ernst et al., ou “Vertically Stacked-NanoWires MOSFET in a replacement metal gate process with inner spacer and SiGe source/drain”, IEDM 2016 donnent des exemples de réalisation de transistors ayant de telles structures de canal.
[0006] Dans les dispositifs reprenant ce type de structure et comportant des transistors de type différents par exemple un transistor NMOS et un transistor PMOS, on prévoit généralement de positionner les deux transistors à côté l’un de l’autre et de réaliser la région de canal du transistor NMOS dans une première structure à barreaux semiconducteurs superposés et la structure de canal du transistor PMOS dans une deuxième structure à barreaux semi-conducteurs superposés et juxtaposée à la première structure.
[0007] Un tel agencement pose toutefois un problème d’encombrement.
Exposé de l'invention
[0008] Selon un aspect, un mode de réalisation de la présente invention concerne un dispositif à transistors superposés comprenant :
-un premier transistor d’un premier type, en particulier N ou P, le premier transistor ayant un canal formé dans un ou plusieurs premiers barreaux semi-conducteurs d’une structure semi-conductrice comportant des barreaux semi-conducteurs disposés les uns au-dessus des autres et alignés, un premier bloc de source ou drain du premier transistor et un deuxième bloc de drain ou de source du premier transistor étant disposés aux extrémités desdits un ou plusieurs premiers barreaux semi-conducteurs, le premier transistor étant muni d’une grille enrobante agencée autour desdits un ou plusieurs premiers barreaux ;
-un deuxième transistor d’un deuxième type, en particulier P ou N, ayant une région de canal formée dans un ou plusieurs deuxièmes barreaux semi-conducteurs de ladite structure semi-conductrice et disposés au-dessus des premiers barreaux semiconducteurs, un troisième bloc, de source ou de drain du deuxième transistor et un quatrième bloc de drain ou de source du deuxième transistor étant disposés respectivement aux extrémités desdits un ou plusieurs deuxièmes barreaux semi-conducteurs, le deuxième transistor étant muni d’une grille enrobante agencée autour desdits un ou plusieurs deuxièmes barreaux, ledit troisième bloc , de source ou de drain du deuxième transistor étant distinct du premier bloc, de source ou de drain du deuxième transistor, le quatrième bloc, de drain ou de source du deuxième transistor étant disposé au-dessus et distinct du deuxième bloc, de drain ou de source du deuxième transistor.
[0009] Un tel agencement permet d’augmenter la densité d’intégration et de réduire significativement l’encombrement dans un circuit intégré ou une puce tout en conservant de bonnes performances électriques, en particulier un niveau de courant élevé à l’état passant et un courant faible à l’état bloqué.
[0010] Selon un mode de réalisation avantageux, le dispositif peut être doté d’au moins un premier élément conducteur traversant ledit troisième bloc et en contact avec ledit premier bloc, ledit premier élément conducteur étant isolé du troisième bloc par l’intermédiaire d’une gaine isolante entourant ledit premier élément conducteur.
[0011] Le dispositif peut être également doté d’un deuxième élément conducteur traversant ledit quatrième bloc et en contact avec ledit deuxième bloc, ledit deuxième élément conducteur étant entouré d’une gaine isolante, ledit deuxième élément de contact traversant étant isolé dudit quatrième bloc par l’intermédiaire de ladite gaine isolante. Un tel agencement permet de réaliser des prises de contacts sur les blocs de contacts de source et de drain du transistor inférieur et participe à la mise en œuvre d’un dispositif d’encombrement réduit.
[0012] Avantageusement, le dispositif peut comprendre au moins un premier plot de contact conducteur en contact avec ledit troisième bloc. Le dispositif peut également comprendre au moins un deuxième plot de contact conducteur en contact avec ledit quatrième bloc. On peut ainsi avoir avantageusement des prises de contact de source et de drain du transistor de niveau supérieur indépendantes de celles prises sur le drain et la source du transistor de niveau inférieur.
[0013] Selon un mode de réalisation avantageux, on prévoit le bloc de source et le bloc de drain à base d’un matériau semi-conducteur donné, tandis que le bloc de source et le bloc drain sont en un autre matériau semi-conducteur, différent dudit matériau semiconducteur donné. Les premiers barreaux semi-conducteurs et lesdits deuxièmes barreaux semi-conducteurs peuvent être avantageusement prévus en silicium, tandis que ledit matériau semi-conducteur donné, et ledit autre matériau semi-conducteur sont choisis parmi les matériaux suivant : silicium dopé N, en particulier au phosphore, et silicium germanium dopé P, en particulier au bore. On prévoit en particulier le matériau semi-conducteur donné et l’autre matériau semi-conducteur en termes de contraintes et/ou de dopage adaptés au type de transistor N ou P que l’on souhaite réaliser.
[0014] Avantageusement, la grille enrobante du premier transistor peut être prévue distincte et isolée de la grille enrobante du deuxième transistor. On peut dans ce cas polariser indépendamment l’une de l’autre les grilles des transistors superposés. Une grille commune aux deux transistors peut en variante être prévue.
[0015] Selon un agencement avantageux de la grille du premier transistor, celle-ci comporte une portion verticale qui s’étend contre une première face latérale de la structure et en regard des premiers barreaux semi-conducteurs et des deuxièmes barreaux semiconducteurs, une zone isolante étant agencée entre une région de ladite portion verticale et ladite face latérale desdits deuxièmes barreaux.
[0016] Selon un agencement particulier de la grille du deuxième transistor, celle-ci comporte une partie verticale qui s’étend contre une deuxième face latérale de la structure opposée à ladite première face latérale et en regard des deuxièmes barreaux semiconducteurs.
[0017] Selon un autre aspect, la présente invention concerne un procédé de réalisation d’un dispositif tel que défini précédemment.
[0018] Selon un mode de réalisation du procédé, la structure semi-conductrice peut être formée par gravure d’un empilement comportant une alternance de couches semiconductrices et de couches sacrificielles, lesdits un ou plusieurs premiers barreaux semi-conducteurs et lesdits un ou plusieurs deuxièmes barreaux semi-conducteurs étant réalisés à partir desdites couches semi-conductrices.
[0019] Avantageusement, l’empilement comporte :
- une partie inférieure avec au moins une couche semi-conductrice et au moins une première couche sacrificielle ;
- une partie supérieure avec au moins une couche semi-conductrice et au moins une deuxième couche sacrificielle ;
- au moins une couche de séparation entre la partie inférieure et la partie supérieure, ladite couche de séparation ayant une épaisseur supérieure auxdites première et deuxième couches sacrificielles.
[0020] Selon un mode de réalisation avantageux, la formation desdits premiers bloc et deuxième bloc, de source et de drain du premier transistor peut comprendre :
- former des régions sacrificielles de part et d’autre d’une partie inférieure de la structure, les régions sacrificielles étant agencées auxdites extrémités desdits un ou plusieurs premiers barreaux semi-conducteurs ;
- former des blocs isolants sur les régions sacrificielles tout en préservant une zone latérale des régions sacrificielles dévoilée ;
- retirer les régions sacrificielles de sorte à former des cavités sous les blocs isolants et dévoiler lesdites extrémités desdits un ou plusieurs premiers barreaux semiconducteurs ;
- faire croître par épitaxie des blocs de matériau semi-conducteur dans lesdites cavités.
[0021] La formation desdits troisième bloc et quatrième bloc, de source et de drain du deuxième transistor peut quant à elle comprendre :
- retirer une épaisseur desdits blocs isolants de part et d’autre d’une partie supérieure de la structure et dévoiler lesdites extrémités desdits un ou plusieurs deuxièmes barreaux semi-conducteurs, le retrait de ladite épaisseur étant effectué de sorte à libérer des espaces des extrémités desdits un ou plusieurs deuxièmes barreaux semiconducteurs ;
- faire croître par épitaxie des blocs de matériau semi-conducteur dans lesdits espaces.
[0022] Le procédé peut comprendre en outre, après formation du premier et deuxième bloc du premier transistor et du troisième bloc et quatrième bloc du deuxième transistor, la formation d’éléments conducteurs de contact de source et de drain pour le premier transistor. Pour cela, le procédé peut comprendre :
- former des trous à travers le troisième bloc et le quatrième bloc du deuxième transistor, les trous dévoilant respectivement le premier bloc et le deuxième bloc ;
- former une gaine isolante sur des parois verticales des trous, puis ;
- déposer du matériau conducteur dans les trous.
[0023] On peut également prévoir de réaliser des éléments conducteurs de contact de source et de drain pour le deuxième transistor. Avantageusement, le procédé peut comprendre alors, après formation de la gaine isolante et préalablement au remplissage des trous par ledit matériau conducteur, des étapes de :
- bouchage des trous par un matériau donné ;
- réalisation de deuxièmes trous dévoilant respectivement le troisième bloc et le quatrième bloc du deuxième transistor ;
- retrait dudit matériau donné, le dépôt dudit matériau conducteur étant ensuite effectué dans lesdits trous et lesdits deuxièmes trous.
[0024] Selon un mode de réalisation, la grille du premier transistor peut être réalisée par :
- formation d’un bloc sacrificiel contre une région inférieure de la structure, le bloc sacrificiel étant agencé contre le ou les premiers barreaux semi-conducteurs ;
- formation d’au moins un bloc isolant sur ledit bloc sacrificiel ;
- formation d’un puit à travers le bloc isolant de sorte à dévoiler le bloc sacrificiel tout en préservant une zone dudit bloc isolant contre une région supérieure de la structure dans laquelle se situe(nt) le ou les deuxièmes barreaux semi-conducteurs ;
- retrait du bloc sacrificiel par gravure à travers le puit, de sorte à dévoiler le ou les premiers barreaux semi-conducteurs ;
- réalisation d’une gravure sélective de la ou les couches sacrificielles par rapport aux premiers barreaux semi-conducteurs dudit empilement ;
- dépôt d’un diélectrique de grille et d’un matériau de grille dans le puit.
[0025] La grille du premier transistor peut être apte à s’étendre contre une première face latérale de la structure. Dans ce cas, la formation de ladite grille du deuxième transistor peut avantageusement comprendre, dans cet ordre :
- former un trou d’accès à travers une couche isolante disposée contre une deuxième face latérale de la structure opposée à ladite première face latérale, le trou d’accès dévoilant une région supérieure de la structure dans laquelle se situe(nt) le ou les deuxièmes barreaux semi-conducteurs ;
- réaliser dans ledit trou d’accès une gravure sélective des couches sacrificielles par rapport aux couches semi-conductrices dudit empilement ;
- déposer un diélectrique de grille et un matériau de grille dans le trou d’accès.
Brève description des dessins
[0026] La présente invention sera mieux comprise à la lecture de la description d’exemples de réalisation donnés, à titre purement indicatif et nullement limitatif, en faisant référence aux dessins annexés sur lesquels :
[0027] [fig.lA]
[0028] [fig.lB]
[0029] [fig.lC]
[0030] [fig.lD]
[0031] [fig.lE] servent à illustrer un exemple de réalisation d’un dispositif à transistors superposés tel que mis en œuvre suivant l’invention ;
[0032] [fig.2] sert à illustrer un exemple de réalisation avec plusieurs transistors juxtaposés et répartis sur deux niveaux ;
[0033] [fig.3A]
[0034] [fig.3B]
[0035] | [fig.3C] servent à illustrer un exemple de réalisation d’empilement à partir duquel les régions de canal respectives des transistors superposés sont aptes à être formés ; |
[0036] [0037] [0038] [0039] [0040] [0041] [0042] [0043] [0044] [0045] [0046] [0047] [0048] [0049] [0050] [0051] [0052] [0053] [0054] [0055] [0056] [0057] [0058] [0059] | [fig.4A] [fig.4B] [fig.4C] [fig.4D] servent à illustrer un exemple de réalisation d’espaceurs internes isolants ; [fig.5A] [fig.5B] [fig.5C] [fig.5D] [fig.5E] [fig.5F] [fig.5G] [fig.5H] [fig.5I] [fig.5J] [fig.5K] [fig.5L] [fig.5M] [fig.5N] [fig.5O] [fig.5P] [fig.5Q] [fig.5R] [fig.5S] [fig.5T] servent à illustrer un exemple de réalisation de régions de source et de drain pour chacun des transistors superposés ; |
[0060] [0061] [0062] [0063] [0064] [0065] [0066] | [fig.6A] [fig.6B] [fig.6C] [fig.6D] [fig.6E] [fig.6F] [fig.6G] servent à illustrer un exemple de réalisation d’éléments de contacts de source et de drain pour chacun des transistors superposés ; |
[0067] [0068] [0069] | [fig.7A] [fig.7B] [fig.7C] |
[0070] [fig.7D]
[0071] [fig.7E]
[0072] [fig.7F]
[0073] [fig.7G]
[0074] [fig.7H]
[0075] [fig.7I] servent à illustrer un exemple de réalisation d’une grille enrobante pour un transistor de niveau inférieur parmi lesdits transistors superposés ;
[0076] [fig.8A]
[0077] [fig.8B]
[0078] [fig.8C]
[0079] [fig.8D] servent à illustrer un exemple de réalisation d’une grille enrobante pour un transistor de niveau supérieur parmi lesdits transistors superposés ;
[0080] Des parties identiques, similaires ou équivalentes des différentes figures portent les mêmes références numériques de façon à faciliter le passage d’une figure à l’autre.
[0081] Les différentes parties représentées sur les figures ne le sont pas nécessairement selon une échelle uniforme, pour rendre les figures plus lisibles.
[0082] En outre, dans la description ci-après, des termes qui dépendent de l'orientation d’une structure, tels que « avant », « arrière », « supérieure », « inférieure », « latérale », « gauche », « droite » etc. s'appliquent en considérant que la structure est orientée de la façon illustrée sur les figures.
[0083] EXPOSÉ DÉTAILLÉ DE MODES DE RÉALISATION PARTICULIERS
[0084] On se réfère à présent aux figures 1A-1E donnant différentes vues en 3 dimensions d’un dispositif à transistors superposés tel que mis en œuvre suivant un mode de réalisation particulier de la présente invention.
[0085] Comme on peut le voir tout d’abord sur la vue en coupe AA’ (coupe indiquée sur la figure IB) de la figure IA, le dispositif repose sur un substrat 1 qui peut être par exemple un substrat massif (« bulk » selon la terminologie anglo-saxonne) ou de type semi-conducteur sur isolant et comporte un transistor Ti d’un premier type, dans cet exemple de type N. La structure de canal de ce transistor Ti est formée de k (avec k > 1) barreaux semi-conducteurs 5a, 5b (k est égal à 2 barreaux dans l’exemple de réalisation particulier illustré) encore appelés « nano-fils » ou « nano-poutres » ou « membranes » et qui ont typiquement une forme parallélépipédique.
[0086] Un autre transistor T2 d’un deuxième type, dans cet exemple de type P, est agencé au-dessus du premier transistor Ti et a quant à lui une région de canal formée de m (avec m > 1 et qui peut être différent de k) barreau(x) 5c, 5d.
[0087] Le ou les barreaux semi-conducteurs 5a, 5b du premier transistor Ti et le ou les barreaux 5c, 5d du deuxième transistor T2, par exemple à base de silicium, sont ici formés dans une même structure dotée de barreaux semi-conducteurs, superposés et de préférence alignés. Cela constitue un gain de place et peut permettre d’améliorer la densité d’intégration par rapport à un dispositif à transistors ayant une structure de canal semblable mais dans lequel le transistor N et le transistor P sont juxtaposés.
[0088] Le transistor Ti du niveau inférieur est muni d’une grille 91 dite « enrobante » dans la mesure où elle s’étend contre une face supérieure FS des barreaux 5a, 5b, contre une face inférieure FI des barreaux 5a, 5b opposée à la face supérieure, ainsi que contre au moins une face latérale des barreaux 5a, 5b. La grille 91 enrobante s’étend d’un côté de la structure à barreaux superposé, dans l’exemple de réalisation illustré du côté droit et contre la face latérale droite des barreaux 5a, 5b.
[0089] Le transistor T2 du niveau supérieur est également muni d’une grille 92 enrobante qui s’étend contre une face supérieure des barreaux 5c, 5d, contre une face inférieure des barreaux 5c, 5d ainsi que contre au moins une face latérale des barreaux 5c, 5d. Pour permettre un gain de place, la grille 92 du transistor T2 supérieur s’étend d’un côté opposé de la structure à celui contre laquelle la grille 91 du transistor Ti s’étend. Ainsi, dans l’exemple de réalisation illustré, la grille 92 du côté gauche et contre une face latérale gauche FLG des barreaux 5c, 5d, autrement dit une face opposée à celle contre laquelle la grille 91 du transistor inférieur s’étend.
[0090] Un tel agencement des grilles 91, 92 permet d’obtenir un contrôle électrostatique amélioré et de maintenir un fort niveau de courant dans les régions de canal respectives des transistors Ti et T2 tout en limitant l’encombrement.
[0091] Un tel agencement permet également de prévoir des transistors TH T2 avec des grilles 91, 92 indépendantes l’une de l’autre et qui peuvent éventuellement ne pas être connectée entre elles. Ainsi, dans l’exemple de réalisation particulier illustré, la grille 91 du transistor Ti du bas est distincte et isolée de la grille 92 du transistor T2 du haut.
[0092] Pour permettre de polariser les grilles 91, 92, celles-ci comportent des portions verticales 91b, 92b respectives qui s’étendent vers le haut de la structure, autrement dit à l’opposé du substrat 1.
[0093] Avantageusement, le transistor Ti peut être également doté de blocs 51, 52 respectivement de source et de drain distincts des blocs 53, 54 respectivement de source et de drain du deuxième transistor T2. Les régions de source et drain du transistor Ti de niveau inférieur peuvent être ainsi polarisées indépendamment de celles du transistor T 2 de niveau supérieur. Ainsi, un bloc de source ou de drain est typiquement séparé du bloc de drain ou de source de niveau supérieur qui est situé au-dessus, de préférence par l’intermédiaire d’au moins une zone isolante.
[0094] Les blocs de source et de drain 51, 52 disposés aux extrémités des barreaux semiconducteurs 5a, 5b sont typiquement formés d’un matériau semi-conducteur qui peut être différent de celui des barreaux 5a, 5b et est de préférence dopé.
[0095] Par exemple, les blocs de source et de drain 51, 52 peuvent être à base de silicium
Germanium dopé au Bore (SiGe : B) pour un transistor de type P.
[0096] Les blocs de source et de drain 53, 54 disposés aux extrémités des barreaux semiconducteurs 5c, 5d peuvent être également formés d’un matériau semi-conducteur dopé, par exemple du silicium dopé au phosphore (Si : P) pour un transistor de type N.
[0097] Pour les deux transistors TH T2 des espaceurs isolants 31 internes sont prévus afin d’isoler les grilles des blocs de source et de drain.
[0098] Pour permette de prendre des contacts sur les blocs 51, 52 de source et de drain du transistor Ij inférieur, des éléments conducteurs 71, 72, typiquement en métal, traversent les blocs 53, 54 de source et de drain du transistor T2 de niveau supérieur. Les éléments conducteurs encore appelés plots 71, 72 sont entourés sur au moins une portion traversant les blocs 53, 54 de source et de drain du transistor T2d’une gaine ou enveloppe isolante 70, par exemple en nitrure de silicium. Cela permet de réaliser un contact sur le bloc de source ou de drain du transistor de niveau inférieur Ij indépendant du bloc de source ou de drain du transistor supérieur qu’il traverse, autrement dit sans être connecté électriquement à ce bloc de niveau supérieur qu’il traverse. Un tel agencement permet également d’améliorer l’encombrement du dispositif.
[0099] D’autres éléments ou plots conducteurs 73, 74, verticaux typiquement en métal permettent de prendre contact sur les blocs 53, 54 de source et de drain du transistor T2 de niveau supérieur. Dans cet exemple de réalisation les éléments ou plots conducteurs 73, 74, du transistor de niveau inférieur sont ainsi distincts et isolés des éléments ou plots conducteurs 71, 72 du transistor de niveau supérieur.
[0100] L’exemple de réalisation qui est représenté sur les figures 1A-1E prévoit une structure avec 4 nano-fils semi-conducteurs superposés et 2 nano-fils par transistor. Pour augmenter le niveau de courant par unité de surface, il est possible d’augmenter le nombre de nano-fils empilés. Il est également possible, comme suggéré plus haut, de prévoir un nombre de nano-fils différents entre les deux transistors superposés. Par ailleurs, dans cet exemple, un transistor de type P est situé sous un transistor de type N. Il est également possible de prévoir une configuration inverse dans laquelle le transistor de type N est situé sous le transistor de type P.
[0101] Dans l’exemple de réalisation particulier illustré sur la figure 2, outre les transistors dont la structure a été décrite précédemment, d’autres transistors T3, T4 de types différents, par exemple P et N superposés sont prévus. Les transistors T3, T4 sont ici également pourvus de blocs semi-conducteurs de source et drain distincts. Un transistor T3de niveau inférieur partage avec le transistor Ij un bloc semi-conducteur de source ou de drain commun. Les transistors T3, T4 sont également pourvus de régions de canal réalisées dans une structure à barreaux semi-conducteurs disposés les uns au-dessus. Cette structure peut avoir été réalisée dans un même empilement de couches que celle comportant les barreaux 5a, 5b, 5c, 5d formant les régions de canal respectives des transistors Tb T2.
[0102] Un exemple de procédé de réalisation d’un dispositif à transistors superposés du type de l’un ou l’autre de ceux décrits précédemment va à présent être décrit en liaison avec les figures 3A-3C, 4A-4D, 5A-5T, 6A-6G, 7A-7I, 8A-8D.
[0103] Un matériau de départ possible pour cet exemple de procédé est sous forme d’un substrat 1 de type semi-conducteur sur isolant, par exemple de type SiGeOI (silicium germanium sur isolant), qui comprend une couche de support 2 semi-conductrice, une couche isolante 3 et qui est disposée sur et en contact de la couche de support 2 et une couche semi-conductrice superficielle 4 disposée sur et en contact de la couche isolante 3.
[0104] La couche semi superficielle 4 est en un premier matériau semi-conducteur qui, dans cet exemple, peut être du silicium germanium. Une telle couche superficielle 4 peut être par exemple mise en œuvre à partir d’un substrat de type SOI (silicium sur isolant) doté d’une couche superficielle en silicium que l’on vient enrichir en Germanium.
[0105] La couche isolante 3 du substrat peut être en particulier une couche de type BOX (BOX pour « Burned Oxide ») à base d’un isolant tel que du SiO2. Une couche de BOX d’épaisseur e3 comprise par exemple entre 20 nm et 145 nm peut être prévue.
[0106] D’autres couches sont ensuite formées sur la couche semi-conductrice 4 superficielle de sorte à réaliser un empilement qui comporte une alternance de couches sacrificielles 4 et de couches semi-conductrices 5 (figure 3A). Dans l’exemple de réalisation particulier illustré sur la figure 3A, la couche semi-conductrice superficielle 4 du substrat est amenée à servir de couche sacrificielle.
[0107] L’empilement est composé d’une partie inférieure 8a avec une ou plusieurs couche(s) sacrificielles 4 et une ou plusieurs couches semi-conductrices 5 prévues pour accueillir une région de canal d’un premier transistor. L’empilement est également composé d’une partie 8b supérieure avec une ou plusieurs couche(s) sacrificielles 4 et une ou plusieurs couches semi-conductrices 5 prévues pour former une région de canal d’un deuxième transistor disposée sur le premier transistor.
[0108] Les couches sacrificielles 4 sont réalisées en un matériau apte à être gravé sélectivement vis-à-vis de celui des couches semi-conductrices 5, par exemple un premier matériau semi-conducteur 6. Dans le cas, par exemple, où les couches semiconductrices 5 sont en Si, les couches sacrificielles 4 peuvent être formées en SiixGcx avec x par exemple compris entre 0.2 et 0.45. Pour permettre d’obtenir une bonne sélectivité de gravure du Si|XGcx par rapport au Si on choisit de préférence une concentration en Ge supérieure ou égale à 30%.
[0109] Une couche de séparation 4’ est également prévue entre la partie inférieure 8a et la partie supérieure 8b de l’empilement. La couche de séparation 4’ peut être prévue pour réaliser une isolation entre la région de canal du transistor de niveau inférieur et la région de canal du transistor de niveau supérieur. La couche de séparation 4’ peut être formée du même matériau que celui des couches sacrificielles 4 mais avec une épaisseur plus importante. Dans le cas où la couche de séparation 4’ est en un matériau adapté pour mettre en contrainte celui de couches semi-conductrices 5 entre lesquelles elle se situe on limite de préférence l’épaisseur e’ de manière à éviter une relaxation de ces couches 5.
[0110] Par exemple, l’épaisseur e’ peut être comprise entre 5 nm et 40 nm pour une couche 4 de SÎo.vGcqj.
[0111] Dans l’exemple de réalisation particulier illustré sur la figure 3C, la couche de séparation 4’ est formée d’un empilement de plusieurs couches et en particulier comportant une couche semi-conductrice 5’0 à base du deuxième matériau semiconducteur 7 disposée entre des couches sacrificielles 4’b 4’2 à base du premier matériau semi-conducteur 6, ici servant de matériau sacrificiel.
[0112] L’empilement qui, dans cet exemple de réalisation, est composé de couches semiconductrices 4, 5 est typiquement formé par épitaxies successives ou épitaxie multicouches. Les couches 4 à base du premier matériau semi-conducteur 6 peuvent avoir une épaisseur e4 comprise par exemple entre 5 et 25 nanomètres, par exemple de l’ordre de 10 nm. Les couches 5, à base du deuxième matériau semi-conducteur 7 peuvent être prévues avec une épaisseur e5 comprise par exemple entre 5 et 25 nanomètres, par exemple de l’ordre de 10 nm.
[0113] Une fois l’empilement réalisé, on forme un masque 10 sur cet empilement. Le masque 10 peut être composé d’un masque dur 12, par exemple en nitrure de silicium. On peut prévoir l’épaisseur du masque dur 12 en prévision d’étapes ultérieures de polissage CMP. Par exemple un masque dur 12 d’épaisseur comprise entre 30 nm et 150 nm peut être réalisé. Le masque 10 formé peut également comprendre une couche de protection 11 intercalée entre l’empilement semi-conducteur et le masque dur 12. Par exemple on prévoit une telle couche de protection 11 à base de HfO2 ou SiO2 et d’épaisseur de l’ordre de 3 nm à lOnm.
[0114] La largeur du masque 10 est prévue en fonction de celle notée W de motifs que l’on souhaite réaliser dans l’empilement.
[0115] On grave ensuite les couches 4, 5, 4’, de préférence de manière anisotrope et de sorte à réaliser un empilement de barreaux 4a, 5a, 4b, 5b, 4’, 5c, 4c, 5d, 4d encore appelés nano-fils. Les barreaux ont une largeur W qui peut être comprise par exemple entre plusieurs nanomètres et plusieurs dizaines de nm, par exemple de l’ordre de 25 nm. Dans l’exemple des figures 3B et 3C (donnant respectivement une vue en coupe transversale et une vue en perspective) W est mesurée dans une direction parallèle au plan principal du substrat. Le plan principal du substrat est défini comme un plan passant par le substrat et parallèle au plan [O; x ; y ] d’un repère orthogonal [O; x ; y ; z] donné sur les figures 3B et 3C.
[0116] Après avoir défini la largeur de la structure de canal, on peut former des espaceurs internes isolants.
[0117] Pour cela, on effectue ensuite (figures 4A et 4B) un rétrécissement du masque dur 12, de sorte à réduire ses dimensions et notamment sa largeur (mesurée parallèlement à celle W des barreaux). Pour cela, on réalise typiquement une gravure isotrope, par exemple une gravure à l’aide de H3PO4 lorsque le masque dur 12 est en nitrure de silicium. Le rétrécissement effectué peut être de l’ordre de la taille d’espaceurs, par exemple de l’ordre de 10 nm. On obtient ainsi un masque dur de largeur W’, par exemple de l’ordre de 15 nm.
[0118] Puis (figure 4C), on réalise une gravure sélective partielle des barreaux 4a, 4b, 4c, et des couches sacrificielles 4\, 4’2 dans l’empilement 4’ formés à base du premier matériau semi-conducteur 6. On réduit ainsi les dimensions latérales de ces barreaux 4a, 4b, 4c, 4d, tout en préservant les barreaux 5a, 5b, 5c, 5d formés à base du deuxième matériau semi-conducteur 7. Dans le cas par exemple où le premier matériau semiconducteur 6 est à base de silicium germanium, une solution acide à base de HL+H2O2 peut être employée. On adapte la durée de gravure en fonction de la réduction de dimension souhaitée et avantageusement de sorte à réaliser une réduction des barreaux 4a, 4b, 4c, 4d en matériau sacrificiel correspondant à celle réalisée sur le masque du 12.
[0119] La gravure sélective du premier matériau semi-conducteur 6 peut être réalisée de manière à obtenir des barreaux 4a, 4b, 4c, 4d à base du premier matériau semiconducteur de dimensions latérales (dimensions mesurées parallèlement au plan [O ;x ;y ]) sensiblement égales à celles du masque dur 12. Par « sensiblement égales », on entend ici qui diffèrent de moins de 10 nm. Ainsi, les barreaux 4a, 4b, 4c, 4d de dimensions réduites sont alignées avec le masque dur 12.
[0120] On réalise ensuite (figure 4D) des bouchons isolants 21 encore appelés espaceurs internes autour des barreaux 4a, 4b, 4c, 4d à base du premier matériau semi-conducteur 6 dont les dimensions latérales ont été réduites précédemment. Ces bouchons isolants 21 viennent combler des espaces résultant du retrait partiel des barreaux 4a, 4b, 4c, 4d. Les bouchons isolants 21 peuvent être formés par dépôt puis gravure d’un matériau isolant habituellement utilisé pour réaliser des espaceurs tel que du nitrure de silicium ou bien d’un diélectrique « low-k » par exemple tel que le SiBCN ou SiOCN. La gravure du matériau isolant peut être réalisée par exemple à base de H3PO4 dilué.
[0121] Après réalisation des espaceurs isolants internes, on peut former les blocs de source et de drain pour les transistors superposés.
[0122] Pour cela, dans l’exemple de réalisation illustré sur la figure 5A, on forme avanta geusement une fine couche 23 de protection, de préférence isolante. Cette fine couche 23 est réalisée par exemple en oxyde de silicium TEOS, avec une épaisseur par exemple de l’ordre de 4 nm.
[0123] Des régions sacrificielles sont ensuite réalisées. Ces régions sacrificielles sont destinées à définir des emplacements des blocs de source et de drain, en particulier pour le transistor inférieur, c’est-à-dire celui formé au niveau de la partie 8a inférieure de la structure à barreaux semi-conducteurs disposés les uns au-dessus des autres.
[0124] La réalisation des régions sacrificielles peut comprendre le dépôt d’un matériau 25 par exemple tel que du polysilicium ou du silicium amorphe, puis un polissage, par exemple par CMP avec un arrêt sur le masque dur 12 (figure 5B).
[0125] On forme ensuite des motifs dans le matériau 25 dont les dimensions mesurées parallèlement au plan principal du substrat (autrement dit un plan passant par le substrat et parallèle au plan [O ;x ;y]) correspondent dans cet exemple de réalisation, à l’épaisseur de la fine couche 23 près, sensiblement à celles que l’on souhaite conférer aux régions sacrificielles.
[0126] Pour cela, on peut effectuer une lithographie à travers un masquage 26, par exemple à base de résine photosensible. Dans l’exemple de réalisation particulier illustré sur la figure 5C, le masquage 26 est orienté orthogonalement aux barreaux pris dans le sens de leur longueur L et est prévu avec des dimensions, en particulier une largeur W2 inférieure à la longueur L des barreaux de la structure. La structure n’est ainsi pas entièrement recouverte par le masquage 26 et des portions de la structure à barreaux empilés ne sont pas situées en regard du masquage 26.
[0127] On réalise ensuite une gravure, de préférence anisotrope du matériau 25. Dans l’exemple illustré, sur la figure 5D, du fait du choix des dimensions et en particulier de celui de la largeur W2 du masquage 26, cette gravure permet ici, outre la formation de motifs pour former des régions 25’, 25” dans le matériau 25, de retirer des portions de l’empilement et de réduire la longueur L des barreaux en gravant des portions d’extrémités respectives de ces barreaux. La gravure peut être réalisée par exemple à l’aide de HBr/O2/Cl2/CF4 et peut être prolongée jusqu’à atteindre la couche isolante 3 du substrat.
[0128] On forme ensuite des blocs isolants 27 d’encapsulation, de part et d’autre des régions 25’, 25” et de la structure formée des barreaux empilés (figure 5E). La réalisation de ces blocs isolants 27 comprend le dépôt d’un matériau isolant, typiquement de l’oxyde de silicium SiO2, de manière à recouvrir la structure de barreaux empilés et les régions 25’, 25”, puis par polissage CMP de sorte à dévoiler le sommet de la structure qui, dans l’exemple illustré, est encore revêtu du masque dur 12 et des régions 25’, 25”.
[0129] On réalise ensuite une gravure partielle des régions 25’, 25”. On retire en particulier une épaisseur autrement dit une hauteur (dimension mesurée parallèlement à l’axe z) de matériau 25 au niveau de la partie supérieure 8b de la structure de barreaux empilés (figure 5F). Le matériau 25 est conservé au niveau de la partie inférieure 8a de la structure. Une épaisseur au moins égale à la hauteur hi (dimension donnée sur la figure 3B) à laquelle se situe la face supérieure du barreau 5b le plus élevé de la partie inférieure 8b de la structure est typiquement conservée. Par exemple, l’épaisseur des régions 25’, 25” peut être de l’ordre de 55 nm.
[0130] Dans le cas où le matériau 25 des régions 25’, 25” est du polysilicium, cette gravure peut être réalisée par exemple à l’aide de TMAH ou TEAH.
[0131] On réalise ensuite avantageusement une couche isolante 31 de séparation de sorte à recouvrir les régions 25’, 25” ainsi que la structure à barreaux superposés (figure 5G). Cette couche isolante 31 est par exemple à base de nitrure avec une épaisseur typiquement conforme. La couche isolante 31a par exemple une épaisseur de l’ordre de 6nm.
[0132] Des interstices formés par le retrait partiel d’une épaisseur des régions 25’, 25” sont ensuite comblés par dépôt d’un matériau 33, afin de réaliser des blocs isolants 33a, 33b. Le matériau 33 est de préférence un matériau isolant choisi de manière à pouvoir être gravé de manière sélective vis-à-vis de celui de la couche isolante 31 de séparation. Le matériau 33 peut être en particulier le même que celui des blocs isolants 27, par exemple à base d’oxyde de silicium. Ce dépôt peut être suivi d’une étape de planarisation (CMP), de sorte à retirer la couche de séparation 31 isolante au niveau du sommet de la structure et dévoiler à nouveau le masque dur 12 (figure 5H).
[0133] L’isolation de la structure ou cellule formée d’un transistor NMOS et d’un transistor PMOS est effectuée ensuite par une gravure de l’ensemble tout en protégeant à l’aide d’un masque 35 l’empilement et des parties des blocs isolants 27, des blocs de matériau isolant 33 et des régions 25’, 25”. Dans l’exemple de réalisation illustré sur les figures 5I-5J, le masque 35 a une forme rectangulaire et recouvre entièrement la structure semi-conductrice empilée. La gravure peut être réalisée jusqu’à atteindre la couche isolante 3 du substrat, et permette d’accéder aux régions 25’, 25”, en particulier à une zone latérale 25a de ces régions 25’, 25”.
[0134] On effectue ensuite une gravure sélective des régions 25’, 25” de matériau 25 de sorte à libérer des cavités 41, 42 situées sous les blocs isolants 33a, 33b (figure 5K et 5L donnant respectivement une vue en perspective et une vue en perspective partielle et selon une coupe BB’). Lorsque le matériau 25 est du polysilicium, cette gravure sélective peut être réalisée par exemple à l’aide de TMAH ou TEAH.
[0135] Dans le cas où une fine couche 23 de protection tapisse la structure, cette couche 23 est également retirée. Les cavités 41, 42 dévoilent alors des extrémités des premiers barreaux semi-conducteurs 5a, 5b, au niveau de la partie inférieure de la structure. La couche de séparation 31 forme une paroi supérieure de ces cavités 41, 42 et permet ainsi de délimiter précisément les cavités 41, 42.
[0136] On fait ensuite croître par épitaxie un matériau semi-conducteur 48 dans les cavités 41, 42. Le matériau semi-conducteur 48 est avantageusement dopé in situ lors de l’étape de croissance par épitaxie. La croissance du matériau semi-conducteur 48 permet de former des blocs 51, 52 de source et de drain pour le transistor de niveau inférieur. Le matériau 48 peut être par exemple du SiGe : B, en particulier dans le cas où le transistor de niveau inférieur est de type PMOS. Les autres parties de la structure sont quant à elles protégées lors de l’épitaxie, ce qui permet de ne faire croître du matériau semi-conducteur qu’au niveau de la partie inférieure de la structure.
[0137] On peut ensuite former des blocs de source et de drain pour le transistor de niveau supérieur.
[0138] Pour cela, on peut déposer au préalable une couche isolante 57, par exemple à base d’oxyde de silicium, de sorte à recouvrir et protéger les blocs 51, 52 de source et de drain du transistor de niveau inférieur (figure 5N). Le dépôt peut être suivi d’une planarisation CMP.
[0139] On retire ensuite une épaisseur des blocs 33a, 33b de matériau isolant 33 agencés de part et d’autre d’une partie supérieure 8b de la structure. Pour effectuer ce retrait, une méthode illustrée sur les figures 5O-5R comprend la formation d’un masquage 58. Ce masquage peut être réalisé à partir d’une résine résolvante par photolithographie doté d’ouvertures 59a, 59b situées de part et d’autre de la structure (figure 50), et un retrait par gravure d’une couche de matériau isolant 33 à travers les ouvertures 59a, 59b. On libère ainsi des espaces 61a, 61b de part et d’autre de la structure et qui sont situés dans cet exemple de réalisation au-dessus et en regard respectivement des blocs de source 51 et de drain 52 du transistor inférieur (figure 5P). La gravure du matériau isolant 33 peut être réalisée par exemple à l’aide de C4L6/O2 et de sorte à conserver des portions 33’ de matériau isolant entre les blocs 51, 52 de source et de drain et les espaces 61a, 61b.
[0140] Puis, dans le cas où une couche de séparation 31 a été réalisée, on retire cette couche dans les espaces 61a, 61b (figure 5Q). Ce retrait est par exemple effectué à l’aide de H3 PO4 diluée (100°C) lorsque la couche de séparation 31 est en nitrure de silicium.
[0141] Dans le cas où la partie supérieure 8b de la structure est également recouverte de la fine couche 23 de protection, on retire ensuite également cette fine couche 23 de protection dans les espaces 61a, 61b. Ce retrait est par exemple effectué à l’aide de solution à base de HP lorsque la couche de séparation 23 de protection est en oxyde de silicium TEOS.
[0142] Les espaces 61a, 61b dévoilent alors des extrémités des deuxièmes barreaux semiconducteurs 5c, 5d, au niveau de la partie supérieure 8b de la structure.
[0143] On fait ensuite croître par épitaxie (figure 5S) un matériau semi-conducteur 64 dans les espaces 61a, 61b. Le matériau semi-conducteur 64 peut être dopé in situ lors de l’étape de croissance par épitaxie. La croissance du matériau semi-conducteur 56 permet de former des blocs semi-conducteurs 53, 54 de source et de drain pour le transistor de niveau supérieur. Le matériau 64 peut être par exemple du Si : P, en particulier dans le cas où le transistor de niveau supérieur est de type NMOS. Les autres parties de la structure sont quant à elles protégées lors de l’épitaxie.
[0144] On peut ensuite déposer une couche isolante 65, par exemple à base d’oxyde de silicium, de sorte à recouvrir et protéger les blocs 53, 54 de source et de drain du transistor de niveau supérieur. Ce dépôt est typiquement suivi d’une planarisation CMP avec arrêt sur le masque dur 12 (figure 5T).
[0145] Un exemple de procédé de réalisation d’éléments de contact pour les blocs de source et de drain des transistors superposés va à présent être décrit en liaison avec les figures 6A-6F.
[0146] On forme tout d’abord des trous 69a, 69b à travers le bloc 53 semi-conducteur de source et le bloc semi-conducteur 54 de drain du transistor de niveau supérieur, dont le fond atteint respectivement le bloc 51 de source et le bloc 53 de drain du transistor de niveau inférieur.
[0147] Pour cela, on peut, comme illustré sur la figure 6A, réaliser un masque 68 par exemple à base d’une résine résolvante (lithographie conventionnelle) comportant des ouvertures à travers lesquelles on effectue une gravure pour former les trous 69a, 69b. Cette gravure peut être réalisée avec un arrêt sur la couche isolante 31 de séparation, par exemple en nitrure de silicium. Lorsque cette couche isolante 31 de séparation est présente, on la retire ensuite de manière à dévoiler les blocs semi-conducteurs 51, 53 de source et de drain.
[0148] Ce retrait est typiquement réalisé à l’aide de C4L6/O2 lorsque la couche de séparation 31 est en nitrure de silicium (figure 6B).
[0149] On dépose (figure 6C) ensuite un matériau isolant 70 dans les trous 69a, 69b. Le matériau isolant 70 peut être le même que celui de la couche de séparation 31, par exemple en nitrure de silicium. Ce matériau isolant 70 permet de réaliser une enveloppe isolante ou une gaine isolante au niveau des parois verticales du trou. Une telle gaine isolante d’épaisseur comprise par exemple entre 2nm et lOnm, est prévue pour permettre d’isoler les contacts que l’on souhaite réaliser avec les blocs de source et de drain du transistor du bas, des blocs de source et de drain du transistor du haut et qui sont amenés à être traversés par ces contacts.
[0150] Une gravure anisotrope, par exemple à l’aide de CH3L / O2 / He / CH4 lorsque le matériau 70 est en nitrure de silicium, peut être ensuite réalisée afin de retirer ce matériau 70 au fond des trous 69a, 69b et dévoiler les blocs semi-conducteurs 51, 52 (figure 6D). Une telle gravure peut également être réalisée en dehors de l’embouchure des trous.
[0151] On peut ensuite procéder au remplissage des trous 69a, 69b par un matériau conducteur par exemple métallique et à base de TiN et de tungstène que l’on vient ensuite planariser. Selon un mode de réalisation particulier illustré sur les figures 6E-6G, où l’on souhaite former le matériau conducteur des contacts de blocs de source et drain du transistor inférieur en même temps que celui de contacts de source et de drain du transistor supérieur, on effectue ensuite un bouchage des trous 69a, 69b par un matériau 75 donné, par exemple tel que du TiN et tungstène.
[0152] On ménage ensuite une ouverture dans ce matériau 75, qui s’étend en regard et de la structure et des blocs semi-conducteurs 53, 54 du transistor supérieur. On réalise ensuite une gravure à travers cette ouverture pour réaliser des trous 76a, 76b dévoilant respectivement le bloc semi-conducteur 53 de source et le bloc semi-conducteur 54 de drain du transistor de niveau supérieur. Les trous 76a, 76b peuvent être effectués par lithographie (résine résolvante) en particulier lorsqu’il s’agit de graver la couche isolante 65 typiquement en oxyde de silicium.
[0153] On effectue ensuite un retrait sélectif dudit matériau donné afin de déboucher les trous 69a, 69b et dévoiler à nouveau les blocs semi-conducteurs 51, 52. Un tel retrait peut être effectué par exemple à l’aide de C4L6/O2.
[0154] Puis, on réalise un dépôt de matériau conducteur 78, par exemple du TiN et W, dans les trous 69a, 69b et 76a, 76b. Le métal est ensuite planarisé. Les trous 69a, 69b remplis de matériau conducteur 78 dont les fonds respectifs dévoilent les blocs semiconducteurs 51, 52 forment des éléments de contact 71, 72 de source et de drain du transistor de niveau inférieur. Les trous 76a, 76b remplis de matériau conducteur 78 dont les fonds respectifs dévoilent les blocs semi-conducteurs 51, 52 forment des éléments de contact 73, 74 de source et de drain du transistor de niveau supérieur (figure 6G).
[0155] Un exemple de procédé de réalisation d’une grille enrobante pour le transistor de niveau inférieur va à présent être donné en lien avec les figures 7A-7I.
[0156] On réalise tout d’abord un masque 81 par exemple à base d’une lithographie pour former une ouverture 82 qui s’étend dans une direction suivant un axe longitudinal de la structure et est disposée en regard d’une face de la structure empilée (figure 7A). A travers cette ouverture 82, on effectue une gravure pour réaliser un trou 83 qui s’étend contre une face de la structure empilée, de préférence sur toute sa hauteur (figure 7B). Cette gravure peut être réalisée à travers l’un de blocs isolants 27 en s’arrêtant sur la couche isolante 3 du substrat. Par exemple, pour une gravure d’oxyde de silicium on peut utiliser du C4L6/O2.
[0157] On remplit ensuite le trou d’un matériau 85 de préférence apte à être retiré sélectivement vis-à-vis de celui des blocs isolants (figure 7C). Le matériau 85 de rem plissage du trou 83 peut être par exemple du polysilicium, en particulier lorsque le trou est entouré d’oxyde de silicium. Après dépôt du matériau 85, on effectue typiquement une étape de planarisation CMP avec un arrêt sur l’oxyde de silicium.
[0158] On réalise ensuite une gravure de sorte à retirer une portion supérieure de matériau 85 dans le trou 83. On retire en particulier une épaisseur autrement dit une hauteur (dimension mesurée parallèlement à l’axe z) de matériau 85 au niveau de la partie supérieure 8b de la structure de barreaux empilés jusqu’à atteindre la couche de séparation 4’. Une partie 85’ du matériau 85 est conservée au niveau de la partie inférieure 8a de la structure et forme ainsi un bloc sacrificiel. Dans l’exemple de réalisation illustré sur la figure 7D, le retrait du matériau 85 est avantageusement réalisé de sorte que le sommet ou la face supérieure du bloc 85 sacrificiel est situé au niveau de la couche sacrificielle 4’ et plus particulièrement au niveau de la couche sacrificielle 4’i située sous la couche semi-conductrice 5\ de l’empilement formant la couche de séparation 4’.
[0159] On réalise ensuite un bloc isolant sur le bloc sacrificiel 85’.
[0160] Pour cela, on dépose typiquement un matériau isolant 86 dans le trou 83. Le matériau isolant 86 est par exemple de l’oxyde de silicium (figure 7E). Le dépôt de matériau isolant 86 peut être suivi d’une étape de planarisation CMP avec arrêt sur le masque dur. On forme ensuite un masque 87 par lithographie comportant une ouverture 88, située en regard du bloc sacrificiel 85’, mais qui est décalée de l’ouverture 83 précédemment réalisée pour former ce bloc 85’.
[0161] On effectue ensuite une gravure du matériau isolant 86 à travers l’ouverture 88, de sorte à former un puit 89 à travers le matériau isolant 86. Ce puit 89 dévoile le bloc sacrificiel 85’ et est réalisé tout en préservant une zone 86a de matériau isolant 86a contre une région supérieure 8b de la structure dans laquelle se situe(nt) les deuxièmes barreaux semi-conducteurs 5c, 5d (figure 7F).
[0162] On retire ensuite le bloc sacrificiel 85’ par gravure dans le prolongement du puit 89. Une telle gravure permet de dévoiler les barreaux semi-conducteurs 5a, 5b appartenant à la région inférieure 8b de la structure. Une gravure sélective vis-à-vis de l’oxyde de silicium est réalisée à l’aide par exemple de TMAH ou TEAH lorsque le bloc sacrificiel 85’ est en polysilicium.
[0163] On effectue ensuite, dans le prolongement d’un volume laissé libre par le bloc sacrificiel 85’ et situé lui-même dans le prolongement du puit 89, un retrait sélectif de la ou les couches sacrificielles 4 par rapport aux couches semi-conductrices 5. Ainsi, une gravure sélective du premier matériau semi-conducteur 6 vis-à-vis du deuxième matériau semi-conducteur 7 est effectuée. Les barreaux semi-conducteurs 5a, 5b de la partie inférieure 8b dudit empilement sont conservées tandis que les couches sacrificielles dévoilées par ledit volume laissé libre suite au retrait du bloc sacrificiel 85’ sont quant à eux retirées (figure 7H).
[0164] On dépose ensuite un diélectrique de grille et au moins un matériau de grille conducteur dans le puit 89 de sorte à combler le volume laissé libre par le retrait du bloc sacrificiel ainsi que les espaces au-dessus et au-dessous des barreaux 5a, 5b de matériau semi-conducteur 7. On forme ainsi une grille enrobante 91 tel qu’illustrée sur la figure 71 pour le transistor de niveau inférieur.
[0165] Un exemple de procédé de réalisation d’une grille enrobante pour le transistor de niveau supérieur va à présent être donné en lien avec les figures 8A-8D. Dans l’exemple de réalisation illustré, la grille du transistor de niveau supérieur est réalisée après la grille du transistor de niveau inférieur. L’ordre de ces étapes peut être toutefois en variante inversé dans la mesure où les grilles sont ici formées sur des faces distinctes et opposées l’une de l’autre de la structure.
[0166] On réalise tout d’abord un masque 93 typiquement par lithographie et à base de résine résolvante comportant une ouverture 94 qui s’étend en regard d’une face de la structure empilée (figure 8A). A travers cette ouverture 94, on effectue ensuite une gravure pour réaliser un trou 95 qui s’étend dans le prolongement de l’ouverture 94 contre une face de la structure empilée. Puis, on retire le masque 93 (figure 8B). La gravure du trou 95 est ici réalisée à travers l’un de blocs isolants 27.
[0167] On effectue ensuite, dans le prolongement du trou 95, un retrait sélectif de la ou les couches sacrificielles 4 par rapport aux couches semi-conductrices 5. Ainsi, les barreaux semi-conducteurs 5c, 5d de la partie inférieure dudit empilement sont conservées tandis que les couches sacrificielles dévoilées par le trou 95 sont retirées en réalisant une gravure sélective du premier matériau semi-conducteur 6 vis-à-vis du deuxième matériau semi-conducteur 7 (figure 8C).
[0168] On dépose ensuite un diélectrique de grille et au moins un matériau de grille conducteur dans le trou 95 de sorte à combler les espaces au-dessus et au-dessous des barreaux 5a, 5b de matériau semi-conducteur 7. On forme ainsi une grille enrobante 92 telle qu’illustrée sur la figure 8D pour le transistor de niveau supérieur.
[0169] Le procédé de réalisation a été décrit avec un empilement dans lequel les couches sacrificielles sont en matériau semi-conducteur. D’autres couples de matériaux peuvent être également prévus. Par exemple, le multicouche Si/SiGe peut être remplacé par un multi-couches comportant une alternance de couches isolantes et de couches semiconductrices. Un tel empilement peut être par exemple formé par épitaxie de couches sacrificielles en CaF2 et de couches semi-conductrices en Si ou bien de couches sacrificielles en CaSrF2 et de couches semi-conductrices SiGe ou de couches sacrificielles en spinelle (MgA12O4)) et de couches semi-conductrices en Si. Dans ce cas, une gravure sélective de la couche sacrificielle peut être réalisée par attaque chimique par exemple à l’aide de HNO3 ou d’un plasma d'H2O lorsqu’il s’agit de graver le CaF2. Des exemples de réalisation de tels empilements sont donnés dans le document « Si-Gate CMOS Devices on a Si/CaF2/Si Structure », de H. Onoda et al., IEEE Trans. Electron Devices, vol ED34-11, p. 2280 (1987), et « CHARACTERISTICS OF MOSFET PREPARED ON Si/MgO.A1203/Si02/Si STRUCTURE », de Y. Hokari et al., Tech. Digest IEDM, p. 368 (1983).
Claims (1)
-
Revendications [Revendication 1] Dispositif à transistors superposés comprenant : - un premier transistor (TJ d’un premier type, en particulier N ou P, le premier transistor ayant un canal formé dans un ou plusieurs premiers barreaux semi-conducteurs (5a, 5b) d’une structure semi-conductrice comportant des barreaux semi-conducteurs disposés les uns au-dessus des autres et alignés, un premier bloc (51) de source ou drain du premier transistor et un deuxième bloc (52) de drain ou de source du premier transistor étant disposés aux extrémités desdits un ou plusieurs premiers barreaux semi-conducteurs, le premier transistor étant muni d’une grille (91) enrobante agencée autour desdits un ou plusieurs premiers barreaux, - un deuxième transistor (T2) d’un deuxième type, en particulier P ou N, ayant une région de canal formée dans un ou plusieurs deuxièmes barreaux semi-conducteurs (5c, 5d) de ladite structure semi-conductrice et disposés au-dessus des premiers barreaux semi-conducteurs, un troisième bloc (53), de source ou de drain du deuxième transistor et un quatrième bloc (54) de drain ou de source étant disposés respectivement aux extrémités desdits un ou plusieurs deuxièmes barreaux semiconducteurs, le deuxième transistor étant muni d’une grille enrobante (92) agencée autour desdits un ou plusieurs deuxièmes barreaux, ledit troisième bloc (53), de source ou de drain du deuxième transistor étant distinct du premier bloc (51), de source ou de drain du deuxième transistor (T2), le quatrième bloc (54), de drain ou de source du deuxième transistor étant disposé au-dessus et étant distinct du deuxième bloc (52), de drain ou de source du deuxième transistor. [Revendication 2] Dispositif selon la revendication 1, comprenant au moins un premier élément conducteur (71) traversant ledit troisième bloc (53) et en contact avec le premier bloc (51), ledit premier élément conducteur étant isolé du troisième bloc par l’intermédiaire d’une gaine isolante (70) entourant ledit premier élément conducteur et/ou au moins un deuxième élément conducteur (72) traversant ledit quatrième bloc (54) et en contact avec ledit deuxième bloc (52), ledit deuxième élément conducteur étant entouré d’une gaine isolante (70), ledit deuxième élément de contact traversant étant isolé dudit quatrième bloc par l’intermédiaire de ladite gaine isolante. [Revendication 3] Dispositif selon la revendication 2, comprenant au moins un premier plot de contact conducteur (73) en contact avec ledit troisième bloc (53), et/ou au moins un deuxième plot de contact conducteur (74) en contact avec ledit quatrième bloc (54). [Revendication 4] Dispositif selon l’une des revendications 1 ou 2, dans lequel ledit premier bloc (51) et ledit deuxième bloc (52) sont à base d’un matériau semi-conducteur donné, dans lequel ledit troisième bloc (53) et ledit quatrième bloc (54) sont à base d’un autre matériau semi-conducteur différent dudit matériau semi-conducteur donné. [Revendication 5] Dispositif selon la revendication 4, dans lequel lesdits premiers barreaux semi-conducteurs (5a, 5b) et lesdits deuxièmes barreaux semiconducteurs (5c, 5d) sont en silicium, ledit matériau semi-conducteur donné, et ledit autre matériau semi-conducteur étant choisis parmi les matériaux suivant : silicium dopé N, en particulier au phosphore, et silicium germanium dopé P, en particulier au bore. [Revendication 6] Dispositif selon l’une des revendications 1 à 5, dans lequel ladite grille enrobante (91) du premier transistor (Ti) est distincte et isolée de ladite grille enrobante (92) du deuxième transistor (T2). [Revendication 7] Dispositif selon la revendication 6, dans lequel ladite grille (91) du premier transistor (Ti) comporte une portion verticale (91b) qui s’étend contre une première face latérale de la structure et en regard des premiers barreaux semi-conducteurs (5a, 5b) et des deuxièmes barreaux semi-conducteurs (5c, 5d), une zone isolante (86a) étant agencée entre une région de ladite portion verticale et ladite face latérale desdits deuxièmes barreaux. [Revendication 8] Dispositif selon la revendication 7, dans lequel ladite grille (92) du deuxième transistor (T2) comporte une partie verticale (92b) qui s’étend contre une deuxième face latérale de la structure opposée à ladite première face latérale et en regard des deuxièmes barreaux semiconducteurs (5c, 5d). [Revendication 9] Procédé de réalisation d’un dispositif selon l’une des revendications 1 à 8, dans lequel ladite structure semi-conductrice est formée par gravure d’un empilement comportant une alternance de couches semiconductrices (5) et de couches sacrificielles (4), lesdits un ou plusieurs premiers barreaux semi-conducteurs (5a, 5b) et lesdits un ou plusieurs deuxième barreaux semi-conducteurs (5c, 5d) étant réalisés à partir desdites couches semi-conductrices (5). [Revendication 10] Procédé selon la revendication 9, ledit empilement comportant : - une partie inférieure (8a) avec au moins une couche semi-conductrice (5) et au moins une première couche sacrificielle (4), - une partie supérieure (8b) avec au moins une couche semi-conductrice (5) et au moins une deuxième couche sacrificielle (4), - au moins une couche de séparation (4’) entre la partie inférieure (8a) et la partie supérieure (8b), ladite couche de séparation (4’) ayant une épaisseur supérieure auxdites première et deuxième couches sacrificielles (4). [Revendication 11] Procédé selon l’une des revendications 9 ou 10, dans lequel la formation desdits premiers bloc (51) et deuxième bloc (52), de source et de drain du premier transistor (TJ comprend : - former des régions sacrificielles (25’, 25”) de part et d’autre d’une partie inférieure (8a) de la structure, les régions sacrificielles étant agencées auxdites extrémités desdits un ou plusieurs premiers barreaux semi-conducteurs (5a, 5b), - former des blocs isolants (33a, 33b) sur les régions sacrificielles (25’, 25”) tout en préservant une zone latérale (25a) des régions sacrificielles (25’, 25”) dévoilée, - retirer les régions sacrificielles (25’, 25”) de sorte à former des cavités (41, 42) sous les blocs isolants (33a, 33b) et dévoiler lesdites extrémités desdits un ou plusieurs premiers barreaux semi-conducteurs, - faire croître par épitaxie des blocs de matériau semi-conducteur (48) dans lesdites cavités. [Revendication 12] Procédé selon la revendication 11, dans lequel la formation desdits troisième bloc (53) et quatrième bloc (54), de source et de drain du deuxième transistor (T2) comprend : - retirer une épaisseur desdits blocs isolants (33a, 33b) de part et d’autre d’une partie supérieure (8b) de la structure et dévoiler lesdites extrémités desdits un ou plusieurs deuxièmes barreaux semi-conducteurs (5c, 5d), le retrait de ladite épaisseur étant effectué de sorte à libérer des espaces (61a, 61b) des extrémités desdits un ou plusieurs deuxièmes barreaux semi-conducteurs (5c, 5d), - faire croître par épitaxie des blocs de matériau semi-conducteur (64) dans lesdits espaces. [Revendication 13] Procédé selon la revendication 12, comprenant en outre, après formation du premier (51) et deuxième bloc (52) du premier transistor et du troisième bloc (53) et quatrième bloc (54) du deuxième transistor, des étapes de : - formation de trous (69a, 69b) à travers le troisième bloc (53) et le quatrième bloc (54) du deuxième transistor, les trous dévoilant respectivement le premier bloc (51) et le deuxième bloc (52), - formation d’une gaine isolante (70) sur des parois verticales des trous (69a, 69b), puis - dépôt de matériau conducteur (78) dans les trous (69a, 69b). [Revendication 14] Procédé selon la revendication 13, dans lequel, après formation de la gaine isolante (70) et préalablement au remplissage des trous par ledit matériau conducteur (78), le procédé comprend des étapes de : - bouchage des trous (69a, 69b) par un matériau donné (75), - réalisation de deuxièmes trous (76a, 76b) dévoilant respectivement le troisième bloc (53) et le quatrième bloc (54) du deuxième transistor, - retrait dudit matériau donné (75), le dépôt dudit matériau conducteur (78) étant ensuite effectué dans lesdits trous (69a, 69b) et lesdits deuxièmes trous (76a, 76b). [Revendication 15] Procédé selon l’une des revendications 10 à 14, dans lequel la formation de ladite grille (91) du premier transistor comprend, dans cet ordre : - former un bloc sacrificiel (85’) contre une région inférieure (8a) de la structure, le bloc sacrificiel étant agencé contre le ou les premiers barreaux semi-conducteurs (5a, 5b), - former au moins un bloc isolant (86) sur ledit bloc sacrificiel, - former un puit (88) à travers le bloc isolant (86) de sorte à dévoiler le bloc sacrificiel (85’) tout en préservant une zone (86a) dudit bloc isolant (86) contre une région supérieure (8b) de la structure dans laquelle se situe(nt) le ou les deuxièmes barreaux semi-conducteurs (5c, 5d), - retirer le bloc sacrificiel (85’) par gravure à travers le puit (88), de sorte à dévoiler le ou les premiers barreaux semi-conducteurs (5a, 5b), - réaliser une gravure sélective de la ou les couches sacrificielles (5) par rapport aux premiers barreaux semi-conducteurs (4) dudit empilement, - déposer un diélectrique de grille et un matériau de grille dans le puit. [Revendication 16] Procédé selon l’une des revendications 10 à 15, dans laquelle ladite grille (91) du premier transistor est destinée à s’étendre contre une première face latérale de la structure et dans lequel la formation de ladite grille (92) du deuxième transistor comprend, dans cet ordre : - former un trou (95) d’accès à travers une couche isolante (27) disposée contre une deuxième face latérale de la structure opposée à ladite première face latérale, le trou d’accès dévoilant une région supérieure (8a) de la structure dans laquelle se situe(nt) le ou les deuxièmes barreaux semi-conducteurs (5c, 5d), - réaliser dans ledit trou d’accès une gravure sélective des couches sacrificielles (4) par rapport aux couches semi-conductrices (5) dudit empilement,- déposer un diélectrique de grille et un matériau de grille dans le trou d’accès (95).
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
FR1873985A FR3090998B1 (fr) | 2018-12-21 | 2018-12-21 | Architecture à transistors n et p superposes a structure de canal formee de nanofils |
US16/723,285 US11152360B2 (en) | 2018-12-21 | 2019-12-20 | Architecture of N and P transistors superposed with canal structure formed of nanowires |
US17/461,428 US20210391326A1 (en) | 2018-12-21 | 2021-08-30 | Architecture with stacked n and p transistors with a channel structure formed of nanowires |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
FR1873985A FR3090998B1 (fr) | 2018-12-21 | 2018-12-21 | Architecture à transistors n et p superposes a structure de canal formee de nanofils |
Publications (2)
Publication Number | Publication Date |
---|---|
FR3090998A1 true FR3090998A1 (fr) | 2020-06-26 |
FR3090998B1 FR3090998B1 (fr) | 2022-12-09 |
Family
ID=66641100
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
FR1873985A Active FR3090998B1 (fr) | 2018-12-21 | 2018-12-21 | Architecture à transistors n et p superposes a structure de canal formee de nanofils |
Country Status (2)
Country | Link |
---|---|
US (2) | US11152360B2 (fr) |
FR (1) | FR3090998B1 (fr) |
Families Citing this family (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FR3089343B1 (fr) * | 2018-11-29 | 2021-10-08 | Commissariat Energie Atomique | Procede de realisation d’un transistor fet |
US11798851B2 (en) * | 2020-04-14 | 2023-10-24 | International Business Machines Corporation | Work function metal patterning for nanosheet CFETs |
US11670677B2 (en) | 2020-10-02 | 2023-06-06 | Samsung Electronics Co., Ltd. | Crossing multi-stack nanosheet structure and method of manufacturing the same |
US11502167B2 (en) * | 2020-10-02 | 2022-11-15 | Samsung Electronics Co., Ltd. | Semiconductor device having stepped multi-stack transistor structure |
CN115425076A (zh) * | 2021-05-14 | 2022-12-02 | 三星电子株式会社 | 纳米片晶体管器件及其形成方法 |
CN115347043A (zh) * | 2021-05-14 | 2022-11-15 | 三星电子株式会社 | 纳米片晶体管器件及其形成方法 |
US11843001B2 (en) | 2021-05-14 | 2023-12-12 | Samsung Electronics Co., Ltd. | Devices including stacked nanosheet transistors |
US20230125316A1 (en) * | 2021-10-26 | 2023-04-27 | International Business Machines Corporation | Cfet with independent gate control and low parasitic capacitance |
US20230290776A1 (en) * | 2022-03-11 | 2023-09-14 | International Business Machines Corporation | Isolation between vertically stacked nanosheet devices |
US20230343823A1 (en) * | 2022-04-26 | 2023-10-26 | Samsung Electronics Co., Ltd. | 3d-stacked semiconductor device including source/drain inner spacers formed using channel isolation structure including thin silicon layer |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20110298052A1 (en) * | 2010-06-03 | 2011-12-08 | International Business Machines Corporation | Vertical Stacking of Field Effect Transistor Structures for Logic Gates |
US9837414B1 (en) * | 2016-10-31 | 2017-12-05 | International Business Machines Corporation | Stacked complementary FETs featuring vertically stacked horizontal nanowires |
US20180040695A1 (en) * | 2016-08-08 | 2018-02-08 | Tokyo Electron Limited | Three-dimensional semiconductor device and method of fabrication |
US20180047832A1 (en) * | 2016-08-10 | 2018-02-15 | Tokyo Electron Limited | Extension region for a semiconductor device |
US20180090387A1 (en) * | 2016-09-29 | 2018-03-29 | Globalfoundries Inc. | Method for forming nanowires including multiple integrated devices with alternate channel materials |
US20180315838A1 (en) * | 2015-12-18 | 2018-11-01 | Intel Corporation | Stacked transistors |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP3127862B1 (fr) * | 2015-08-06 | 2018-04-18 | IMEC vzw | Procédé de fabrication d'un dispositif à nanofils à grille enrobante avec deux nanofils différents |
US10381438B2 (en) * | 2017-11-02 | 2019-08-13 | International Business Machines Corporation | Vertically stacked NFETS and PFETS with gate-all-around structure |
US10553696B2 (en) * | 2017-11-21 | 2020-02-04 | International Business Machines Corporation | Full air-gap spacers for gate-all-around nanosheet field effect transistors |
US10833078B2 (en) * | 2017-12-04 | 2020-11-10 | Tokyo Electron Limited | Semiconductor apparatus having stacked gates and method of manufacture thereof |
US10388569B1 (en) * | 2018-06-26 | 2019-08-20 | International Business Machines Corporation | Formation of stacked nanosheet semiconductor devices |
US10741456B2 (en) * | 2018-10-10 | 2020-08-11 | International Business Machines Corporation | Vertically stacked nanosheet CMOS transistor |
US10734447B2 (en) * | 2018-10-22 | 2020-08-04 | International Business Machines Corporation | Field-effect transistor unit cells for neural networks with differential weights |
US11830933B2 (en) * | 2019-01-04 | 2023-11-28 | Intel Corporation | Gate-all-around integrated circuit structures having depopulated channel structures using bottom-up oxidation approach |
US10998233B2 (en) * | 2019-03-05 | 2021-05-04 | International Business Machines Corporation | Mechanically stable complementary field effect transistors |
US11037986B2 (en) * | 2019-06-19 | 2021-06-15 | International Business Machines Corporation | Stacked resistive memory with individual switch control |
CN110945657A (zh) * | 2019-10-22 | 2020-03-31 | 长江存储科技有限责任公司 | 具有处于存储器串中的口袋结构的三维存储器件及其形成方法 |
-
2018
- 2018-12-21 FR FR1873985A patent/FR3090998B1/fr active Active
-
2019
- 2019-12-20 US US16/723,285 patent/US11152360B2/en active Active
-
2021
- 2021-08-30 US US17/461,428 patent/US20210391326A1/en active Pending
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20110298052A1 (en) * | 2010-06-03 | 2011-12-08 | International Business Machines Corporation | Vertical Stacking of Field Effect Transistor Structures for Logic Gates |
US20180315838A1 (en) * | 2015-12-18 | 2018-11-01 | Intel Corporation | Stacked transistors |
US20180040695A1 (en) * | 2016-08-08 | 2018-02-08 | Tokyo Electron Limited | Three-dimensional semiconductor device and method of fabrication |
US20180047832A1 (en) * | 2016-08-10 | 2018-02-15 | Tokyo Electron Limited | Extension region for a semiconductor device |
US20180090387A1 (en) * | 2016-09-29 | 2018-03-29 | Globalfoundries Inc. | Method for forming nanowires including multiple integrated devices with alternate channel materials |
US9837414B1 (en) * | 2016-10-31 | 2017-12-05 | International Business Machines Corporation | Stacked complementary FETs featuring vertically stacked horizontal nanowires |
Non-Patent Citations (2)
Title |
---|
H. ONODA ET AL.: "Si-Gate CMOS Devices on a Si/CaF2/Si Structure", IEEE TRANS. ELECTRON DEVICES, vol. ED34-11, 1987, pages 2280 |
Y. HOKARI ET AL.: "CHARACTERISTICS OF MOSFET PREPARED ON Si/MgO.A1203/Si02/Si STRUCTURE", TECH. DIGEST IEDM, 1983, pages 368 |
Also Published As
Publication number | Publication date |
---|---|
FR3090998B1 (fr) | 2022-12-09 |
US20210391326A1 (en) | 2021-12-16 |
US20200203341A1 (en) | 2020-06-25 |
US11152360B2 (en) | 2021-10-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
FR3090998A1 (fr) | Architecture à transistors n et p superposes a structure de canal formee de nanofils | |
EP1869712B1 (fr) | Structure et procede de realisation d'un dispositif microelectronique dote d'un ou plusieurs fils quantiques aptes a former un canal ou plusieurs canaux de transistors | |
EP2654083A1 (fr) | Procédé ameliore de réalisation d'une structure de transistor a nano-fils superposes et a grille enrobante | |
US9502518B2 (en) | Multi-channel gate-all-around FET | |
FR3086456A1 (fr) | Procede de realisation de transistors superposes | |
EP2043141B1 (fr) | Structure de transistor double-grille dotée d'un canal a plusieurs branches | |
FR3060840A1 (fr) | Procede de realisation d'un dispositif semi-conducteur a espaceurs internes auto-alignes | |
FR3060839A1 (fr) | Procede de realisation d'un dispositif semi-conducteur a nanofil et espaceurs externe et interne alignes | |
FR3060838A1 (fr) | Procede de realisation d'un dispositif semi-conducteur a canal contraint en compression | |
FR3016237A1 (fr) | Dispositif a nanofils de semi-conducteur partiellement entoures par une grille | |
FR3005309A1 (fr) | Transistors a nanofils et planaires cointegres sur substrat soi utbox | |
EP1788635B1 (fr) | Procédé de réalisation de transistor à double grilles auto-alignées par réduction de motifs de grille | |
EP1690297B1 (fr) | Dispositif microelectronique a effet de champ apte a former un ou plusieurs canaux de transistors | |
EP3026711B1 (fr) | Procede ameliore pour induire une contrainte dans un canal de transistor a l'aide de regions source/drain sacrificielles et d'un remplacement de grille | |
EP4092750B1 (fr) | Memoire 1t1r a structure 3d | |
FR3050867A1 (fr) | Procede de fabrication d'un transistor a nanocouches a canal vertical | |
FR3085085A1 (fr) | Transistor a barreaux superposes et structure double-grille | |
EP4386634A1 (fr) | Electrodes d'échange pour réseau d'ilots quantiques | |
FR3089343A1 (fr) | Procede de realisation d’un transistor fet | |
FR3057105A1 (fr) | Dispositif a boite(s) quantique(s) comportant des dopants localises dans une couche semi-conductrice mince | |
FR3059148B1 (fr) | Realisation d'elements d'interconnexions auto-alignes pour circuit integre 3d | |
EP4141952A1 (fr) | Dispositif quantique intégrant une electrode enterrée metallique | |
FR3037437A1 (fr) | Realisation d'elements d'interconnexions auto-alignes pour circuit integre 3d | |
WO2006070154A1 (fr) | Structure amelioree de transistor sur film mince semi-conducteur | |
WO2019081837A1 (fr) | Procede de fabrication d'un composant electronique a doubles boites quantiques |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PLFP | Fee payment |
Year of fee payment: 2 |
|
PLSC | Publication of the preliminary search report |
Effective date: 20200626 |
|
PLFP | Fee payment |
Year of fee payment: 3 |
|
PLFP | Fee payment |
Year of fee payment: 4 |
|
PLFP | Fee payment |
Year of fee payment: 5 |
|
PLFP | Fee payment |
Year of fee payment: 6 |