EP4189449A1 - Electro-optical apparatus, semiconductor apparatus and semiconductor device, electro-optical arrangement and use - Google Patents
Electro-optical apparatus, semiconductor apparatus and semiconductor device, electro-optical arrangement and useInfo
- Publication number
- EP4189449A1 EP4189449A1 EP21752668.0A EP21752668A EP4189449A1 EP 4189449 A1 EP4189449 A1 EP 4189449A1 EP 21752668 A EP21752668 A EP 21752668A EP 4189449 A1 EP4189449 A1 EP 4189449A1
- Authority
- EP
- European Patent Office
- Prior art keywords
- contact
- elements
- waveguide
- active
- electro
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000004065 semiconductor Substances 0.000 title claims description 37
- 230000003993 interaction Effects 0.000 claims abstract description 116
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims abstract description 45
- 229910021389 graphene Inorganic materials 0.000 claims abstract description 45
- 239000011149 active material Substances 0.000 claims abstract description 16
- 239000000463 material Substances 0.000 claims description 51
- 239000004020 conductor Substances 0.000 claims description 34
- 230000008878 coupling Effects 0.000 claims description 17
- 238000010168 coupling process Methods 0.000 claims description 17
- 238000005859 coupling reaction Methods 0.000 claims description 17
- 238000010521 absorption reaction Methods 0.000 claims description 14
- 230000005670 electromagnetic radiation Effects 0.000 claims description 14
- 229910052710 silicon Inorganic materials 0.000 claims description 6
- 239000010703 silicon Substances 0.000 claims description 6
- 230000005684 electric field Effects 0.000 claims description 4
- 229920000642 polymer Polymers 0.000 claims description 4
- 229910052732 germanium Inorganic materials 0.000 claims description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 3
- IBXOPEGTOZQGQO-UHFFFAOYSA-N [Li].[Nb] Chemical compound [Li].[Nb] IBXOPEGTOZQGQO-UHFFFAOYSA-N 0.000 claims description 2
- 150000001875 compounds Chemical class 0.000 claims description 2
- 230000001419 dependent effect Effects 0.000 claims description 2
- 230000007704 transition Effects 0.000 claims 1
- 229910052751 metal Inorganic materials 0.000 description 20
- 239000002184 metal Substances 0.000 description 20
- 238000000034 method Methods 0.000 description 17
- 230000003287 optical effect Effects 0.000 description 15
- 239000000758 substrate Substances 0.000 description 14
- 239000011521 glass Substances 0.000 description 12
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 11
- 238000005530 etching Methods 0.000 description 11
- 150000002739 metals Chemical class 0.000 description 9
- 238000001020 plasma etching Methods 0.000 description 9
- 230000008569 process Effects 0.000 description 9
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 8
- 235000019592 roughness Nutrition 0.000 description 8
- 229910052782 aluminium Inorganic materials 0.000 description 7
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 7
- 230000008901 benefit Effects 0.000 description 7
- 238000011161 development Methods 0.000 description 7
- 239000003989 dielectric material Substances 0.000 description 7
- 230000000694 effects Effects 0.000 description 7
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 6
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 6
- 238000002161 passivation Methods 0.000 description 6
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- 235000012239 silicon dioxide Nutrition 0.000 description 5
- 239000000377 silicon dioxide Substances 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 4
- 229910052737 gold Inorganic materials 0.000 description 4
- 239000010931 gold Substances 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 229910052759 nickel Inorganic materials 0.000 description 4
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 4
- 238000005498 polishing Methods 0.000 description 4
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 3
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 3
- 238000004630 atomic force microscopy Methods 0.000 description 3
- 239000003990 capacitor Substances 0.000 description 3
- 239000002800 charge carrier Substances 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 239000006185 dispersion Substances 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- 229910052763 palladium Inorganic materials 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 229910052709 silver Inorganic materials 0.000 description 3
- 239000004332 silver Substances 0.000 description 3
- 229910052723 transition metal Inorganic materials 0.000 description 3
- 150000003624 transition metals Chemical class 0.000 description 3
- 229910052582 BN Inorganic materials 0.000 description 2
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 2
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 229910052804 chromium Inorganic materials 0.000 description 2
- 239000011651 chromium Substances 0.000 description 2
- 238000005253 cladding Methods 0.000 description 2
- 229910052681 coesite Inorganic materials 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 238000010276 construction Methods 0.000 description 2
- 229910052906 cristobalite Inorganic materials 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000010894 electron beam technology Methods 0.000 description 2
- GQYHUHYESMUTHG-UHFFFAOYSA-N lithium niobate Chemical compound [Li+].[O-][Nb](=O)=O GQYHUHYESMUTHG-UHFFFAOYSA-N 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- 239000011347 resin Substances 0.000 description 2
- 229920005989 resin Polymers 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 229910052682 stishovite Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 239000004408 titanium dioxide Substances 0.000 description 2
- 229910052905 tridymite Inorganic materials 0.000 description 2
- 238000009834 vaporization Methods 0.000 description 2
- 230000008016 vaporization Effects 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 230000005374 Kerr effect Effects 0.000 description 1
- YNPNZTXNASCQKK-UHFFFAOYSA-N Phenanthrene Natural products C1=CC=C2C3=CC=CC=C3C=CC2=C1 YNPNZTXNASCQKK-UHFFFAOYSA-N 0.000 description 1
- 230000005697 Pockels effect Effects 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- DGEZNRSVGBDHLK-UHFFFAOYSA-N [1,10]phenanthroline Chemical compound C1=CN=C2C3=NC=CC=C3C=CC2=C1 DGEZNRSVGBDHLK-UHFFFAOYSA-N 0.000 description 1
- KXNLCSXBJCPWGL-UHFFFAOYSA-N [Ga].[As].[In] Chemical compound [Ga].[As].[In] KXNLCSXBJCPWGL-UHFFFAOYSA-N 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000005387 chalcogenide glass Substances 0.000 description 1
- 230000002925 chemical effect Effects 0.000 description 1
- 229910052729 chemical element Inorganic materials 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000011889 copper foil Substances 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000001066 destructive effect Effects 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 239000000835 fiber Substances 0.000 description 1
- 239000003365 glass fiber Substances 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- ZUGYBSSWYZCQSV-UHFFFAOYSA-N indium(3+);phosphite Chemical compound [In+3].[O-]P([O-])[O-] ZUGYBSSWYZCQSV-UHFFFAOYSA-N 0.000 description 1
- AMGQUBHHOARCQH-UHFFFAOYSA-N indium;oxotin Chemical compound [In].[Sn]=O AMGQUBHHOARCQH-UHFFFAOYSA-N 0.000 description 1
- 150000002484 inorganic compounds Chemical class 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000031700 light absorption Effects 0.000 description 1
- 239000011344 liquid material Substances 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 239000003973 paint Substances 0.000 description 1
- 230000010363 phase shift Effects 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000001902 propagating effect Effects 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 230000003595 spectral effect Effects 0.000 description 1
- 238000003786 synthesis reaction Methods 0.000 description 1
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 description 1
- 230000005676 thermoelectric effect Effects 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 239000012780 transparent material Substances 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
Classifications
-
- G—PHYSICS
- G02—OPTICS
- G02F—OPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
- G02F1/00—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
- G02F1/01—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour
- G02F1/21—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour by interference
- G02F1/225—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour by interference in an optical waveguide structure
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B6/122—Basic optical elements, e.g. light-guiding paths
- G02B6/1226—Basic optical elements, e.g. light-guiding paths involving surface plasmon interaction
-
- G—PHYSICS
- G02—OPTICS
- G02F—OPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
- G02F1/00—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
- G02F1/01—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour
- G02F1/21—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour by interference
- G02F1/212—Mach-Zehnder type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/02—Details
- H01L31/02002—Arrangements for conducting electric current to or from the device in operations
- H01L31/02005—Arrangements for conducting electric current to or from the device in operations for device characterised by at least one potential jump barrier or surface barrier
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/02—Details
- H01L31/0224—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/0248—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
- H01L31/0352—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
- H01L31/035209—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions comprising a quantum structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/08—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
- H01L31/09—Devices sensitive to infrared, visible or ultraviolet radiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L31/00—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
- H01L31/08—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
- H01L31/10—Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
- H01L31/101—Devices sensitive to infrared, visible or ultraviolet radiation
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B2006/12035—Materials
- G02B2006/12061—Silicon
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B2006/12083—Constructional arrangements
- G02B2006/12097—Ridge, rib or the like
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B6/00—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
- G02B6/10—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
- G02B6/12—Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
- G02B2006/12133—Functions
- G02B2006/12142—Modulator
-
- G—PHYSICS
- G02—OPTICS
- G02F—OPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
- G02F2201/00—Constructional arrangements not provided for in groups G02F1/00 - G02F7/00
- G02F2201/12—Constructional arrangements not provided for in groups G02F1/00 - G02F7/00 electrode
- G02F2201/122—Constructional arrangements not provided for in groups G02F1/00 - G02F7/00 electrode having a particular pattern
Definitions
- Electro-optical device semiconductor device and device, electro-optical assembly and use
- the invention relates to an electro-optical device, in particular Pho todetector or modulator.
- the invention relates to a semiconductor device with a chip and at least one electro-optical device, a semiconductor device with a wafer and at least one electro-optical device, an electro-optical arrangement and a use.
- Electro-optical devices such as photodetectors or electro-optical modulators, are already known from the prior art. These comprise, for example, a waveguide or a longitudinal section of such and--in the case of a photodetector--one or--in the case of an electro-optical modulator--two graphene films as active elements. Such devices are disclosed, for example, in US Pat. No. 9,893,219 B2.
- the active element or elements overlap the longitudinal section of the waveguide.
- the active element or elements are in contact with contact elements arranged on the side of the waveguide, via which a connection to further components is achieved.
- the contact elements can be given, for example, by films or layers of metal, via which an electrical coupling of the active element or elements with other components is possible.
- the area in which the graphene film or graphene films overlap the waveguide can also be understood and referred to as the interaction area.
- an electro-optical device in particular a photodetector or modulator, with two interaction regions, each of which longitudinally section a waveguide and one or two active elements, the or each at least one electro-optically active material , in particular graphene, comprises or consists of it, the longitudinal waveguide sections of the two interaction regions being arranged spaced apart from one another, and the or the respective active element being located at least in sections above and/or below and/or within the longitudinal waveguide section of the respective interaction region extends, and wherein two or more contact elements are provided, each of which is in contact with we least one of the active elements, wherein at least one inner contact element, which is arranged between the two spaced-length waveguide length sections and is used as the inner Sig nal contact, and two outer contact elements, which are each arranged on the other side of the respective longitudinal waveguide section in relation to the inner contact element and each serve as an outer ground contact, or an outer contact element, which at least in sections is at least
- the invention is based on the idea of providing two interaction regions, each with a waveguide longitudinal section, in an electro-optical device, in particular a photodetector or modulator.
- the longitudinal waveguide sections are spaced apart from one another, so that there is space available between them in order to provide an additional contact element there, which does not have the disadvantage of a contact element arranged on a waveguide.
- a GSG configuration with a central signal contact and two lateral ground contacts can be achieved without the disadvantage of undesired signal contact-waveguide interactions.
- Arrangements with a central signal and external ground contacts are particularly advantageous for connecting high-frequency components to coplanar or coaxial interfaces, since the high-frequency signals can be transmitted to coplanar or coaxial arrangements with less interference .
- an electro-optical device such as a photodetector or modulator, is arranged on a planar chip surface or forms part of such a surface.
- a particularly interference-free transmission from the planar chip surface to coplanar or coaxial arrangements can then be achieved.
- an inner contact element preferably precisely one inner contact element
- this is preferably in contact both with the or one of the active elements of one interaction area and with the or one of the active elements of the other interaction area.
- one of the inner contact elements is in contact with the or an active element of one interaction area and the other inner contact element is in contact with the or an active element of the other interaction area.
- the two inner contact elements can be electrically be connected to one another and/or to a common (signal) connection point.
- outer contact element this can be in contact both with the or one of the active elements of one interaction area and with the or one of the active elements of the other interaction area.
- one of the outer contact elements is in contact with the or an active element of one interaction area and the other outer contact element is in contact with the or an active element of the other interaction area. It has proven to be particularly advantageous if exactly one inner contact element connected to the or an active element of both interaction areas and exactly two outer contact elements, each of which is only in contact with the active element or one of the two interaction areas, available.
- the outer contact elements are then preferably arranged on two opposite sides of the inner contact element.
- the outer contact element(s) and the inner contact element(s) are particularly preferably arranged in a line.
- two longitudinal waveguide sections in a further advantageous embodiment, it applies that they are part of a waveguide.
- two spaced sections of a wave conductor A particularly suitable example of such a design is given by a waveguide with a fork with two branching arms, one of the longitudinal waveguide sections lying in the region of one arm of the fork.
- a splitter is then preferably provided, with which an incoming light signal can be distributed between the two arms of the fork, preferably in equal proportions.
- light is to be understood not only as electromagnetic radiation from the spectral range visible to the human eye, but also radiation outside of this, for example from the infrared and/or ultraviolet wavelength range.
- the splitter is particularly preferably designed as a 50/50 splitter, with which two output signals of the same size can be obtained from an input signal.
- the splitter can, for example, be in the form of an MMI splitter or a directional coupler or include one. MMI stands for Multi Mode Interference.
- the configuration with a fork can offer the advantage of symmetrical absorption, particularly in the case of a detector.
- the waveguide is characterized, at least in sections, by an at least substantially U-shaped course with two spaced apart, preferably at least substantially parallel, in particular rectilinear arms and one preferably connecting the two arms linear connection section, and one of the enclosed the lengths of waveguide in the region of one of the arms. Then there is space within the U-shaped section for the at least one inner contact element and a GSG configuration can be obtained in a further, particularly suitable manner.
- an electro-optical device in particular a photodetector or modulator, with an interaction region which has an at least essentially U-shaped longitudinal waveguide section with two arms spaced apart from one another and a connecting the two arms Connecting section, and one or two at least partially U-shaped active elements with two arms spaced apart from one another and a connecting section connecting the two arms, wherein the or the respective active element comprises at least one electro-optically active material, in particular graphene or consists of it, wherein the or the respective active element extends at least in sections above and/or below and/or within the longitudinal waveguide section, and two or more contact elements are provided, each with the or one of the active Elements are in contact, with at least one inner contact element, which is arranged within the at least partially at least essentially U-shaped longitudinal waveguide section and serves as an inner signal contact, and two outer contact elements, each on the other in relation to the inner contact element are arranged on the side of the respective arm of the
- the active element(s) are also essentially U-shaped and the active element(s) expediently extend not only in the area of the arms of the longitudinal waveguide section over and/or within it, but also in the area of the the arms connecting connection section.
- the at least essentially U-shaped longitudinal waveguide section is part of a waveguide that is not closed in a ring shape.
- it is part of an open waveguide.
- a ring-shaped closed waveguide is to be understood in particular as one that has neither a beginning nor an end due to the ring-shaped arrangement, so that coupled-in light propagates in this resonator and interferes with itself. This is not the case with an open waveguide, but light propagates along the course of the waveguide and is not returned to itself, so that it does not interfere with itself.
- the cross-sectional area in the area of one arm of the waveguide is larger than the cross-sectional area in the area of the other arm of the waveguide.
- the cross-sectional area is then expediently in the direction specified in the direction of light propagation tends to be larger in the first arm than in the second arm viewed in the direction of light propagation.
- the absorption of the electromagnetic radiation along the direction of propagation means that more is absorbed in the active element or elements in the region of the first arm than in that or those of the second. Then the high-frequency mode can advantageously be excited asymmetrically.
- the waveguide cross-section in the U-shaped area of the waveguide can be specifically adjusted in such a way that the interaction of the light per length along the direction of propagation with the active element(s) in the first arm is just as much lower compared to the second arm is that the absorbed power in both arms just becomes or is identical.
- the waveguide cross-section in the first arm can be widened in order to guide the optical mode further inside the waveguide and thus reduce the interaction in the first arm.
- the aim of the adjustment is to absorb half the power in the first arm compared to the power available at the beginning.
- one of the outer contact elements is in contact with one arm of the or one of the active elements and the other outer contact element is in contact with the other arm of the or one of the active elements.
- Both for the electro-optical device according to the invention according to the first aspect and for the inventive electro-optical device according to the second aspect, if it is designed as a particular electro-optical modulator, that the or the respective interaction area has two active may include elements.
- the one or one of the inner contact elements is then preferably in contact with the one active element of the or the respective interaction area and the or one of the outer contact elements is in contact with the other active element of the or the respective interaction area.
- the respective contact element can in particular be in contact with the respective active element on one side of the latter.
- the or the respective interaction area comprises an active element and a (conventional) electrode, preferably with the active element of the or the respective interaction area being the or one of the inner contact elements and with the electrode of the respective Interaction area that or one of the outer contact elements is in contact or vice versa.
- the respective contact element can be in contact with the respective active element or the respective electrode, in particular on one side thereof.
- the or the respective electrode is in contact with the or an inner contact element and the or the respective active element is in contact with the or an outer contact element. If there is one active element and one electrode instead of two active elements, it can also apply to the electrode in a further development that at least sections of it are at least essentially U-shaped with two arms and a connecting section connecting the arms. The arms can be straight and extend parallel to each other. The connection section can also be rectilinear.
- the two active elements or the active element and the electrode of the or the respective interaction area are spaced apart from one another and offset from one another in such a way that they are superimposed in sections in an overlapping area.
- a section of one active element then aligns or overlaps with a section of the other active element or the electrode, expediently without them touching.
- the or the respective two active elements or the or the respective active element and the or the respective electrode or at least sections of these extend at least essentially parallel to one another .
- the extent of the overlapping region in the transverse direction is in the range from 10 nm to 1000 nm. It preferably corresponds to the width of the waveguide.
- the overlapping region is arranged above or below the or at least one of the gaps. If an element or section is at least essentially U-shaped with two arms and a connecting section, in a further development the arms can extend at least essentially parallel to one another and/or be straight.
- the connecting section can also be formed in a straight line.
- a waveguide bypass section can also be provided, which bridges one interaction area or the two interaction areas, so that in particular light originating from the same source can pass through the waveguide bypass section on the one Interaction area can be rich or passed the two interaction areas.
- the device is configured as an interferometer or as a component part of an interferometer.
- a splitter can be provided, by means of which light can be split onto the waveguide bypass section on the one hand and the longitudinal waveguide section of the interaction area or the longitudinal waveguide sections of the interaction areas on the other.
- the longitudinal waveguide section of the interaction area or the longitudinal waveguide sections of the interaction areas can also be part of a waveguide, at one end of which there is a coupling device for coupling light in and/or out, or at both ends of which there is a coupling device for coupling light in and/or out is provided.
- the respective two active elements are or are spaced apart from one another and offset from one another in such a way that they lie on top of one another in sections in an overlapping area.
- an active element and a (conventional) electrode are assigned to the lengthwise sections of the waveguide, it can apply analogously in a preferred embodiment that the active element or the respective electrode and the respective electrode are arranged at a distance from one another and offset from one another in this way or be that they are in an overlapping area from sections on top of each other.
- a section of one active element then aligns or overlaps with a section of the other active element or the electrode, expediently without them touching.
- the or the respective two active elements or the or the respective active element and the or the respective electrode or at least sections of these extend at least essentially parallel to one another .
- a longitudinal section of a waveguide is to be understood in particular as a section of a waveguide that extends only over part of the total length of a waveguide in its longitudinal direction, which preferably coincides with the direction of light propagation, and over the entire cross section of the waveguide.
- An electro-optical device according to the invention can be designed, for example, as a photodetector or, in particular, as an electro-optical modulator. It can also be in the form of an interferometer, such as a Mach-Zehnder interferometer, or form part of an interferometer, such as a Mach-Zehnder interferometer.
- an electro-optical device according to the invention designed as a modulator can be a component of a Mach-Zehnder-based phase modulator arrangement.
- a photodetector can be used in particular to convert signals back from the optical to the electronic world.
- An electro-optical modulator can be used in particular for optical signal coding.
- An electro-optical modulator can also be designed as a ring modulator.
- the active element or elements of the electro-optical device according to the invention comprise at least one electro-optically active material or consist of one or more such.
- a material changes its refractive index means in particular that it changes its dispersion (in particular the refractive index) and/or its absorption.
- the dispersion or refractive index is usually given by the real part and the absorption by the imaginary part of the complex refractive index.
- materials whose refractive index changes as a function of a voltage and/or the presence of charge(s) and/or an electric field are to be understood in particular as meaning those that are caused by the Pockels effect and/or the Franz-Keldysh -Effect and/or distinguish the Kerr effect.
- materials that are characterized by the plasma dispersion effect are also considered to be such materials in the present case.
- At least one of the active elements is graphene, optionally chemically modified graphene, and/or at least one dichalcogenide, in particular two-dimensional transition metal dichalcogenide, in the at least one electro-optically active material , and/or heterostructures made of two-dimensional materials and/or germanium.
- the at least one electro-optically active material is at least one of the active elements one that absorbs electromagnetic radiation with a wavelength of 850 nm and/or 1310 nm and/or 1550 nm and, as a result of the absorption Can generate photosig nal.
- electromagnetic radiation in the wavelength range from 800 nm to 900 nm and/or from 1260 nm to 1360 nm (so-called original band or O-band for short) and/or 1360 nm to 1460 nm (so-called extended band or short E-band) and/or 1460 nm to 1530 nm (so-called short band or S-band for short) and/or from 1530 nm to 1565 nm (so-called conventional band or C-band for short) and/or 1565 nm to 1625 nm (So-called long band or L-band for short) absorb and can generate a photo signal as a result of the absorption.
- the or at least one of the active elements that is assigned to the longitudinal waveguide section and/or the further longitudinal waveguide section is present in the form of a film.
- a film is preferably characterized in a manner known per se by a significantly greater lateral extent than thickness.
- the or at least one of the active elements can also be characterized by a square or rectangular cross-section.
- the or at least one active element can also comprise one or more layers or layers of at least one material whose refractive index changes and/or which absorbs, or be formed from one or more layers or layers of at least one such material. Provision can also be made for the or at least one active element to be in the form of a film which comprises a plurality of plies or layers made from one or else different materials.
- Films made of graphene, possibly chemically modified graphene, or dichalcogenide-graphene heterostructures consisting of at least one layer of graphene and at least one layer of a dichalcogenide or arrangements of at least one layer of boron nitride and at least one layer of graphene have proven to be particularly suitable .
- the active element or elements can also be doped or have doped sections or regions, for example be p-doped and/or n-doped or include corresponding sections or regions. It may also be the case that a p-doped and an n-doped region and an undoped region preferably lying in between are present or provided. This is also referred to as a pin junction, where the i stands for intrinsic, i.e. undoped.
- That an element or also a layer is arranged or extends above or below a waveguide longitudinal section or (another) element or (another) layer includes both that it is located directly on or directly under the longitudinal waveguide section or element or the layer, and with this or this, for example with the top or bottom of the longitudinal waveguide section or element or the Layer is in contact, so it touches, or that something else, such as at least one other element or at least one other layer (top or bottom), is in between.
- a passivation layer and/or a cladding can be provided above at least one of the active elements.
- a cladding is particularly suitable or designed to make the index contrast somewhat lower, so that roughness on the side walls does not have such a strong effect; the losses usually go back into the waveguide or waves.
- a passivation layer preferably serves the purpose of protecting the arrangement or circuit from environmental influences, in particular water.
- a passivation layer can consist of an electrical material, for example. Aluminum oxide (AL 2 O3) and silicon dioxide (S1O 2 ) have proven to be particularly suitable.
- An upper, final passivation layer expediently has openings or interruptions to contacts underneath in order to enable an electrical connection. Openings or interruptions in a passivation layer can be or have been obtained, for example, by lithography and/or etching, in particular reactive ion etching.
- the contact elements provided according to the invention are electrically conductive elements, which can also be regarded as electrodes or can represent such. They are expediently metallic, in particular comprise at least one metal, preferably titanium, nickel, palladium or aluminum minimum, or consist of such. In a preferred embodiment, the contact elements can comprise nickel and/or titanium and/or aluminum and/or copper and/or chromium and/or palladium and/or platinum and/or gold and/or silver or consist of one or more of these metals.
- the contact elements can also include several layers, for example two or three, or consist of several layers, for example two or three layers. Each of the layers can then, for example, comprise one or more of the metals mentioned or consist of one or more of the metals mentioned.
- the layers are configured differently.
- the or at least one or each contact element can comprise a, for example upper, layer with or made of a metal or with or from a combination of metals and a further, for example lower, layer with or made of another metal or with or from a different combination of metals.
- a layer of nickel and a layer of aluminum can be provided, or a layer of titanium and a layer of aluminum.
- only one of the layers may be in contact with the or active element, for example a bottom layer.
- the contact elements are preferably used to connect or connect to a coaxial or coplanar conductor, with such a conductor usually not being directly connected to the contact elements, but rather an interface or connection device for such a conductor, in particular for Can be used with such a connection, which is then brought into contact with the contact elements.
- This can also be used for size adjustment, since the magnitude of the dimensioning of an electro-optical device according to the invention corresponds to the magnitude of the dimensioning of, for example, a conventional coaxial one Conductor, such as coaxial cable, or coplanar conductor can clearly distinguish.
- a coaxial conductor such as a cable, is understood to mean, in a manner known per se, one which has an elongate inner conductor element and a hollow-cylindrical outer conductor element surrounding it, which is also referred to as a jacket.
- a coplanar conductor is to be understood in particular as one which has an elongate inner conductor element and two elongate outer conductor elements which are arranged on both sides of the inner conductor element and expediently extend parallel to the inner conductor element.
- At least one of the contact elements can be deposited by deposition, in particular chemical vapor deposition (CVD), preferably low-pressure chemical vapor deposition (LPCVD) and/or plasma-enhanced chemical vapor deposition plasma enhanced chemical vapor deposition, PECVD for short) and/or by physical vapor deposition (PVD for short) of a coating material are or have been produced.
- CVD chemical vapor deposition
- LPCVD low-pressure chemical vapor deposition
- PECVD plasma-enhanced chemical vapor deposition plasma enhanced chemical vapor deposition
- PVD physical vapor deposition
- Electron beam vaporization in which material is melted and vaporized by means of an electron beam
- thermal vaporization in which material is heated to the melting point by means of a heater and vaporized onto a target substrate, as well as cathode sputtering (English: sput ter deposition), in which a plasma is used to knock atoms out of a material carrier and deposit them on a target substrate.
- atomic layer deposition can also be used in order to obtain the or the respective gate electrode.
- insulating or conductive materials dielectrics, semiconductors or metals are deposited sequentially, atomic layer by atomic layer.
- a transfer procedure can also be used or may have been used. This means in particular that the or the respective element is/are or was/were not produced monolithically, for example on a chip or wafer, but rather is/were produced separately and then transferred, in other words is/are or was/were transferred.
- a graphene transfer method is known, for example, from the papers “Large-Area Synthesis of High-Quality and Uniform Graphene Films on Copper Foils” by Li et al., Science 324, 1312, (2009) and “Roll-to-roll production of 30-inch graphene films for transparent electrodes” by Bae et al, Nature Nanotech 5, 574-578 (2010) or for LiNbO from the article “Integrated lithium niobate electro-optic modulators operating at CMOS-compatible voltages” , Natu re volume 562, pages 101104 (2016) or for GaAs from the article “Transfer print techniques for heterogeneous integration of photonic components”, Progress in Quantum Electronics Volume 52, March 2017, Pages 1-17 known.
- One of these methods can also be used within the scope of the present invention in order to obtain one or more graphene or LiNbO or GaAs layers/films.
- a structuring can follow a transfer process.
- the aforementioned methods can also be used or have been used to preserve the active element(s) and/or the lengthwise section of the waveguide of the or the respective interaction region of an electro-optical device according to the invention.
- At least one of the contact elements is assigned at least one connecting element that is in contact with it.
- a connection to one or more integrated electronic components, such as transistors, for example from the front-end-of-line of a chip or wafer can be achieved or implemented via the connecting element(s).
- Being connected is expediently to be understood as being connected in an electrically conductive manner.
- a plurality of connecting elements, with which the contact element is expediently in contact are assigned to at least a section of at least essentially U-shaped contact element.
- each arm of such a contact element can be assigned at least one connecting element, with which the respective arm is expediently in contact.
- connection element(s) are preferably vertical electrical connections, which are also referred to as Vertical Interconnect Access, Via or VIA for short. Vias are usually defined by lithography and, in particular, etched dry-chemically by means of reactive ion etching (RIE for short).
- RIE reactive ion etching
- metallization is preferred and the metallized surface is structured by means of CMP (damascene process) or by means of lithography and RIE.
- Reactive ion etching is a dry etching process in which selective and directed etching of a substrate surface is made possible, usually by means of special gaseous chemicals that are excited to form a plasma.
- a paint mask can protect parts that are not to be etched.
- the etching chemistry and the parameters of the process usually determine the selectivity of the process, i.e. the etching rates of different materials. This property is crucial for limiting the depth of an etching process and thus for defining layers separately from one another.
- the connecting elements expediently comprise or consist of at least one electrically conductive material, in particular metal, such as copper and/or aluminum and/or tungsten.
- the connecting elements can, for example, extend vertically through a chip or wafer or a substrate, in particular a semiconductor substrate, above which one or more electro-optical devices according to the invention are arranged.
- the longitudinal waveguide sections of these can be arranged, for example, on a substrate surface.
- the or at least one of the active elements of the or of the respective interaction area is or will be arranged expediently relative to the longitudinal waveguide section of the or of the respective interaction area in such a way that it is at least partially exposed to the evanescent field of electromagnetic radiation that is guided with it. is exposed.
- the or at least one active element is or will be arranged at a distance of less than or equal to 50 nm, particularly preferably less than or equal to 30 nm, from the longitudinal waveguide section, for example at a distance of 10 nm.
- the active element or at least one of the active elements is also preferably characterized by an extension in the longitudinal direction in the range from 5 to 500 micrometers.
- the or at least one of the active elements extends at least in sections above and/or within a longitudinal section of the waveguide assigned to it, in the latter case for example between two parts or segments thereof.
- part of the electromagnetic radiation, in particular the light is guided evanescently outside the waveguide.
- the interface of the waveguide is dielectric and accordingly the intensity distribution is described by the boundary conditions according to Maxwell with an exponential decay. If an electro-optically active material, for example graphene, is placed on or near the waveguide in the evanescent field, photons can interact with the material, in particular graphene.
- thermoelectric effect due to which a thermoelectric voltage results from a pn junction and a temperature gradient at this junction due to different Seebeck coefficients for the p and n regions. The temperature gradient is created by the energy of the absorbed optical signal. This thermal voltage is then the signal.
- the fourth effect is given by the fact that the excited electron-hole pairs are separated at a pn junction. The resulting photocurrent is the signal.
- an electrode in particular an electric control electrode, and an active element, which is appropriately insulated for this purpose, can be provided with or made of at least one electro-optically active material, in particular graphene, or two active elements, which are in operation together in the evanescent field and perform the electro-optical function.
- Graphene for example, can change its optical properties through a control voltage.
- a capacitance is created and the two graphene films influence one another. The capacitance consisting of the two active elements forming the graphene electrodes is charged by a voltage and the electrons occupy states in the graphene.
- the Fermi energy energy of the last occupied state in the crystal
- the graphene is therefore transparent because absorption is forbidden.
- the intensity of a continuously shining laser beam is modulated and can thus be used to transmit information.
- the real part of the refractive index also changes with the control voltage.
- the phase position of a laser can be modulated via the changing refractive index and phase modulation can thus be achieved.
- the phase modulation is preferably operated in a range in which all states are occupied up to more than half the photon energy, so that the graphene is transparent and the real part of the refractive index shifts significantly and the change in absorption plays a subordinate role.
- a waveguide or a length of waveguide is a component that guides an electromagnetic wave, such as light, and is designed accordingly.
- a cross-section that is dependent on the wavelength of an optically transparent material is expediently seen, which differs from a neighboring material, which is also transparent to this wavelength, by a refractive index contrast. If the refractive index of the surrounding material is lower, the light is guided in the area with the higher refractive index.
- two areas with a high refractive index are separated by an area with a low refractive index that is narrow in terms of wavelength, and the light is guided in the area of the low refractive index.
- one or more waveguides will be provided—for example on a chip or a wafer.
- a longitudinal section of a waveguide will be part of an electro-optical device according to the invention, for example a longitudinal section which extends below an active element of the latter. It goes without saying, however, that it cannot be ruled out that a waveguide is considered to be a component of an electro-optical device according to the invention over its entire length. In other words, in addition to the longitudinal section of a waveguide extending below an active element, such a section can also include the remainder of such a section.
- a waveguide can, for example, be in the form of a strip waveguide, which is distinguished, for example, by a rectangular or square cross section, which then also applies to a longitudinal section of such.
- a waveguide can also be designed as a ribbed wave conductor with a T-shaped cross section.
- a waveguide it is possible for a waveguide to be provided by a slotted waveguide which has at least one gap.
- a waveguide or longitudinal section of such a cross-section can also include several sections or segments and be designed in several parts, such as a first, for example, lower or left, and a second, for example, upper or right segment include or consist of.
- a slot waveguide or length of such, for example may have a left and right segment between which the slot or gap is formed. It may be that one or more waveguide segments are characterized by a rectangular or square cross-section. It is also possible that a waveguide (longitudinal section) or one or more segments of such a characterized at least in sections by a tapering cross-section and/or at least in sections by a widening cross-section. If there are several segments, they can be arranged at a distance from one another or they can lie directly next to one another and be in contact with one another, for example because a segment has been produced directly on top of another segment.
- the thickness may range from 150 nanometers to 10 micrometers.
- the width and length can be in the range of 100 nanometers and 10 micrometers in particular.
- the longitudinal waveguide section or sections comprise at least one material that is transparent to electromagnetic radiation with a wavelength of 850 nm and/or 1310 nm and/or 1550 nm or consists of such a material. It is particularly preferred for electromagnetic radiation in the wavelength range from 800 nm to 900 nm and/or from 1260 nm to 1360 nm (so-called original band or O-band for short) and/or 1360 nm to 1460 nm (so-called extend band or short E-band) and/or 1460 nm to 1530 nm (so-called short band or S-band for short) and/or from 1530 nm to 1565 nm (so-called conventional band or C-band for short) and/or 1565 nm to 1625 nm (so-called Long Band or L-Band for short) transparent.
- These bands are already known from the field of communications engineering.
- Materials that have proven to be particularly suitable for waveguides include, for example: titanium dioxide and/or aluminum nitride and/or tantalum pentoxide and/or silicon nitride and/or aluminum oxide and/or silicon oxynitride and/or lithium niobate and/or silicon, in particular polysilicon, and/or indium phosphite and/or gallium arsenide and/or indium gallium arsenide and/or aluminum gallium arsenide and/or at least one dichalcogenide, in particular two-dimensional transition metal dichalcogenide, and/or chalcogenide glass and/or heterostructures made of two-dimensional materials and/or resins or Materials containing resin, in particular SU8, and/or polymers or materials containing polymers, in particular OrmoClad and/or OrmoCore.
- the longitudinal waveguide section and/or the further longitudinal waveguide section can comprise one or more of these materials or consist of one of these materials or a combination of two or more
- the or the respective longitudinal waveguide section has a plurality of segments in cross section, these can all comprise the same material or consist of the same material or materials.
- two or more segments may differ in terms of their material or materials.
- at least one segment may have a refractive index that is greater than the refractive index of at least one other segment.
- the outer segments can have a lower refractive index. Then the light is focused in the center of the waveguide array.
- An upper and lower segment made of aluminum oxide with a middle segment made of titanium oxide located between them should be mentioned purely as an example of associated materials.
- Different materials for the segments can also be advantageous for the reason that they are characterized by different etching rates. This can offer advantages in the context of production, for example for the necessary structuring.
- the lengthwise section of the waveguide of the or of the respective interaction area preferably consists of at least one material whose refractive index differs from the refractive index of a material surrounding it, or it comprises at least one such material.
- the longitudinal waveguide section of the or the respective interaction region is one that comprises two or more segments, at least two of which are spaced apart from one another to form a gap
- the gap is filled with at least one dielectric Material is filled or is, the refractive index of which is smaller than the refractive index of the material of the gap-defining waveguide segments.
- the refractive index of the lengthwise section of the waveguide of the or the respective interaction region is at least 20%, preferably at least 30%, greater than the refractive index of the surrounding material.
- the longitudinal waveguide section of the respective interaction region and/or the or at least one of the active elements can also be arranged above, preferably on a layer, for example a layer with or made of at least one dielectric material, for example silicon dioxide.
- the active element or one of the active elements can, for example, be arranged on a layer provided above, in particular on the lengthwise section of the waveguide, possibly also produced thereon.
- a layer with or made of a dielectric material provided between a longitudinal waveguide section and an active element can have a thickness in the range of up to 50 nm, preferably up to 30 nm, particularly preferably 10 to 20 nm.
- nm RMS nm RMS to 0.1 nm RMS
- nm RMS nm RMS to 0.1 nm RMS
- 0.6 nm RMS to 0.1 nm RMS preferably 0.4 nm RMS to 0.1 nm RMS.
- nm stands for nanometers (10 9 m) in a manner known per se.
- Roughnesses in the mentioned areas can be or have been obtained, for example, by chemical-mechanical polishing (CMP) and/or resist planarization.
- CMP chemical-mechanical polishing
- an object to be polished is usually polished by a rotating movement between abrasive pads. Polishing is done chemically on the one hand and physically on the other using a grinding paste. By combining the chemical and physical effects, smooth surfaces can be obtained on a sub-nm scale.
- the resist planarization includes in particular a single or repeated spin-on-glass spin-on and subsequent etching, preferably reactive ion etching (English: reactive ion etching, abbreviated: RIE), with a.
- a surface such as a Si0 2 surface, which has height differences
- spin-on glass and etching partially evens out the height differences, ie valleys in the topology have a greater layer thickness after the spin-on-glass coating than neighboring elevations.
- the etch rate of spin-on glass and, for example, S1O 2 is similar or the same in a matched RIE process.
- adapted is to be understood in particular as meaning that the pressure, the gas flow, the composition of the gas mixture and the power are selected accordingly.
- the height difference is reduced because of the planarizing effect of the spin-on-glass layer. By repetition, the height difference can be further reduced.
- the consumed Si0 2 layer thickness must be taken into account when applying the S1O 2 layer so that the desired S1O 2 layer thickness is achieved after the last etching step.
- resist planarization is not limited to S1O 2 but can also be used for other materials. It is expedient if an etching rate of the material can be achieved which is similar to that of spin-on glass or at least essentially corresponds to it. This condition is met for S1O 2 and spin-on glass.
- Atomic force microscopy can be used as a measuring method for determining the roughness, in particular as described in the EN ISO 25178 standard. Atomic force microscopy is primarily discussed in Part 6 (EN ISO 25178-6:2010-01) of this standard, which deals with measuring methods for determining roughness.
- an electro-optical device designed as a modulator, it can furthermore be provided that it comprises a diode or capacitance. It can then be, for example, an integrated III-V semiconductor modulator, as described in the article "Fleterogeneously integrated III-V/Si MOS capacitor Mach-Zehnder modulator,” by Hiaki, Nature Photonics volume 11, pages 482-485 (2017) is described.
- a diode can, for example, have a plurality of layers of different composition, for example InGaAsP include, in particular, to produce a pn junction and two contact regions.
- InGaAsP include, in particular, to produce a pn junction and two contact regions.
- the invention also relates to an electro-optical arrangement comprising at least one electro-optical device according to the invention and a connection device for connection to a coaxial and/or coplanar conductor, the connection device having one or more inner connection contact elements serving as ground contact and one or has several outer connection contact elements serving as a signal contact, and wherein the inner contact element or elements of the electro-optical device can be connected or are connected to the inner connection contact element or elements of the connection device, and wherein the outer contact element or elements of the electro- optical device can be connected or are connected to the outer connection contact element or elements of the connection device.
- the subject matter of the invention is also a semiconductor device comprising a chip and at least one, preferably several, electro-optical devices according to the invention, in particular photodetectors and/or modulators, the device(s) preferably being arranged on the chip or on a layer arranged above the chip.
- the or the respective electro-optical device according to the invention is part of a photonic platform that is produced on the chip or bonded to the chip.
- a further object of the invention is a semiconductor device comprising a wafer and at least one, preferably several devices according to the invention, in particular photodetectors and/or modulators, wherein the device or devices are preferably arranged on the wafer or on a layer arranged above the wafer.
- the or the respective device can in particular be part of a photonic platform produced on the wafer or bonded to the wafer.
- Bonded is preferably to be understood as meaning that the photodetector(s) and/or modulator(s) are/are not manufactured on or above the chip or wafer but separately from it and after their manufacture—possibly also as part of a larger unit - Are or were connected to the chip or wafer, for example using a suitable intermediate layer. If a chip or wafer is viewed in cross section, its vertical structure can be divided into different sections. The lowest part is the front-end-of-line, or FEOL for short, which usually includes one or more integrated electronic components.
- the integrated electronic component(s) can be, for example, transistors and/or capacitors and/or resistors.
- a wafer comprises a plurality of areas which each form a chip or die following the dicing/comminution/singulation. In the present case, these areas are also referred to as chip or die areas.
- Each chip area of the wafer preferably includes a section or partial area of the particularly one-piece semiconductor substrate of the wafer. Furthermore, each chip area preferably has one or more integrated electronics Components that are in and / or on the corresponding area of the semiconductor substrate - seen in cross-section in particular in the FEOL - he stretch. It should be emphasized that the chip areas do not represent isolated chips, ie the wafer does not include isolated chips.
- a semiconductor device according to the invention comprises several electro-optical devices according to the invention of the same construction, in particular photodetectors and/or modulators, or also several electro-optical devices according to the invention, in particular photodetectors and/or modulators, designed in different ways. or modulators. There can also be some of the same and additionally one or more different electro-optical devices according to the invention. Furthermore, if one or more electro-optical devices according to the invention are arranged on a chip or wafer, one or more connecting devices can of course also be provided for connection to a coaxial and/or coplanar conductor.
- connection devices can also be arranged separately from the chip or wafer.
- the invention relates to the use of an electro-optical device according to the invention in such a way that the inner contact element or elements of the electro-optical device are connected to the ground contact or contacts of a coaxial or coplanar conductor or a connection device Connection are connected to a coaxial or coplanar conductor, and that the outer contact element or elements of the electro-optical device are connected to the signal contact or contacts of a coaxial or coplanar conductor or a connection device for connection to a coaxial or coplanar conductor.
- the electrically conductive connection between the or the respective electro-optical device and the or the respective connection device can be realized, for example, by wires or by means of bonding.
- FIG. 1 shows a plan view of a photodetector according to the prior art
- FIG. 2 shows a partial section through a semiconductor device with the photodetector from FIG. 1;
- FIG. 3 shows a top view of an exemplary embodiment of an electro-optical device according to the invention, designed as a photodetector, according to the first aspect of the invention, which comprises two interaction regions;
- FIG. 4 shows a top view of an exemplary embodiment of an electro-optical unit according to the invention designed as a photodetector Device according to the first aspect of the invention, comprising a waveguide with a bifurcation and two interaction regions;
- FIG. 5 shows a top view of an exemplary embodiment of an electro-optical device according to the invention, designed as a photodetector, according to the second aspect of the invention, which comprises a U-shaped interaction region;
- FIG. 6 shows a plan view of an electro-optical modulator according to the prior art;
- FIG. 7 shows a partial section of a semiconductor device with the electro-optical modulator from FIG. 6;
- FIG. 8 shows a plan view of an exemplary embodiment of an electro-optical device according to the invention, designed as an electro-optical modulator, which comprises two interaction regions;
- FIG. 9 shows a plan view of an embodiment of an electro-optical device according to the invention designed as an electro-optical modulator, which includes a U-shaped interaction area;
- FIG. 10 shows a plan view of a Mach-Zehnder interferometer with an electro-optical modulator according to the prior art
- FIG. 11 shows a plan view of an embodiment of a Mach-Zehnder interferometer according to the invention, which has a according electro-optical modulator with two interaction areas comprises;
- FIG. 12 shows a top view of an exemplary embodiment of a Mach-Zehnder interferometer according to the invention, which comprises an electro-optical modulator according to the invention with two interaction areas, in a purely schematic representation;
- FIG. 13 shows a top view of a further exemplary embodiment of an electro-optical device according to the invention, which can be designed as a photodetector or electro-optical modulator;
- FIG. 14 shows a top view of three contact elements of an exemplary embodiment of an electro-optical device according to the invention, which are connected by means of wires to a connection device for a coaxial cable;
- FIG. 15 shows a sectional view showing the components of an embodiment of an electro-optical device according to the invention, the contact elements of which are connected to a connection device for a coaxial cable by a bonding layer.
- FIG. 1 shows a top view of an electro-optical device 1 according to the prior art, which is designed as a graphene-based photodetector.
- the detector can be seen in section in FIG.
- This includes an interaction area 2 with a longitudinal section 3 of a Waveguide 4 and an active element 5 in the form of a graphene film.
- the active element 5 extends in sections above the longitudinal waveguide section 3, overlapping it specifically at the right-hand end of the waveguide 4. Since the active element 5 covers the longitudinal waveguide section 3 below it in the plan view, this is shown in dashed lines in the figure lines shown.
- the waveguide 4 and thus the longitudinal section 3 belonging to the interaction region 2 consists of titanium dioxide, whereby this is to be understood purely as an example.
- the active element 5 is characterized by a greater width than the lengthwise section of the waveguide 3, so that it protrudes beyond it on both sides. On its sides lying to the side of the longitudinal waveguide section 3 , the active element 5 is in contact with one of two metal contact elements 6 arranged on both sides of the longitudinal waveguide section 3 .
- the contact elements 6 can, for example, nickel and / or
- connection elements 7 are in the present case vertical electrical connections, also referred to as Vertical In- terconnect Access, Via or VIA for short.
- the connecting elements are - just like the contact elements - metallic, for example made of copper, and extend in the vertical direction through a chip or wafer or a substrate, in particular a semiconductor substrate, of a chip or wafer, above which, in particular on which the photodetector 1 is provided.
- the detector 1 is located above a wafer 8 of a semiconductor device, a partial section of which is shown in FIG.
- Wafer 8 here comprises a one-piece silicon substrate 9 and a plurality of integrated electronic components 10 which, in the example shown, extend in the semiconductor substrate 9 .
- the integrated electronic components 10, which can in particular be transistors and/or resistors and/or capacitors, are only indicated in schematic FIG.
- the wafer 8 has a front-end-of-line (FEOL for short) 11, in which the plurality of integrated electronic components 10 is arranged and a back-end-of-line (BEOL for short) 12 lying above it, in which or via which the integrated electronic components 10 of the front-end-of-lines 11 are interconnected by means of different metal levels.
- the integrated electronic components 10 in the FEOL 11 and the associated circuitry in the BEOL 12 form integrated circuits of the wafer 8 in a sufficiently previously known manner.
- An FEOL 11 is sometimes also referred to as a transistor front end and a BEOL 12 as a metal back end.
- the metal planes include a plurality of other connecting elements 7, which are present in the form of vias.
- a further dielectric layer 14 is also provided on the waveguide 4 and the layer 13 on which the active element 5 is arranged.
- the waveguide 4, the active element 5, the contact elements 6, connecting elements 7 and the layers 13, 14, 15 may have been obtained in a manner known from the field of chip or wafer production, for example by (multilayer) material deposition or a Transfer process and, if necessary, structuring.
- either the dielectric layer 13 or the dielectric layer 14 or both of these layers are configured as planarization layers which, on their side pointing upwards in FIG nm RMS, in particular 0.6 nm RMS to 0.1 nm RMS, preferably 0.4 nm RMS to 0.1 nm RMS.
- the abbreviation nm stands here in a manner known per se for nanometers (IO 9 m). Roughness in these mentioned areas can be or have been obtained, for example, by chemical-mechanical polishing (CMP) and/or resist planarization, as is also described in the earlier German patent application with file number 102020 102 533.5, which also goes back to the applicant .
- a connection to one or more of the integrated electronic components 10 is realized via the connecting elements 7 connected to the contact elements 6 of the photodetector 1 and the connecting elements 7 from the wafer 8 .
- the photodetector 1 shown is used in a manner known per se to convert the signal back from the optical to the electronic world. In other words, a light signal conducted through the waveguide 4 can be converted into an electrical signal.
- the active element is arranged relative to the longitudinal waveguide section 3 of the interaction region 2 in such a way that it is exposed at least in sections to the evanescent field of electromagnetic radiation that is guided in the waveguide 4 and thus the longitudinal section 3 during operation, so that an interaction can take place .
- the distance between the top of the waveguide length 4 and the bottom of the overlying portion of the active element 5 is about 10 nm.
- FIG. 3 shows a top view of an exemplary embodiment of an electro-optical device according to the invention, which is also configured as a photodetector 1 .
- this is characterized by a G-S-G configuration.
- these two interaction regions 2 widen, each comprising a waveguide longitudinal section 3 and an active element 5, which in each case comprises or consists of at least one electro-optically active material, in particular graphene.
- the active element 5 of the device according to the invention is also provided by a graphene film 13 .
- the active element 13 is provided by a film with or made of at least one other or further electro-optically active material, for example a film with or made of a dichalcogenide Graphene heterostructure consisting of at least one layer of graphene phen and at least one layer of a dichalcogenide, or by a film comprising at least one layer of boron nitride and at least one layer of graphene.
- the two longitudinal waveguide sections 3 of the two interaction regions 2 of the photodetector from FIG. 3 are arranged at a distance from one another. They are part of a waveguide 4.
- the waveguide 4 is characterized in sections by an at least essentially U-shaped course with two spaced, at least essentially parallel, straight arms 4a, and a straight arm connecting the two arms 4a Connecting section 4b and it is one of the two longitudinal waveguide sections 3 in the area of the arms 4a ei Nes.
- the two active elements 5 it applies to the two active elements 5 here that they extend at least in sections above the longitudinal section of the waveguide of the respective interaction region 2 .
- not just two but a total of three contact elements 6 are provided, each of which is in contact with at least one of the active elements 5 .
- an inner contact element 6 which is arranged between the two spaced-apart longitudinal waveguide sections 3 and serves as an inner signal contact
- the inner contact element 6 is in contact both with the active element of one of the two interaction areas 2 and with the active element 5 of the other of the two interaction areas 2 .
- the two outer contact elements mente 6 that one is in contact with the active element 5 of one interaction area 2 and the other with the active element of the other interaction area 2 .
- the inner contact element 6 and the two outer contact elements 6 are on a line and are aligned with one another.
- the U-shaped waveguide section is very well suited to accommodate the internal signal contact, there may be a disadvantage associated with it in terms of symmetry of the electrical signal.
- Lambert-Beer's law the absorption of the electromagnetic radiation along the direction of propagation leads to more being absorbed in the active element(s) in the area of the first arm 4a in the direction of light propagation, ie the left arm 4a in FIG than in the active element 5 of the second arm 4a, which is on the right in FIG. Then, disadvantageously, the high-frequency mode can be asymmetrically excited.
- the waveguide cross-section in the U-shaped area of the waveguide 4 is specifically adapted so that the interaction of the light per length along the direction of propagation with the active elements 5 in the first arm 4a is just as much lower in comparison to the second arm 4a is that the absorbed power is exactly identical in both arms 4a.
- the waveguide cross section in the first, left arm 4a is wider than in the second, right arm 4a.
- the optical mode in the first arm 4av is further guided inside the waveguide 4 and thus reducing the interaction in the first arm 4a.
- the cross-sectional area in the area of the first, left-hand arm 4a of the U-shaped waveguide section is larger than the cross-sectional area in the area of the second, right-hand arm 4a.
- the ratio is selected in such a way that half the power of the incident light is absorbed in the first, left arm 4a.
- the detector 1 according to the invention from FIG match Figure 1.
- the detector 1 according to the invention from FIG. 3 is also arranged above a wafer 8 and a dielectric layer 13 and the layers 14 and 5 are present, so that in this respect there is agreement with FIG.
- the detector 1 according to the invention is integrated into a semiconductor device comprising a wafer 8 .
- This semiconductor device is an embodiment of a semiconductor device according to the invention.
- Such a device can comprise a plurality, for example several tens, several hundred or even several thousand, of electro-optical devices according to the invention, which can be of the same construction or also different. From a semiconductor device according to the invention with a wafer 8, a large number of semiconductor devices according to the invention can be obtained by dicing, which is sufficiently known from the prior art, which then each comprise a chip and one or more electro-optical devices according to the invention.
- the electro-optical device according to the invention or the electrical ro-optical devices can be components of an integrated photonic platform.
- a fork can also be provided.
- An example of a corresponding photodetector 1 is shown in FIG. 4 in plan view.
- the waveguide 4 here comprises a fork with two branching arms 4c, 4d and one of the longitudinal waveguide sections 3 of the two interaction areas 2 is in the area of an arm 4c, 4d of the fork.
- space is available here between the two fork arms 4c, 4d.
- a splitter 16 is also provided, with which an incoming light signal can be distributed to the two arms 4c, 4d of the fork, namely in equal proportions. It is therefore a 50/50 splitter.
- This can be designed, for example, as an MMI splitter or a directional coupler or include one.
- FIG. 1 An embodiment of a photodetector 1 according to the second aspect of the invention is shown in FIG. In contrast to the two exemplary embodiments from FIGS. 3 and 4, this does not include two separate, spaced-apart interaction areas, but rather a continuous, at least essentially U-shaped interaction area 2.
- This has—in analogy to FIG U-shaped longitudinal waveguide section 3 with two spaced-apart arms 4a and a connecting section 4b connecting the two arms 4a, and a likewise at least essentially U-shaped active element 5 with two spaced-apart arms 5a and a connecting section 5b connecting the two arms 5a .
- the longitudinal section of the waveguide 3 is part of a waveguide 4 that is not closed in the form of a ring but is open.
- the U-shaped active element 5 in turn comprises at least one electro-optically active material or consists of it.
- the active element 5 is provided by a graphene film, this again being to be understood purely as an example.
- the active element extends in sections above the waveguide longitudinal section 3.
- Two contact elements 6 are also provided, each of which is in contact with the active element 5.
- Exactly one inner contact element 6 is present, which is arranged within the at least partially at least essentially U-shaped longitudinal waveguide section 3 and serves as an inner signal contact, and exactly one outer contact element 6 is provided, which is at least essentially U -shaped with two spaced-apart arms 6a and a connecting section 6b connecting the two arms 6a, and the U-shaped longitudinal waveguide section 3 surrounds the outside.
- the two arms 6a of the outer contact element 6 are used here, at least in sections, each as an outer ground contact.
- the U-shaped outer contact element 6 completely surrounds the U-shaped active element 5 , in other words over the entire extent of the U.
- the U-shaped longitudinal section 3 of the waveguide is almost completely surrounded or bordered.
- connecting element 7 is assigned to the outer U-shaped contact element, but, as can be seen, this is part of the whole six such in contact underneath.
- a particularly uniform ground potential can be produced via the multiple connecting elements 7 .
- the connecting elements 7 are expediently arranged at a distance from one another, in particular distributed uniformly over the extent of the outer contact element 6 .
- the cross-sectional area of the waveguide 4 is larger in the area of the first, left arm 4a than in the area of the second, right arm 4a, in particular such that half of the power is absorbed in the first arm , for the same reasons as explained above in connection with FIG. 5, too, it is preferred that the cross-sectional area of the waveguide 4 is larger in the area of the first, left arm 4a than in the area of the second, right arm 4a, in particular such that half of the power is absorbed in the first arm , for the same reasons as explained above in connection with FIG.
- a coupling device 17 is provided for coupling light into the waveguide 4 and is located at the end of the waveguide 4 on the left in FIGS.
- the modulator 1 is located at the other end of the waveguide 4, which is the right end in the figures.
- the waveguide ends behind the modulator.
- an electro-optical device 1 according to the invention being designed as a photodetector, such an example can also be an electro-optical modulator. It then differs from a photodetector essentially in that the or the respective interaction area comprises two active elements 5 or one active element 5 and a (conventional) electrode, for example with or made of titanium nitride or indium tin oxide.
- FIGS. 8 and 9 Examples of electro-optical modulators according to the invention can be found in FIGS. 8 and 9.
- FIG. 6 shows a plan view of a conventional electro-optical modulator, as is already known from the prior art.
- FIG. 7 shows the previously known modulator from FIG. 6 in section. This, in analogy to FIG. 2, again as part of a semiconductor device with a wafer 8.
- the two active elements 5a, 5b are spaced apart from one another in the vertical direction and one active element 5a extends in sections above the other active element 5b.
- the distance can be 1 nm, for example.
- a further layer 18 with or made of a dielectric material is provided between the two active elements 5a, 5b, the thickness of which is corresponding between the two active elements 5a, 5b.
- the two active elements 5 are also, as can be clearly seen in the sectional view, arranged offset from one another in the horizontal direction in such a way that they lie one above the other in sections in an overlapping area (at a distance in the vertical direction).
- the area of overlap lies above the length of the waveguide.
- the active elements correspond to one another and relative to the Longitudinal waveguide section 3 are arranged.
- this applies to the one U-shaped interaction area 2, namely over its entire extent.
- the offset and overlap in sections of the active elements 5 is indicated by corresponding dashed lines.
- the active element 5a of the respective interaction area 2 is connected to the inner contact element or one of the inner contact elements 6 and the or one of the outer contact elements 6 is in contact with the other active element 5b or the electrode of the or the respective interaction region 2, or vice versa.
- the inner contact element 6 arranged between the two longitudinal waveguide sections 3 of the two interaction regions 2 is in contact with an active element 5a, 5b of both interaction regions 2 Contact, specifically on opposite sides.
- the two outer contact elements 6 it applies here that these are each in contact with only one active element 5a, 5b of an interaction region 2.
- FIG. 9 with only one continuous, U-shaped interaction area 2, the rest of which corresponds to that according to FIG Element 6 with the other 5b of the two active elements 5a, 5b in contact. This in each case over the entire inner or the entire outer circumference of the respective active element 5a, 5b.
- An electro-optical modulator according to the invention can be used in particular for optical signal coding.
- coupling devices 17 are provided at both ends of the waveguide 4 here. During operation, one of the coupling devices serves to couple in and the other to couple out an optical signal.
- An inventive electro-optical device 1 can also be part of an interferometer as Be, for example
- Mach-Zehnder interferometer such as a serving as a phase modulator Mach-Zehnder interferometer, be configured. This is particularly the case when the electro-optical device 1 is a modulator. Associated exemplary embodiments can be found in FIGS. 11 and 12.
- FIG. 10 an example embodiment of a Mach-Zehnder interferometer serving as a phase modulator with an electro-optical modulator known from the prior art (cf. Figure 6) shown.
- the interferometer from FIG. 11 comprises a modulator 1 according to the invention of the configuration shown in FIG. 8 and that from FIG. 12 comprises a modulator 1 according to the invention of the configuration shown in FIG.
- the interferometer from Figure 10 and the interferometer from Figures 11 and 12 that these include in addition to the modulator 1 from Figures 6, 8 and 9 a waveguide ter bypass section 19, the respective modulator and thus whose interaction area 2 (FIGS. 10 and 12) or interaction areas 2 (FIG. 11) is “bridged”, so to speak, so that in particular light originating from the same source passes through the waveguide bypass section 19 at the interaction area 2 or at both Interaction areas 2 can be passed.
- the waveguide 4 is not a ring-shaped closed waveguide, but has two open ends at which optical signals can be coupled in and out, specifically by means of the coupling devices 17.
- the respective waveguide bypass section 19 forms in itself known manner one of two interferometer arms and the upper arm, in which the respective modulator 1 is located, the second.
- the two interferometer arms suitably have different paths, as is well known from the prior art.
- a splitter 16 which, for example, can be formed out as an MMI (multimode interferometer) or directional coupler or can at least include one.
- MMI multimode interferometer
- directional coupler can at least include one.
- it can be a reciprocal MMI or a reciprocal directional coupler. This means that light coming from the side with one arm is split in half between the two waveguide connections on the opposite side of the MMI or directional coupler and vice versa, i.e. light coming from the side with two arms on the side combined with a connector.
- optical signals are divided and guided in two arms, for example.
- one or more active components shown for example in Figures 11 and 12 for one active component, a phase shift of the propagating in both arms light generated.
- Active components can be found in all arms of an interferometer.
- the optical paths are combined and the light is superimposed. The phasing results in constructive or destructive interference.
- the waveguide 4 can be at least essentially U-shaped overall, for example. This is shown in the highly simplified, purely schematic FIG. 13 by way of example for an electro-optical device 1 according to the invention, of which only one inner and two outer contact elements 6 are shown. As can be seen, the coupling devices 17 arranged at the two ends of the waveguide 4 are here next to one another.
- connection device 20 can be provided for the connection to a coaxial and/or coplanar conductor, as shown schematically in FIG. 14 in plan view and in FIG. 15 in section.
- the connection device 20 in turn comprises three connection contact elements 21, specifically an inner connection contact element 21 serving as a ground contact and two outer connection contact elements 21, which are arranged on two sides of the inner contact element, i.e. practically enclosing it, and as Signal contacts are used. So there is a GSG contact arrangement. It also has connection means, not shown in detail in the figure, for connecting a coaxial cable and/or a coplanar conductor.
- connection device 20 for connection to a coaxial and/or coplanar conductor can be implemented, for example, by means of wires 22, as shown in FIG.
- wires 22 one free end of the respective wire 22 is in contact with one of the contact elements 6 of the electro-optical device 1 according to the invention and its other free end is in contact with one of the connection contact elements 21 of the connection device 20 .
- the wires can in particular be made of metal, for example aluminum or gold.
- connection contact elements 21 of the connection device 20 diverge with their ends pointing upwards in the figure, which can serve to adapt to the generally larger dimensions of conventional coaxial cables or coplanar conductors.
- connection contact element 21 of the connection unit is direction 20 above a contact element 6 of a device 1 according to the invention or--as far as the examples from FIGS.
- the electrically conductive connection is realized here via a bonding layer 23, via which the contact elements 6, 21 are bonded to one another.
- the bonding layer can be made of conductive adhesive, such as silver or gold.
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Electromagnetism (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Nonlinear Science (AREA)
- Optics & Photonics (AREA)
- Optical Integrated Circuits (AREA)
- Optical Modulation, Optical Deflection, Nonlinear Optics, Optical Demodulation, Optical Logic Elements (AREA)
Abstract
Description
Claims
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
DE202020104362.5U DE202020104362U1 (en) | 2020-07-28 | 2020-07-28 | Electro-optical device, semiconductor device and semiconductor device, electro-optical arrangement and use |
PCT/EP2021/071123 WO2022023407A1 (en) | 2020-07-28 | 2021-07-28 | Electro-optical apparatus, semiconductor apparatus and semiconductor device, electro-optical arrangement and use |
Publications (1)
Publication Number | Publication Date |
---|---|
EP4189449A1 true EP4189449A1 (en) | 2023-06-07 |
Family
ID=77300895
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
EP21752668.0A Pending EP4189449A1 (en) | 2020-07-28 | 2021-07-28 | Electro-optical apparatus, semiconductor apparatus and semiconductor device, electro-optical arrangement and use |
Country Status (8)
Country | Link |
---|---|
US (1) | US20230296955A1 (en) |
EP (1) | EP4189449A1 (en) |
JP (1) | JP2023535789A (en) |
KR (1) | KR20230043075A (en) |
CN (1) | CN116194827A (en) |
CA (1) | CA3187306A1 (en) |
DE (1) | DE202020104362U1 (en) |
WO (1) | WO2022023407A1 (en) |
Family Cites Families (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090231686A1 (en) * | 2008-01-12 | 2009-09-17 | Robert Atkins | Multi-functional integrated optical waveguides |
US8346025B2 (en) * | 2009-05-18 | 2013-01-01 | Alcatel Lucent | Compact electrooptic modulator |
US9036954B2 (en) * | 2011-04-01 | 2015-05-19 | Intel Corporation | Optical waveguide structure |
WO2012145605A1 (en) | 2011-04-22 | 2012-10-26 | The Regents Of The University Of California | Graphene based optical modulator |
US9223185B2 (en) * | 2013-08-09 | 2015-12-29 | SiFotonics Technologies Co, Ltd. | Electro-optic silicon modulator with alternative capacitance-loaded coplanar waveguide structures |
WO2015081538A1 (en) | 2013-12-05 | 2015-06-11 | 华为技术有限公司 | Optical modulator and planar photonic device module |
CN103969850A (en) | 2014-05-08 | 2014-08-06 | 电子科技大学 | Structural design for novel electrooptical modulator based on graphene |
JP2017011209A (en) | 2015-06-25 | 2017-01-12 | 株式会社東芝 | Graphene light receiving element and graphene light modulator |
WO2019213139A1 (en) * | 2018-04-30 | 2019-11-07 | President And Fellows Of Harvard College | Active photonic networks on integrated lithium niobate platforms |
DE102020102533A1 (en) | 2020-01-31 | 2021-08-05 | Gesellschaft für angewandte Mikro- und Optoelektronik mit beschränkter Haftung - AMO GmbH | A method of manufacturing an electro-optic device, electro-optic device, semiconductor device and semiconductor device |
-
2020
- 2020-07-28 DE DE202020104362.5U patent/DE202020104362U1/en active Active
-
2021
- 2021-07-28 CA CA3187306A patent/CA3187306A1/en active Pending
- 2021-07-28 US US18/018,341 patent/US20230296955A1/en active Pending
- 2021-07-28 CN CN202180059040.1A patent/CN116194827A/en active Pending
- 2021-07-28 WO PCT/EP2021/071123 patent/WO2022023407A1/en active Application Filing
- 2021-07-28 EP EP21752668.0A patent/EP4189449A1/en active Pending
- 2021-07-28 KR KR1020227046023A patent/KR20230043075A/en active Search and Examination
- 2021-07-28 JP JP2023505740A patent/JP2023535789A/en active Pending
Also Published As
Publication number | Publication date |
---|---|
JP2023535789A (en) | 2023-08-21 |
CA3187306A1 (en) | 2022-02-03 |
DE202020104362U1 (en) | 2021-10-29 |
WO2022023407A1 (en) | 2022-02-03 |
CN116194827A (en) | 2023-05-30 |
KR20230043075A (en) | 2023-03-30 |
US20230296955A1 (en) | 2023-09-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE112017000319B4 (en) | INTEGRATED SINGLE PHOTON CONVERTER FOR CONVERTING MICROWAVE TO OPTICAL SIGNALS WITH VOLTAGE-INDUCED ELECTRO-OPTICAL MATERIAL | |
DE102006045102B4 (en) | Electro-optical high index contrast waveguide device | |
EP4118486A1 (en) | Photodetector, modulator, semiconductor device and semiconductor apparatus | |
WO2021151584A1 (en) | Method for producing an electro-optical device, and electro-optical device | |
EP3704536B1 (en) | Waveguide component | |
EP4189449A1 (en) | Electro-optical apparatus, semiconductor apparatus and semiconductor device, electro-optical arrangement and use | |
WO2021151594A1 (en) | Semiconductor apparatus and semiconductor device, and method for producing same | |
DE102021133454A1 (en) | Optical power modulators with unloaded transmission lines | |
DE102020101261A1 (en) | A HEATING STRUCTURE CONFIGURED TO IMPROVE HEAT EFFICIENCY IN A MODULATOR DEVICE | |
DE3833413C2 (en) | Arrangement with integrated optical semiconductor components | |
EP3149778A1 (en) | Plasmonic component and plasmonic photodetector and method for producing same | |
Al-Moathin et al. | Novel electroabsorption modulator design based on coplanar waveguide configuration | |
DE102019104982A1 (en) | Photonic Integrated Circuit | |
CN116540428A (en) | Electro-optical modulation device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
STAA | Information on the status of an ep patent application or granted ep patent |
Free format text: STATUS: UNKNOWN |
|
STAA | Information on the status of an ep patent application or granted ep patent |
Free format text: STATUS: THE INTERNATIONAL PUBLICATION HAS BEEN MADE |
|
PUAI | Public reference made under article 153(3) epc to a published international application that has entered the european phase |
Free format text: ORIGINAL CODE: 0009012 |
|
STAA | Information on the status of an ep patent application or granted ep patent |
Free format text: STATUS: REQUEST FOR EXAMINATION WAS MADE |
|
17P | Request for examination filed |
Effective date: 20230130 |
|
AK | Designated contracting states |
Kind code of ref document: A1 Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR |
|
DAV | Request for validation of the european patent (deleted) | ||
DAX | Request for extension of the european patent (deleted) | ||
RAP1 | Party data changed (applicant data changed or rights of an application transferred) |
Owner name: BLACK SEMICONDUCTOR GMBH |