EP3278212A1 - Exécution en parallèle de séquences d'instructions sur la base d'une présurveillance - Google Patents

Exécution en parallèle de séquences d'instructions sur la base d'une présurveillance

Info

Publication number
EP3278212A1
EP3278212A1 EP15887388.5A EP15887388A EP3278212A1 EP 3278212 A1 EP3278212 A1 EP 3278212A1 EP 15887388 A EP15887388 A EP 15887388A EP 3278212 A1 EP3278212 A1 EP 3278212A1
Authority
EP
European Patent Office
Prior art keywords
thread
instructions
segment
processor
parallelization
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP15887388.5A
Other languages
German (de)
English (en)
Other versions
EP3278212A4 (fr
Inventor
Noam Mizrahi
Alberto Mandler
Shay Koren
Jonathan Friedmann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Centipede Semi Ltd
Original Assignee
Centipede Semi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/673,889 external-priority patent/US10296350B2/en
Priority claimed from US14/673,884 external-priority patent/US10296346B2/en
Application filed by Centipede Semi Ltd filed Critical Centipede Semi Ltd
Publication of EP3278212A1 publication Critical patent/EP3278212A1/fr
Publication of EP3278212A4 publication Critical patent/EP3278212A4/fr
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30076Arrangements for executing specific machine instructions to perform miscellaneous control operations, e.g. NOP
    • G06F9/3009Thread control instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30181Instruction operation extension or modification
    • G06F9/30185Instruction operation extension or modification according to one or more bits in the instruction, e.g. prefix, sub-opcode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3808Instruction prefetching for instruction reuse, e.g. trace cache, branch target cache
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3842Speculative instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling

Definitions

  • the present invention relates generally to processor design, and particularly to methods and systems for run-time code parallelization.
  • DSMT Dynamic Simultaneous Multithreading
  • U.S. Patent Application Publication 2014/0282601 whose disclosure is incorporated herein by reference, describes a method for dependency broadcasting through a block-organized source-view data structure. The method includes receiving an incoming instruction sequence using a global front end, and grouping the instructions to form instruction blocks. A plurality of register templates is used to track instruction destinations and instruction sources by populating the register template with block numbers corresponding to the instruction blocks, wherein the block numbers corresponding to the instruction blocks indicate interdependencies among the blocks of instructions.
  • a block-organized source-view data structure is populated, wherein the source-view data structure stores sources corresponding to the instruction blocks as recorded by the plurality of register templates.
  • a number belonging to the one block is broadcast to a column of the source-view data structure that relates to that block, and the column is marked accordingly.
  • the dependency information of remaining instruction blocks is updated in accordance with the broadcast.
  • a method includes, in a processor that processes instructions of program code, processing one or more of the instructions by a first hardware thread. Upon detecting that an instruction defined as a parallelization point has been fetched for the first thread, a second hardware thread is invoked to process at least one of the instructions at least partially in parallel with processing of the instructions by the first hardware thread.
  • invoking the second thread is performed before the processor begins to resolve dependencies in the instructions processed by the first thread.
  • detecting the parallelization point includes detecting a backward branch instruction.
  • detecting the parallelization point includes detecting a function call.
  • the parallelization point is marked with an indication embedded in the program code.
  • invoking the second thread includes, upon invoking the second thread, providing to the second thread at least part of a flow-control trace to be traversed in fetching of the instructions for processing by the second thread.
  • the method further includes selecting the flow-control trace from a set of possible traces using branch prediction. The set of possible traces may be stored in the processor, in a cache of the processor, or in a memory accessed by the processor.
  • invoking the second thread includes stalling the second thread after invocation, until the at least part of the flow-control trace is available. In yet another embodiment, invoking the second thread includes providing only part of the flow-control trace upon invoking the second thread, and providing a remainder of the flow-control trace during processing of the instructions by the second thread.
  • the method further includes processing the instructions by the second thread in accordance with the at least part of the flow-control trace, irrespective of a flow-control prediction provided by a branch-prediction unit of the processor. In an embodiment, the method further includes processing the instructions by the second thread in accordance with a flow-control prediction provided by a branch-prediction unit of the processor, and flushing one or more future segments of the instructions upon detecting a discrepancy between the flow-control prediction and the at least part of the flow-control trace.
  • the method further includes processing the instructions by the second thread in accordance with the flow-control prediction provided by a branch-prediction unit of the processor, and flushing one or more future segments of the instructions upon detecting a violation of a specification of register access by the instructions.
  • the instructions processed by the first and second threads include respective first and second segments of the instructions
  • the method includes processing the second segment by the second thread in accordance with a specification of register access that is indicative of data dependencies between the first and second segments.
  • the specification was produced by the processor during previous processing of the program code.
  • the specification is provided to the second thread and corresponds to a flow-control trace provided to the second thread.
  • invoking the second thread includes providing the specification to the second thread after invoking the second thread and before the processor begins to resolve dependencies in the instructions of the second segment. In still another embodiment, invoking the second thread includes stalling the second thread before the processor begins to resolve dependencies in the instructions of the second segment, until the specification is available.
  • the method further includes providing the specification to the second thread after the processor resolves dependencies in the instructions of the second segment, and, after providing the specification, verifying that processing of the decoded instructions of the second segment complies with the specification.
  • processing the second segment in accordance with the specification includes, in response to executing a last write to a register or a flag in the first segment, signaling the last write to one or more threads that process one or more future segments.
  • processing the second segment based on the specification includes, in response to committing a last write to a register or a flag in the first segment, signaling the last write to one or more threads that process one or more future segments.
  • processing the second segment based on the specification includes, in response to executing a branch that most recently precedes a last write to a register or a flag in the first segment, signaling the last write to one or more threads that process one or more future segments.
  • the method further includes refraining from invoking the second thread if one or more flags that are set in the first segment are needed as operands in the second segment.
  • the method further includes identifying, during processing of the second segment, an instruction that uses a value of a register that has not been set in the second segment, and suspending the execution of the instruction until the value is available from a past segment.
  • invoking the second thread includes providing to the second thread at least one predicted value of a register that is written by the first thread and read by the second thread.
  • the method may further include flushing one or more future segments upon detecting a mismatch between the predicted value and an actual value of the register written by the first thread.
  • the method further includes flushing one or more future segments in response to a branch mis-prediction event in processing of the instructions by the second thread.
  • invoking the second thread includes initiating the second thread before the instruction defined as the parallelization point is fetched, and stalling the second thread until detecting that the instruction has been fetched.
  • the method further includes processing the instructions by the first and second threads in accordance with a same specification of register access that is indicative of data dependencies, and in accordance with a single flow-control trace.
  • the method further includes processing the instructions by the first and second threads in accordance with respective different specifications of register access that are indicative of data dependencies, and in accordance with respective different flow-control traces.
  • invoking the second thread includes invoking multiple hardware threads to process multiple segments in response to detecting the parallelization point.
  • invocation of the second thread is permitted only if the first segment is non- speculative.
  • invocation of the second thread is permitted regardless of whether the first segment is speculative or non-speculative.
  • hardware threads are invoked to execute segments of the instructions in the order of appearance of the segments in the program code.
  • a processor including an execution pipeline and parallelization circuitry.
  • the execution pipeline is configured to process instructions of program code.
  • the parallelization circuitry is configured to invoke a first hardware thread to process one or more of the instructions, and upon detecting that an instruction defined as a parallelization point has been fetched by the execution pipeline for the first thread, to invoke a second hardware thread to process at least one of the instructions at least partially in parallel with processing of the instructions by the first hardware thread.
  • Fig. 1 is a block diagram that schematically illustrates a processor that performs runtime code parallelization, in accordance with an embodiment of the present invention
  • Fig. 2 is a diagram that schematically illustrates run-time parallelization of a program loop, in accordance with an embodiment of the present invention
  • Figs. 3A-3C are diagrams that schematically illustrate code regions containing at least partially repetitive segments, in accordance with embodiments of the present invention.
  • Fig. 4 is a flow chart that schematically illustrates a method for executing a segment of program instructions, in accordance with an embodiment of the present invention.
  • Fig. 5 is a flow chart that schematically illustrates a method for exchanging register- access information between segments of program instructions, in accordance with an embodiment of the present invention.
  • a processor comprises an execution pipeline and a parallelization unit.
  • the parallelization unit invokes multiple hardware threads to execute segments of instructions at least partially in parallel.
  • segments and parallelization schemes are provided below.
  • the execution pipeline typically fetches instructions from memory in accordance with a certain branch prediction, decodes the instructions, performs register renaming, schedules the instructions for execution and commits execution results.
  • branch prediction decodes the instructions
  • register renaming register renaming
  • schedules the instructions for execution commits execution results.
  • the parallelization unit invokes new threads to process segments of instructions, upon reaching locations in the code that are regarded as parallelization points.
  • the parallelization unit invokes one or more new threads early in the pipeline - As soon as an instruction corresponding to a parallelization point is fetched.
  • Parallelization points can be defined in various ways, such as a backward branch instruction in a loop, a function call (e.g., branch with link), or an instruction that is marked in advance in the code. As will be explained below, the parallelization point can also be deduced from a specification that defines access to registers by the instructions in the various segments.
  • the parallelization unit while a first hardware thread executes certain code instructions, the parallelization unit detects that an instruction corresponding to a parallelization point is fetched. In response to this detection, the parallelization unit invokes a second hardware thread to execute a segment of the instructions at least partially in parallel with execution of the instructions by the first thread. Typically, although not necessarily, from this point the first thread begins to fetch instructions of a first segment, while the second thread begins to fetch instructions of a second segment. Note that, at this stage, the instruction corresponding to the parallelization point may not be decoded yet.
  • the parallelization unit holds one or more specifications that define register access by the various segments.
  • a scoreboard is typically associated with a particular flow-control trace to be followed by the hardware threads when executing the instructions of the segment.
  • the scoreboard of a segment may specify, for example, each register accessed by the instructions in the segment, its classification into one of several types, and an indication of the location in the segment of the last write operation to the register. The location of the last write operation is referred to herein as Last-Write Indication (LWI).
  • LWI Last-Write Indication
  • the parallelization unit also monitors the segments of instructions during execution and constructs the specification.
  • the parallelization unit invokes a second thread to process an additional segment as soon as the first thread identifies a parallelization point in the code, or at least before the instruction corresponding to the parallelization point is decoded in a decoding unit of the execution pipeline.
  • processing an additional segment refers to any operation of the execution pipeline on instructions of the additional segment, e.g., fetching instructions, feeding instructions for decoding via a loop or trace cache, or any other suitable action.
  • the parallelization unit stalls a thread after it is invoked, or delays the invocation, until at least the beginning of the trace is available.
  • a thread may be provided with the beginning of the trace and allowed to run. The remaining part of the trace is provided to the thread later, during execution.
  • the parallelization unit may provide a thread with the appropriate scoreboard at any time before the execution pipeline resolves instruction dependencies (e.g., before the renaming stage or before the decoding stage). If the scoreboard is not available at this stage, the thread may still be allowed to run, and the parallelization unit verifies later that the execution does not violate the scoreboard.
  • Other disclosed embodiments relate to additional execution aspects, such as producer- consumer relationships, signaling of Last-Writ Indications (LWIs) between segments, and handling of branch mis-prediction.
  • LWIs Last- Write Indications
  • Fig. 1 is a block diagram that schematically illustrates a processor 20, in accordance with an embodiment of the present invention.
  • Processor 20 runs pre-compiled software code, while parallelizing the code execution. Parallelization decisions are performed by the processor at runtime, by analyzing the program instructions as they are fetched from memory and decoded.
  • processor 20 comprises an execution pipeline that comprises one or more fetching units 24, one or more decoding units 28, a renaming unit 30, an Out-of-Order (OOO) buffer 32, and execution units 36.
  • Fetching units 24 fetch program instructions from a multi-level instruction cache memory, which in the present example comprises a Level-1 (LI) instruction cache 40 and a Level-2 (L2) instruction cache 44.
  • LI Level-1
  • L2 Level-2
  • a branch prediction unit 48 predicts the flow-control traces (referred to herein as "traces" for brevity) that are expected to be traversed by the program during execution. The predictions are typically based on the addresses or Program-Counter (PC) values of previous instructions fetched by fetching units 24. Based on the predictions, branch prediction unit 48 instructs fetching units 24 as to which new instructions are to be fetched. The flow-control predictions of unit 48 also affect the parallelization of code execution, as will be explained below.
  • PC Program-Counter
  • Decoding units 28 decode the instructions fetched by fetch units 24.
  • Renaming unit 30 performs register renaming on the decoded instructions, in order to resolve register value dependencies.
  • the instructions are then stored in OOO buffer 32, for out-of-order execution by execution units 36, i.e., not in the order in which they have been compiled and stored in memory. Alternatively, the buffered instructions may be executed in-order.
  • the buffered instructions are then issued for execution by the various execution units 36.
  • execution units 36 comprise one or more Multiply-Accumulate (MAC) units, one or more Arithmetic Logic Units (ALU), one or more Load/Store units, and a branch execution unit (BRA). Additionally or alternatively, execution units 36 may comprise other suitable types of execution units, for example Floating-Point Units (FPU).
  • MAC Multiply-Accumulate
  • ALU Arithmetic Logic Units
  • BRA branch execution unit
  • execution units 36 may comprise other suitable types of execution units,
  • L2 data cache memory 56 and L2 instruction cache memory 44 are implemented as separate memory areas in the same physical memory, or simply share the same memory without fixed pre-allocation.
  • processor 20 further comprises a segment parallelization unit 60 that is responsible for run-time code parallelization.
  • segment parallelization unit 60 responsible for run-time code parallelization. The functions of unit 60 are explained in detail below.
  • processor 20 shown in Fig. 1 is an example configuration that is chosen purely for the sake of conceptual clarity. In alternative embodiments, any other suitable processor configuration can be used.
  • multi -threading is implemented using multiple fetch units 24 and multiple decoding units 28. Each hardware thread may comprise a fetch unit assigned to fetch instructions for the thread and a decoding unit assigned to decode the fetched instructions.
  • multi-threading may be implemented in many other ways, such as using multiple OOO buffers, separate execution units per thread and/or separate register files per thread. In another embodiment, different threads may comprise different respective processing cores.
  • the processor may be implemented without cache or with a different cache structure, without branch prediction or with a separate branch prediction per thread.
  • the processor may comprise additional elements such as reorder buffer (ROB), register renaming, to name just a few.
  • ROB reorder buffer
  • the disclosed techniques can be carried out with processors having any other suitable micro-architecture.
  • fetching units 24, branch prediction unit 48, decoding units 28, renaming unit 30 and execution units 36 may be implemented using any suitable circuitry, and are therefore also referred to herein as fetching circuitry, branch prediction circuitry, decoding circuitry, renaming circuitry and execution circuitry, respectively.
  • Processor 20 can be implemented using any suitable hardware, such as using one or more Application-Specific Integrated Circuits (ASICs), Field-Programmable Gate Arrays (FPGAs) or other device types. Additionally or alternatively, certain elements of processor 20 can be implemented using software, or using a combination of hardware and software elements.
  • the instruction and data cache memories can be implemented using any suitable type of memory, such as Random Access Memory (RAM).
  • Processor 20 may be programmed in software to carry out the functions described herein.
  • the software may be downloaded to the processor in electronic form, over a network, for example, or it may, alternatively or additionally, be provided and/or stored on non-transitory tangible media, such as magnetic, optical, or electronic memory.
  • unit 60 in processor 20 identifies a parallelization point that indicates a region of the code containing one or more code segments that are at least partially repetitive, and parallelizes execution of these code segments.
  • parallelization refers to both full and partial parallelization, i.e., any execution scheme that is not purely sequential.
  • Such parallelization point may comprise, for example, a backward branch instruction, a function call, or an instruction that is marked in advance with an indication embedded in the code.
  • segments that comprise respective iterations of a program loop, or respective executions of a function or procedure. If the loop or function does not contain conditional branch instructions, the segments are typically repetitive, follow the same control- flow trace and perform the same sequence of instructions.
  • unit 60 parallelizes the execution of segments even if the segments are only partially-repetitive.
  • Partially-repetitive segments typically have some commonality but do not necessarily follow the exact same control-flow trace.
  • Partially-repetitive segments may, for example, begin at the same instruction and/or end at the same instruction, but otherwise may follow different traces. Examples of this sort include segments that enter or exit a loop or function at different instructions, or segments that take different branches within a loop or function.
  • unit 60 may divide a repetitive (or partially repetitive) instruction sequence into two or more successive segments, in addition to parallelizing the execution of the sequence as a whole. This collection of segments is also considered as a set of partially-repetitive segments. An example of such a scenario is illustrated in Fig. 3C below.
  • unit 60 may identify and parallelize the execution of any other suitable region of code that comprises any other suitable set of partially-repetitive segments.
  • data values e.g., register values
  • flow-control traces may differ from one segment execution to another.
  • processor 20 parallelizes the execution of segments by invoking multiple hardware threads in parallel or semi-parallel.
  • Each thread executes a respective code segment, e.g., a respective iteration of a loop, multiple (not necessarily successive) loop iterations, part of a loop iteration, continuation of a loop, a function or part or continuation thereof, or any other suitable type of segment.
  • each thread comprises a respective fetch unit 24 and a respective decoding unit 28 that have been assigned by unit 60 to perform one or more segments.
  • a given fetch unit 24 is shared between two or more threads.
  • Fig. 2 is a diagram that demonstrates run-time parallelization of a program loop, in accordance with an example embodiment of the present invention.
  • the present example refers to parallelization of instructions, but the disclosed technique can be used in a similar manner for parallelizing micro-ops, as well.
  • the top of the figure shows an example program loop (reproduced from the bzip benchmark of the SPECint test suite) and the dependencies between instructions. Some dependencies are between instructions in the same loop iteration, while others are between an instruction in a given loop iteration and an instruction in a previous iteration.
  • the bottom of the figure shows how unit 60 parallelizes this loop using four threads TH1... TH4, in accordance with an embodiment of the present invention.
  • the table spans a total of eleven cycles, and lists which instructions of which threads are executed during each cycle. Each instruction is represented by its iteration number and the instruction number within the iteration. For example, "14" stands for the 4 th instruction of the 1 st loop iteration. In this example instructions 5 and 7 are neglected and perfect branch prediction is assumed.
  • thread TH2 cannot execute instructions 21 and 22 (the first two instructions in the second loop iteration) until cycle 1, because instruction 21 (the first instruction in the second iteration) depends on instruction 13 (the third instruction of the first iteration). Similar dependencies exist across the table. Overall, this parallelization scheme is able to execute two loop iterations in six cycles, or one iteration every three cycles.
  • unit 60 decides how to parallelize the code by monitoring the instructions in the processor pipeline. In response to identifying a repetitive instruction sequence, unit 60 starts monitoring the sequence as it is fetched, decoded and executed by the processor.
  • unit 60 may be distributed among the multiple hardware threads, such that a given thread can be viewed as monitoring its instructions during execution. Nevertheless, for the sake of clarity, the description that follows assumes that monitoring functions are carried out by unit 60.
  • Unit 60 is also referred to as parallelization circuitry.
  • unit 60 generates the flow-control trace traversed by the monitored instructions, and a monitoring table that is referred to herein as a scoreboard.
  • unit 60 may obtain the trace and/or scoreboard in any other way.
  • the trace and/or scoreboard may be saved in memory and the indication to where they reside in memory may be embedded in the code.
  • the scoreboard of a segment typically comprises some classification of the registers.
  • the scoreboard indicates the location in the monitored sequence of the last write operation to the register. This indication is referred to herein as a Last- Write Indication (LWI).
  • LWI Last- Write Indication
  • Any suitable indication may be used to indicate the location of the last write operation, such as a count of the number of writes to the register or the address of the last write operation.
  • the LWI enables unit 60 to determine, for example, when it is permitted to execute an instruction in a subsequent segment that depends on the value of the register. Additional aspects of scoreboard generation can be found in U.S. Patent Applications 14/578,516, 14/578,518 and 14/583,119, and U.S. Patent Application Attorney Docket No. 1279-1006, cited above.
  • Figs. 3 A-3C are diagrams that schematically illustrate code regions 70 containing at least partially repetitive segments 74 that follow one or more control-flow traces 78, in accordance with embodiments of the present invention. These examples demonstrate several possible types of code regions that can be parallelized.
  • code region 70 contains a loop having a single type of segment 74 that follows a single internal flow-control trace 78.
  • the various loop iterations correspond to multiple segments that are entirely repetitive and follow the same trace.
  • code region 70 contains a loop that has an internal conditional branch.
  • each loop iteration corresponds to a respective segment 74.
  • different segments may follow different flow-control traces 78A, 78B, depending on the actual branch decision taken in each segment.
  • the segments are only partially-repetitive, and each of them may have a different scoreboard.
  • unit 60 divides the loop in code region 70 into multiple successive segment types 74A-74C.
  • Unit 60 may invoke different threads to execute different types of segments within the same loop iteration, in addition to parallelizing the execution of different loop iterations.
  • segments 74A of various loop iterations are repetitive, as are segments 74B of various loop iterations and segments 74C of various loop iterations.
  • Different segment types follow different traces (each trace having its own scoreboard). This scenario is also considered a set of partially repetitive segments.
  • segments 74A, 74B and/or 74C may contain one or more conditional branch instructions. Therefore, segments of a given type may traverse different flow-control traces in various loop iterations. In other words, segments 74A, 74B and/or 74C may be partially-repetitive.
  • segments 74A, 74B and 74C do not overlap. In alternative embodiments, however, segments 74A, 74B and/or 74C may share one or more overlapping instructions. This case is addressed in greater detail below.
  • unit 60 may parallelize the instructions in any other suitable kind of code region that comprises segments that are at least partially repetitive.
  • unit 60 monitors various segments separately and combines the monitoring results to produce a scoreboard for the entire code region (e.g., entire loop).
  • parallelization unit 60 parallelizes the execution of instructions by invoking multiple hardware threads to process multiple code segments, at least partially in parallel, in accordance with a scoreboard.
  • unit 60 In order to execute a given segment, unit 60 typically provides the invoked hardware thread with the appropriate scoreboard, which specifies the dependencies between segments regarding register access. Unit 60 also provides the thread with a flow-control trace to be followed.
  • parallelization unit 60 detects that fetching unit 24 fetches an instruction corresponding to a parallelization point. Upon detecting this fetch, the parallelization unit invokes a second hardware thread to process a segment of instructions at least partially in parallel with execution of the instructions by the first thread.
  • the code processed by the first thread comprises a first segment, and the second thread is invoked to process a second segment.
  • the above process is typically an on-going process. Whenever a thread completes processing a segment, the thread stops fetching instructions, and whenever a thread reaches a parallelization point it invokes a new thread. In a loop, for example, the thread stops fetching the instructions of a segment when the iteration ends, and a thread (possibly the same hardware thread) is invoked to process the next iteration. Alternatively, unit 60 may invoke multiple threads to process multiple segments when the parallelization point has been reached. Generally in processor 20, the threads execute the segments in-order, i.e., in order of appearance of the segments in the program code.
  • the execution pipeline processes multiple segments.
  • One of the segments is regarded as a non-speculative segment, in the sense that the instructions processed in that segment will not be flushed.
  • the other segments are regarded as speculative, in the sense that instructions processed in these segments may possibly be flushed. This process, too, is typically on-going.
  • a non-speculative segment is completed, a subsequent segment becomes non-speculative, and one or more new segments are invoked.
  • unit 60 permits invoking new segments only from a non- speculative segment.
  • unit 60 applies a more aggressive speculation policy, and permits invoking new segments from both speculative and non-speculative segments.
  • the parallelization unit may delay invocation of the second thread beyond the parallelization point, for various reasons. For example, the parallelization unit may be aware that the second segment will not start executing immediately, e.g., because of shortage of available hardware resources.
  • the parallelization point may comprise various kinds of instructions or micro-ops.
  • the parallelization point may comprise, for example, a backward branch instruction, a function call, an instruction that is marked in advance with an indication embedded in the code (for example a dedicated instructions that marks a parallelization point).
  • Such early-stage invocation even before the instructions of the first thread are decoded, enables fast and efficient parallelization.
  • unit 60 stalls the invoked second thread until at least part of the flow-control trace is available. Alternatively to invocation followed by stalling, unit 60 may delay the invocation until at least part of the flow-control trace is available.
  • the trace (and subsequently a scoreboard) is generated through prediction by branch prediction unit 48.
  • the branch prediction unit chooses the trace to be provided to the next segment.
  • This prediction mechanism may predict a full trace or a part of a trace (branch after branch).
  • the prediction mechanism may comprise, for example, Most-Recently Used (MRU) prediction, or any other suitable branch prediction scheme.
  • MRU Most-Recently Used
  • the second thread is permitted to start fetching. If only part of the trace was provided at the beginning of execution, unit 60 provides the remaining part of the trace as it becomes available. If a thread fetches all the instructions in the partial trace it has been provided with, it will typically stall fetching until a subsequent portion of the trace is provided.
  • unit 60 provides the second thread with the scoreboard at any stage between invocation of the second thread and setting of the dependencies between the instructions or micro-ops of the second segment in the pipeline.
  • the dependencies may be set, for example, in renaming unit 30 or in decoding units 28.
  • the scoreboard may be provided to the second thread at any time before renaming or at any time before decoding.
  • the scoreboard may not be fully available at invocation.
  • the time renaming unit 30 typically, if a scoreboard has not yet been provided by the time renaming unit 30 needs to begin renaming the registers of the instructions of the second segment, the second thread is stalled until the scoreboard is available.
  • Fig. 4 is a flow chart that schematically illustrates a method for executing a segment of program instructions, in accordance with an embodiment of the present invention.
  • the method begins with parallelization unit 60 invoking a first thread to execute certain instructions of the program code, e.g., a first segment of instructions, at a first invocation step 80.
  • fetching unit 24 begins fetching the instruction corresponding to the parallelization point at a fetching step 84.
  • parallelization unit 60 invokes a second hardware thread to process the second segment, at a second invocation step 88.
  • unit 60 checks whether at least part of the trace that should be followed by the second thread is available. If not, unit 60 stalls fetching of the instructions of the second segment, at a fetch stalling step 96, until at least the beginning of the trace is available.
  • unit 60 checks whether the scoreboard to be used by the second thread is available. If not, unit 60 stalls renaming of the registers in the instructions of the second segment, at a rename stalling step 104, until the scoreboard is available. The thread is then allowed to rename, in accordance with the scoreboard, at an execution step 108.
  • the second thread may receive the scoreboard and/or trace only after decoding unit 28 started decoding the instructions of the second segment. In this embodiment, the second thread is not stalled but is permitted to execute (with no dependencies). After or during execution, the second thread verifies that the execution so far has not violated the trace and/or the register-access dependencies defined in the scoreboard. Unit 60 may then update the scoreboard with late LWI if necessary. Alternatively, if a violation is found, the second segment may be flushed.
  • unit 60 may parallelize segments in any other suitable way in response to detecting fetching of the instruction corresponding to the parallelization point.
  • the first segment may comprise the last iteration of a loop
  • the second segment may comprise the instructions following the loop.
  • the parallelization point may comprise the last backward branch instruction in the loop.
  • the first segment may comprise the last instructions of a called function
  • the second segment may comprise the instructions following return from the function.
  • the call instruction serves as the parallelization point.
  • Other embodiments may involve a scoreboard but no trace, such as when the second segment is independent of any preceding instructions.
  • the first and second segments are executed using the same scoreboard and the same flow-control trace. In other embodiments, the first and second segments may be executed in accordance with different scoreboards and different traces.
  • the scoreboard specifies the dependencies between segments with regard to register access.
  • the hardware threads parallelize the execution of segments in accordance with the scoreboard.
  • a thread may play the role of a producer and/or a consumer.
  • the thread signals a subsequent thread upon performing the last write to the register.
  • the thread waits for the signaling that permits it to read the register and from which location. Both roles make use of the Last-Write Indications (LWI) given in the scoreboard.
  • LWI Last-Write Indications
  • Fig. 5 is a flow chart that schematically illustrates a method for exchanging register- access information between hardware threads, in accordance with an embodiment of the present invention.
  • the left-hand- side of the figure illustrates the operation of a thread in a producer role, whereas the right-hand-side illustrates the operation of a thread in a consumer role.
  • a given thread often plays both roles simultaneously, i.e., consumes information from one or more previous threads, and produces information for consumption by one or more future threads.
  • the producer flow begins with a thread executing the next instruction in its segment, in accordance with the provided trace, at a next execution step 110. If the instruction is a write instruction to some register, the thread checks whether the instruction is the last write operation to this register in the segment, at a LWI checking step 114. This information is given in the scoreboard, which was provided to the thread by unit 60.
  • the thread If the instruction is found to be the last write operation to the register, the thread signals the last write, at a LWI signaling step 118.
  • the thread typically signals the fact that the last write has been performed, and transfers the value written to the register. The method loops back to step 110 in which the thread executes the next instruction in the segment.
  • the LWI signaling and register value are typically provided to one or more other threads that have been invoked to execute subsequent segments of the instruction sequence. Any suitable signaling scheme can be used for this purpose.
  • the thread may transfer a pointer that points to a location holding the register value, instead of transferring the value itself.
  • the LWI signaling and value transfer are not necessarily performed only between successive segments.
  • a thread may signal the LWI and transfer the register value to any desired number of threads that are assigned to execute any future segments in the program code.
  • the signaling and value transfer may be performed individually per thread, or using multicast.
  • the thread signals the LWI and transfers the register value in response to execution units 36 executing the instruction.
  • the instruction is later flushed due to mis-prediction, any subsequent segments that proceeded based on this signaling may also need to be flushed.
  • the thread signals the LWI and transfers the register value in response to final committal of the instruction. Instead of waiting for committal of the write instruction, it is typically sufficient to wait for committal of the last branch before the write operation, since no mis-prediction is possible at that stage. Such implementations reduce the probability of flushing subsequent segments.
  • parallelization unit 60 when constructing the scoreboard over a given segment, parallelization unit 60 identifies the last write operation and generates the LWI by examining the instructions decoded by decoding unit 28. This write operation is then buffered in OOO buffer 32, and may be executed out of order. Nevertheless, the thread executing this segment signals the LWI and transfers the register value upon executing the instruction (either in-order or out-of-order).
  • the consumer flow begins with a thread decoding the next instruction in the segment, in accordance with the provided trace, at a next decoding step 120.
  • the thread checks whether the instruction reads a register, at a read checking step 124. If not, the thread executes the instruction, at an execution step 136. If the instruction reads a register, the thread checks whether the value of the register in question should have been provided from one or more previous segments, at a value checking step 128. If not, the thread executes the instruction at execution step 136.
  • the instruction or micro-op is stalled until the register value is available from execution of a previous segment, at a stalling step 132.
  • the register value becomes available, for example, when another thread has executed a previous segment and has signaled the LWI and transferred the register value (step 108 in the produce flow). Only then, the thread executes the instruction at execution step 126.
  • the consumer flow above is typically repeated per instruction or per micro-op.
  • step 132 it is not necessary to stall instructions (step 132) at the decoding stage.
  • the execution pipeline continues to process the segments as far as OOO buffer 32. Only then, the consumer thread waits for the LWI and proceeds to execution once the dependency is resolved.
  • the hardware threads execute the instructions while following the flow-control trace provided by unit 60 (which was typically predicted in the branch prediction unit) during the thread invocation, and not according to the "standard" predictions of branch prediction unit 48 which is typically done on a branch-by-branch basis with every instruction being fetched.
  • the threads may follow the "standard” branch prediction, and flush future segments when the branch prediction is different from the trace. Additionally or alternatively, the threads may follow the branch prediction and flush future segments when the scoreboard is violated, i.e., when a data dependency between the first and second segments is violated.
  • the threads do not perform data-value prediction or speculation. In other words, a thread that depends on a register value from a previous segment will typically stall until this value is available. In other embodiments a thread may predict or speculate register values in order to reduce delay. This solution, however, would increase the likelihood of having to flush instructions.
  • processor 20 maintains one or more flags that are used in conditional instructions.
  • flags include a zero flag ("true” if the result of an arithmetic operation was zero, “false” otherwise), a negative flag ("true” if the result of an arithmetic operation was negative, “false” otherwise), a carry flag ("true” if an addition operation produced a carry, "false” otherwise), an overflow flag ("true” if an addition operation caused an overflow, "false” otherwise), or any other suitable flag.
  • the flags are implemented as respective bits in a dedicated flags register. The flags are updated by various instructions.
  • unit 60 monitors the flags and includes them in the scoreboard in a similar manner to monitoring of registers. For example, unit 60 may determine and record in the scoreboard Last-Write Indications (LWIs) for flags, as well.
  • LWIs Last-Write Indications
  • a hardware thread acting as producer typically signals the LWI and transfers the flag values upon encountering the last write to a flag.
  • a thread acting as consumer may act upon this signaling, as described in Fig. 5.
  • unit 60 may decide not to transfer LWI on flags and have a consumer segment stall in case it needs a flag as an operand from a previous segment.
  • parallelization unit 60 may handle branch mis-prediction in various ways. In one embodiment, if branch mis-prediction is detected during execution, unit 60 flushes all future segments. In an alternative embodiment, the scoreboard, and execution in general, is based only on instructions that will not be flushed due to branch mis-prediction.
  • unit 60 upon detecting branch mis-prediction, corrects the tracking of the scoreboard so as to remove the contribution of instructions that follow the mispredicted branch and that will be flushed. Correcting the tracking of the scoreboard may involve, for example, decrementing the LWI counters to the proper values before the mis-prediction, or reverting to a previously-saved state of the scoreboard that precedes the mis-prediction.
  • each register as part of the monitoring process unit 60 classifies each register as Global (G), Local (L) or Global-Local (GL), and indicates the classification in the corresponding entry in the scoreboard. In some embodiments this classification is also performed and recorded for the processor flags.
  • the description that follows focuses on registers, for clarity, but the disclosed techniques can be applied to flags, as well.
  • the classification of a register as G, L or GL depends on the order in which the register is used as an operand (whose value is read) and/or as a destination (to which a value is written) in the monitored sequence.
  • a local (L) register is defined as a register whose first occurrence in the monitored sequence is as a destination (subsequent occurrences, if any, may be as operand and/or destination).
  • a Global (G) register is defined as a register that is used in the monitored sequence only as an operand, i.e., the register is read but never written to.
  • a global-local (GL) register is defined as a register whose first occurrence in the monitored sequence is as an operand, and is later used in the monitored sequence as a destination. The first and subsequent occurrences may occur in different instructions or in the same instruction, as long as the order between "first" and "subsequent" is preserved.
  • unit 60 calculates and uses LWI only for registers classified as GL. In an alternative embodiment, unit 60 calculates and uses LWI for registers classified as GL and for registers classified as L, e.g., in order to support multiple scoreboards.
  • unit 60 flushes all future segments irrevocably in response to detecting a branch mis-prediction and immediately invokes new threads (without waiting for the parallelization point to be fetched again). In other embodiments, unit 60 invokes new threads only when the thread fetches again the invocation point in the code.
  • unit 60 does not invoke new threads when the flags are classified as GL.

Abstract

La présente invention concerne un procédé qui consiste, dans un processeur (20) qui traite des instructions d'un code de programme, à traiter une ou plusieurs des instructions par un premier fil matériel. Lors de la détection qu'une instruction définie en tant que point de parallélisation a été extraite pour le premier fil, un second fil matériel est invoqué pour traiter au moins une des instructions au moins en partie en parallèle au traitement des instructions par le premier fil matériel.
EP15887388.5A 2015-03-31 2015-12-09 Exécution en parallèle de séquences d'instructions sur la base d'une présurveillance Withdrawn EP3278212A4 (fr)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/673,889 US10296350B2 (en) 2015-03-31 2015-03-31 Parallelized execution of instruction sequences
US14/673,884 US10296346B2 (en) 2015-03-31 2015-03-31 Parallelized execution of instruction sequences based on pre-monitoring
PCT/IB2015/059469 WO2016156955A1 (fr) 2015-03-31 2015-12-09 Exécution en parallèle de séquences d'instructions sur la base d'une présurveillance

Publications (2)

Publication Number Publication Date
EP3278212A1 true EP3278212A1 (fr) 2018-02-07
EP3278212A4 EP3278212A4 (fr) 2018-11-21

Family

ID=57004993

Family Applications (1)

Application Number Title Priority Date Filing Date
EP15887388.5A Withdrawn EP3278212A4 (fr) 2015-03-31 2015-12-09 Exécution en parallèle de séquences d'instructions sur la base d'une présurveillance

Country Status (3)

Country Link
EP (1) EP3278212A4 (fr)
CN (1) CN107430511A (fr)
WO (1) WO2016156955A1 (fr)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112035170B (zh) * 2020-08-20 2021-06-29 海光信息技术股份有限公司 用于分支预测器的方法和系统
CN117111998B (zh) * 2023-10-20 2024-01-30 浪潮通用软件有限公司 一种按需加载模块化前端代码的方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6182210B1 (en) * 1997-12-16 2001-01-30 Intel Corporation Processor having multiple program counters and trace buffers outside an execution pipeline
US6772324B2 (en) * 1997-12-17 2004-08-03 Intel Corporation Processor having multiple program counters and trace buffers outside an execution pipeline
US6496925B1 (en) * 1999-12-09 2002-12-17 Intel Corporation Method and apparatus for processing an event occurrence within a multithreaded processor
US7500240B2 (en) * 2002-01-15 2009-03-03 Intel Corporation Apparatus and method for scheduling threads in multi-threading processors
TWI232403B (en) * 2003-04-23 2005-05-11 Ip First Llc Apparatus and method for buffering instructions and late-generated related information using history of previous load/shifts
US7650485B1 (en) * 2007-04-10 2010-01-19 Sun Microsystems, Inc. Structure and method for achieving very large lookahead instruction window via non-sequential instruction fetch and issue
US8479185B2 (en) * 2010-12-09 2013-07-02 Oracle International Corporation Method and system for utilizing parallelism across loops

Also Published As

Publication number Publication date
CN107430511A (zh) 2017-12-01
WO2016156955A1 (fr) 2016-10-06
EP3278212A4 (fr) 2018-11-21

Similar Documents

Publication Publication Date Title
US10296346B2 (en) Parallelized execution of instruction sequences based on pre-monitoring
US9135015B1 (en) Run-time code parallelization with monitoring of repetitive instruction sequences during branch mis-prediction
Akkary et al. A dynamic multithreading processor
US9348595B1 (en) Run-time code parallelization with continuous monitoring of repetitive instruction sequences
US9715390B2 (en) Run-time parallelization of code execution based on an approximate register-access specification
US9208066B1 (en) Run-time code parallelization with approximate monitoring of instruction sequences
US10013255B2 (en) Hardware-based run-time mitigation of conditional branches
US20120079255A1 (en) Indirect branch prediction based on branch target buffer hysteresis
US7711934B2 (en) Processor core and method for managing branch misprediction in an out-of-order processor pipeline
JP2011517493A (ja) 実行された命令の結果を選択的にコミットするためのシステムおよび方法
EP3306468A1 (fr) Procédé et processeur
GB2501582A (en) Issuing speculative load instructions to cache memory
JP5403973B2 (ja) アウト・オブ・オーダ・プロセッサにおける述語型実行のための方法および装置
US9268575B2 (en) Flush operations in a processor
US20100306513A1 (en) Processor Core and Method for Managing Program Counter Redirection in an Out-of-Order Processor Pipeline
EP3278212A1 (fr) Exécution en parallèle de séquences d'instructions sur la base d'une présurveillance
KR20070108936A (ko) 조건부 명령어가 실행되지 않을 경우 소스 오퍼랜드를대기하는 것을 중지하는 방법
US10296350B2 (en) Parallelized execution of instruction sequences
EP3238040A1 (fr) Parallélisation de code de temps d'exécution à contrôle continu de séquences d'instructions répétitives
US10180841B2 (en) Early termination of segment monitoring in run-time code parallelization
US6629235B1 (en) Condition code register architecture for supporting multiple execution units
WO2007084202A2 (fr) Coeur de processeur et procédé de gestion de mauvaise prédiction de branchement dans un pipeline de processeur défectueux
US20180129500A1 (en) Single-thread processing of multiple code regions
US20040128482A1 (en) Eliminating register reads and writes in a scheduled instruction cache
WO2017072615A1 (fr) Limitation par voie matérielle des branchements conditionnels au moment de l'exécution

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20170829

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

AX Request for extension of the european patent

Extension state: BA ME

DAV Request for validation of the european patent (deleted)
DAX Request for extension of the european patent (deleted)
A4 Supplementary search report drawn up and despatched

Effective date: 20181022

RIC1 Information provided on ipc code assigned before grant

Ipc: G06F 9/30 20180101ALI20181016BHEP

Ipc: G06F 9/38 20180101AFI20181016BHEP

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20190521