EP3190758B1 - Système de signalisation à vitesse elevée à préaccentuation, annulation de réflexion et annulation de décalage du niveau continu adaptives - Google Patents

Système de signalisation à vitesse elevée à préaccentuation, annulation de réflexion et annulation de décalage du niveau continu adaptives Download PDF

Info

Publication number
EP3190758B1
EP3190758B1 EP16190717.5A EP16190717A EP3190758B1 EP 3190758 B1 EP3190758 B1 EP 3190758B1 EP 16190717 A EP16190717 A EP 16190717A EP 3190758 B1 EP3190758 B1 EP 3190758B1
Authority
EP
European Patent Office
Prior art keywords
tap
signal
data
sampler
threshold
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
EP16190717.5A
Other languages
German (de)
English (en)
Other versions
EP3190758A1 (fr
Inventor
Vladimir M. Stojanovis
Andrew Ho
Anthony Bessios
Fred F. Chen
Elad Alon
Mark A. Horowitz
Bruno W. Garlepp
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rambus Inc
Original Assignee
Rambus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/740,087 external-priority patent/US7126378B2/en
Priority claimed from US10/875,086 external-priority patent/US7233164B2/en
Application filed by Rambus Inc filed Critical Rambus Inc
Publication of EP3190758A1 publication Critical patent/EP3190758A1/fr
Application granted granted Critical
Publication of EP3190758B1 publication Critical patent/EP3190758B1/fr
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/38Synchronous or start-stop systems, e.g. for Baudot code
    • H04L25/40Transmitting circuits; Receiving circuits
    • H04L25/49Transmitting circuits; Receiving circuits using code conversion at the transmitter; using predistortion; using insertion of idle bits for obtaining a desired frequency spectrum; using three or more amplitude levels ; Baseband coding techniques specific to data transmission systems
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/02Transmitters
    • H04B1/04Circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/02Transmitters
    • H04B1/04Circuits
    • H04B1/0475Circuits with means for limiting noise, interference or distortion
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0202Channel estimation
    • H04L25/024Channel estimation channel estimation algorithms
    • H04L25/025Channel estimation channel estimation algorithms using least-mean-square [LMS] method
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • H04L25/028Arrangements specific to the transmitter end
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • H04L25/0292Arrangements specific to the receiver end
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03006Arrangements for removing intersymbol interference
    • H04L25/03012Arrangements for removing intersymbol interference operating in the time domain
    • H04L25/03019Arrangements for removing intersymbol interference operating in the time domain adaptive, i.e. capable of adjustment during data reception
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03006Arrangements for removing intersymbol interference
    • H04L25/03012Arrangements for removing intersymbol interference operating in the time domain
    • H04L25/03019Arrangements for removing intersymbol interference operating in the time domain adaptive, i.e. capable of adjustment during data reception
    • H04L25/03057Arrangements for removing intersymbol interference operating in the time domain adaptive, i.e. capable of adjustment during data reception with a recursive structure
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03006Arrangements for removing intersymbol interference
    • H04L25/03343Arrangements at the transmitter end
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03878Line equalisers; line build-out devices
    • H04L25/03885Line equalisers; line build-out devices adaptive
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/06Dc level restoring means; Bias distortion correction ; Decision circuits providing symbol by symbol detection
    • H04L25/061Dc level restoring means; Bias distortion correction ; Decision circuits providing symbol by symbol detection providing hard decisions only; arrangements for tracking or suppressing unwanted low frequency components, e.g. removal of dc offset
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/38Synchronous or start-stop systems, e.g. for Baudot code
    • H04L25/40Transmitting circuits; Receiving circuits
    • H04L25/49Transmitting circuits; Receiving circuits using code conversion at the transmitter; using predistortion; using insertion of idle bits for obtaining a desired frequency spectrum; using three or more amplitude levels ; Baseband coding techniques specific to data transmission systems
    • H04L25/4906Transmitting circuits; Receiving circuits using code conversion at the transmitter; using predistortion; using insertion of idle bits for obtaining a desired frequency spectrum; using three or more amplitude levels ; Baseband coding techniques specific to data transmission systems using binary codes
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/38Synchronous or start-stop systems, e.g. for Baudot code
    • H04L25/40Transmitting circuits; Receiving circuits
    • H04L25/49Transmitting circuits; Receiving circuits using code conversion at the transmitter; using predistortion; using insertion of idle bits for obtaining a desired frequency spectrum; using three or more amplitude levels ; Baseband coding techniques specific to data transmission systems
    • H04L25/4917Transmitting circuits; Receiving circuits using code conversion at the transmitter; using predistortion; using insertion of idle bits for obtaining a desired frequency spectrum; using three or more amplitude levels ; Baseband coding techniques specific to data transmission systems using multilevel codes
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/003Modifications for increasing the reliability for protection
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/02Transmitters
    • H04B1/04Circuits
    • H04B2001/0408Circuits with power amplifiers
    • H04B2001/0416Circuits with power amplifiers having gain or transmission power control
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03006Arrangements for removing intersymbol interference
    • H04L2025/0335Arrangements for removing intersymbol interference characterised by the type of transmission
    • H04L2025/03356Baseband transmission
    • H04L2025/03363Multilevel
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/03Shaping networks in transmitter or receiver, e.g. adaptive shaping networks
    • H04L25/03006Arrangements for removing intersymbol interference
    • H04L2025/03777Arrangements for removing intersymbol interference characterised by the signalling
    • H04L2025/03802Signalling on the reverse channel
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • H04L25/028Arrangements specific to the transmitter end
    • H04L25/0282Provision for current-mode coupling

Definitions

  • the present invention relates generally to the field of communications, and more particularly to high speed electronic signaling within and between integrated circuit devices.
  • Dispersion-type ISI becomes more pronounced at faster signaling rates, ultimately degrading the signal quality to the point at which distinctions between originally transmitted signal levels may be lost
  • Figure 1 illustrates a prior-art signaling system having a transmitter 101, signal path 102 and receiver 103.
  • the transmitter includes post- and pre-tap output drivers 109 that mitigate dispersion-type ISI by generating dispersion-countering, pre-emphasis signals based on previously transmitted values (post-tap data) and the next-to-be-transmitted data value (pre-tap data), stored in shift register elements,107 and 104, respectively.
  • the pre-emphasis signals are wire-summed with a primary output signal, generated by primary output driver 105, that corresponds to the data value being transmitted.
  • W transfer function
  • P transfer function
  • the transmitter is usually peak power constrained and therefore unable to provide the level of pre-emphasis needed to restore received signals to originally transmitted levels, illustrated as normalized +/-1 signal levels in Figure 2 .
  • a level-encoded signaling protocol e.g., pulse amplitude modulation (PAM)
  • PAM pulse amplitude modulation
  • the overall attenuation of the received signal is a function of the transmitted data pattern itself, with low frequency components (e.g., sequences of same-level transmissions) having a higher amplitude, approaching the +/-1 levels, than high frequency components (e.g., alternating sequences of different-level transmissions) which are attenuated to +/-a levels.
  • a fundamental problem is how to generate the error signal used to adjust the drive strengths of the transmitter output drivers considering that no known data level can be reached for all data patterns. That is, if the known reference levels +/-1 cannot be reached in high-frequency data patterns, attempting to converge to such levels tends to produce non-optimal drive-strength settings from the standpoint of link performance.
  • One prior-art solution for generating error signals that may be used to update the drive strengths of the transmitter output drivers is to provide a variable gain element, G (shown in dashed outline in Figure 1 ), at the receive-side of the signaling path 102.
  • the gain element may be used to restore the incoming signal to the desired signaling level. While some improvement may be realized by such an approach, as signaling rates progress deeper into the gigahertz range, signals are often attenuated 10 to 20db and more. Consequently, the gain-bandwidth product required to restore such high data rate signals to originally transmitted levels is beyond the capability of most practical amplifiers.
  • circuit elements or circuit blocks may be shown or described as multi-conductor or single conductor signal lines.
  • Each of the multi-conductor signal lines may alternatively be single-conductor signal lines, and each of the single-conductor signal lines may alternatively be multi-conductor signal lines.
  • Signals and signaling paths shown or described as being single-ended may also be differential, and vice-versa.
  • signals described or depicted as having active-high or active-low logic levels may have opposite logic levels in alternative embodiments.
  • circuits described or depicted as including metal oxide semiconductor (MOS) transistors may alternatively be implemented using bipolar technology or any other technology in which a signal-controlled current flow may be achieved.
  • MOS metal oxide semiconductor
  • a signal is said to be “asserted” when the signal is driven to a low or high logic state (or charged to a high logic state or discharged to a low logic state) to indicate a particular condition.
  • a signal is said to be “deasserted” to indicate that the signal is driven (or charged or discharged) to a state other than the asserted state (including a high or low logic state, or the floating state that may occur when the signal driving circuit is transitioned to a high impedance condition, such as an open drain or open collector condition).
  • a signal driving circuit is said to "output" a signal to a signal receiving circuit when the signal driving circuit asserts (or deasserts, if explicitly stated or indicated by context) the signal on a signal line coupled between the signal driving and signal receiving circuits.
  • a signal line is said to be “activated” when a signal is asserted on the signal line, and “deactivated” when the signal is deasserted.
  • the prefix symbol "J" attached to signal names indicates that the signal is an active low signal (i.e., the asserted state is a logic low state).
  • a line over a signal name (e.g., ⁇ ⁇ signal name >') is also used to indicate an active low signal.
  • terminal is used to mean a point of electrical connection.
  • exemplary is used to express but an example, and not a preference or requirement.
  • the invention is defined by the appended independent claim. Preferred embodiments are set forth in the dependent claims.
  • Signaling systems having a multiple-output driver transmit circuit are disclosed in various embodiments.
  • the drive strengths of output drivers within the transmit circuit are adaptively adjusted concurrently with adaptive determination of a target, receive-side signal level.
  • the target signal level is compared with received signals to generate error signals that are used, in turn, to adjust the drive strengths of the output drivers.
  • a target signal level is determined and used to establish drive strength values that yield a substantially flattened channel response to different-frequency transmit data patterns.
  • the error signals that result from comparison of received signals with the target signal level are input to a circuit that generates updated drive strength values, referred to herein as tap weights, in a manner that converges to a least-mean-square (LMS) error.
  • the target signal level is used to establish a trap range, with signals falling within the trap range being used to update the drive strength values.
  • the set of drive strength values may be scaled according to the transmit circuit power constraint.
  • the adaptive determination of the target signal level converges to a level that corresponds to the peak (or average) power available to the signal transmitter.
  • a target level that corresponds to a substantially flattened frequency response at the peak or average power available to the signal transmitter is, in effect, learned by the system and used as an error reference for continued adjustment of output driver drive strengths.
  • a Taylor series approximation is used to simplify the power scaling of the drive strength values, enabling the scaling operation to be carried out in a relatively small logic circuit.
  • drive strength values for pre- and post-tap output drivers of the transmit circuit are first updated and the drive strength of the data driver adjusted up or down to maintain the overall transmit power level within a predefined range.
  • DC offsets within individual samplers of the receive circuit are adaptively canceled; multiplexing circuitry is provided to enable one or more samplers within the receive circuit to be temporarily removed from service and replaced by another sampler; and single- and two-phase techniques are applied to generate drive strength update values.
  • FIG. 3 illustrates a signaling system 200 according to an embodiment of the invention.
  • the signaling system 200 includes a multi-output driver transmitter 201 (referred to herein as a multi-tap transmitter) and multi-sample receiver 209 coupled to one another via a high-speed signal path 202.
  • the signal path 202 is a differential signal path having a pair of component signal lines to conduct differential signals generated by the transmitter 201.
  • the signal path 202 may alternatively be singe-ended (i.e., single conductor path) for transmission of single-ended signals generated by the transmitter 201.
  • the signal path 202 may be formed in multiple segments disposed on different layers of a circuit board and/or multiple circuit boards.
  • the signal path 202 extends between two backplane-mounted daughterboards, and includes a printed trace segment on the backplane that extends between daughterboard connectors and counterpart trace segments on the daughterboards coupled to one another, via the daughterboard connectors and the backplane trace segment.
  • the transmitter 201 and receiver 209 are implemented in respective integrated circuit (IC) devices that are mounted on a common circuit board or different circuit boards (e.g., as in the case of backplane-mounted daughterboards).
  • IC dice i.e., chips
  • the transmitter 201 and receiver 209 may be packaged within a single, multi-chip module with the chip-to-chip signaling path formed by bond wires or other signal conducting structures.
  • the transmitter 201 and receiver may be formed on the same IC die (e.g., system on chip) and the signaling path 202 implemented by a metal layer or other conducting structure of the die.
  • the transmitter 201 transmits data on the signaling path 202 during successive time intervals, referred to herein as symbol times, T S .
  • each symbol time corresponds to a half cycle of a transmit clock signal 208 (TCLK) such that two data values (e.g., values A and B) are transmitted on signaling path 202 per cycle of the transmit clock signal 208.
  • TCLK transmit clock signal 208
  • the transmitted data signal arrives at the input of the receiver 209 after propagation time, T P , and is sampled by the receiver 209 in response to edges of a sampling clock signal 210 (SCLK).
  • the sampling clock signal 210 may be supplied to the receive circuit 209 via an external clock line, or may be a recovered version of a reference clock signal (e.g., recovered by a delay-locked loop or phase locked loop circuit). In other embodiments, discussed below, the sampling clock signal 210 may be recovered from the incoming data signal itself by a clock data recovery (CDR) circuit. Still referring to Figure 4 , the sampling clock signal 210 has a quadrature phase relation to data valid windows (i.e., data eyes) in the incoming data signal such that each sample of the incoming signal is captured at the midpoint of a data eye.
  • data valid windows i.e., data eyes
  • the sampling instant may be skewed relative to data eye midpoints as necessary to satisfy signal setup and hold time requirements of the samplers 211 and 213, and/or to compensate for asymmetry in the channel pulse response.
  • more or fewer symbols may be transmitted per cycle of the transmit clock signal 208.
  • the embodiment of Figure 3 may alternatively be a single data rate system, quad data rate system, octal data rate system, decade data rate system, and so forth.
  • a single symbol is captured during each cycle of the sampling clock signal 210. That is, a rising (or falling) edge of the sample clock is used to capture a sample of the incoming signal, x' n .
  • multiple symbols are captured per cycle of the sampling clock signal 210 as shown in Figure 4 .
  • clock generation circuitry may be provided within the receive-side device (e.g.,, an IC device containing the receiver 209) to generate multiple instances of the sampling clock signal 210 that are phase-distributed through a period (1/frequency) of the sampling clock signal.
  • sampling clock signal 210 two instances of the sampling clock signal 210 are provided: an even-phase sampling clock signal, SCLK E , to sample even-numbered symbols x' n , x' n+2 , x' n+4 ...; and an odd-phase sampling clock signal, SCLK O , to sample odd-numbered symbols x' n-1 , x' n+1 , x' n+3 ...
  • SCLK E even-phase sampling clock signal
  • SCLK O odd-phase sampling clock signal
  • the transmitter 201 includes a transmit shift register 203, output driver bank 204 and tap weight register 206.
  • the transmit shift register 203 is five elements deep and used to store a pre-tap data value D +1 , primary data value D 0 , and three post-tap data values D -1 , D -2 and D -3 .
  • the primary data value is the data value to be transmitted to the receiver 209 during a given transmit interval
  • the pre- and post-tap data values are the next-tobe transmitted and previously transmitted data values, respectively (i.e., the subscript indicating the number of transmit intervals to transpire before the data value will be transmitted).
  • Each of the shift register storage elements is coupled to a respective one of output drivers 205 0 -205 4 within the output driver bank 204, with output driver 205 1 forming the primary data driver, output driver 205 0 forming the pre-tap data driver and output drivers 205 2 -205 4 forming the post-tap data drivers (such drivers being referred to herein as pre-tap, primary and post-tap drivers, for brevity).
  • the tap weight register is used to store a set of drive strength values, W N , referred to herein as tap weights. As described below, the tap weights are iteratively updated, with each new set of tap weights being designated by an incrementally higher subscript (i.e., N, N+1, N+2, etc.). Each tap weight of a given set, W N (0)-W N (4), is supplied to a respective one of the output drivers 205 0 -205 4 to control the level of the output signal generated by the output driver.
  • the signal path 202 is pulled up to a predetermined voltage level (e.g., at or near supply voltage) by single-ended or double-ended termination elements, and the output drivers 205 0 -205 4 generate signals on the signal path 202 by drawing a pull-down current, I PD (i.e., discharge current), in accordance with the corresponding tap weight and data value.
  • a predetermined voltage level e.g., at or near supply voltage
  • I PD i.e., discharge current
  • the individual currents drawn by the output drivers 205 0 -205 4 are wire-summed (i.e., drawn from the same node) to form a total pull-down current, I TPD , and therefore each contribute to the total output signal level in accordance with the sign of the output driver contribution and the tap weight.
  • pre- and post-tap drivers are enabled to provide additive and subtractive contributions to the output signal level, as necessary to compensate for dispersion-type ISI.
  • pre-tap and post-tap drivers and corresponding tap weights and shift register elements
  • FIG. 3 the particular numbers of pre-tap and post-tap drivers (and corresponding tap weights and shift register elements) shown in Figure 3 and the figures that follow have been selected for purposes of example only.
  • more or fewer pre-tap drivers and/or post-tap drivers may be provided, along with more or fewer storage elements within shift register 203 and tap weights within tap weight register 206.
  • each of the tap weights, W N (0)-W N (4) is a digital value having a sign component and magnitude component.
  • the sign component of the tap weight e.g., sign bit
  • the exclusive-NOR operation effectively multiplies the signs of the tap weight and transmit data value, yielding a logic '1' (i.e., interpreted as a positive sign in one embodiment) if the signs of the tap weight and transmit data value are the same, and a logic '0' (i.e., negative sign) if the signs of the tap weight and transmit data value are different.
  • the magnitude component of the tap weight is a multi-bit value used, for example, to control a digital-to-analog converter (DAC) within the output driver.
  • DAC digital-to-analog converter
  • the sign of the signal contribution generated by the i th output driver is positive (i.e., logic '1') if the sign of the corresponding tap weight and source data value match, and negative otherwise. That is, if a logic ⁇ 1' is to be transmitted (i.e., positive data) and the tap weight is positive (indicated by a logic '1' sign bit), the signal contribution is positive, thereby increasing the signal level generated on signal path 202.
  • the signal contribution is also positive if a logic '0' is to be transmitted (i.e., negative data) and the tap weight is negative, the negative tap weight effectively flipping the otherwise negative signal contribution indicated by the logic '0' data. If the tap weight sign and source data value do not match, then a negative signal contribution is generated by the output driver.
  • the sign of the tap weight may similarly be used to change the sign of the transmitted symbol.
  • FIG 5 illustrates an embodiment of a differential, current-mode output driver 230 that may be used to implement each of the output drivers 205 0 -205 4 of Figure 3 .
  • the output driver includes a pair of transistors 233 and 235, each having drain terminals pulled up by respective load elements R (resistors are depicted in Figure 5 , but active load elements or other types of resistive elements may alternatively be used) and coupled to negative and positive lines 240 and 242 (L- and L+ respectively) of differential signal path 202.
  • Source terminals of the transistors 233 and 235 are coupled in common to a current DAC 237 (IDAC) which draws a current, I S , in accordance with the magnitude component of tap weight, W N (i).
  • IDAC current DAC 237
  • I S
  • An exclusive-NOR gate 231 is provided to exclusive-NOR the sign of the tap weight 232 with the corresponding source data value 234, thereby generating a signal contribution sign, S(i), that is supplied to the gate of transistor 233.
  • the complement of the signal contribution sign, /S(i) is generated by inverter 239 and supplied to the gate of transistor 235.
  • the signs of the tap weight 232 and source data value 234 control whether the differential signal contribution generated on lines 240 and 242 by a given output driver (i.e., V L+ -V L- ) is positive or negative, and the magnitude of the tap weight 232 controls the amplitude of the differential signal.
  • a given output driver i.e., V L+ -V L-
  • multi-level signaling i.e., signaling protocols in which each transmitted symbol carries more than one bit of information
  • push-pull type output drivers or other types of output drivers may be used instead of the current mode driver 230 shown in Figure 5 .
  • the tap weights stored in tap weight register 206 are ideally set to exactly cancel the dispersion-type ISI (and/or other systematic sources of signal distortion) resulting from transmission of the pre-tap and post-tap data values. For example, if transmission of a logic '1' value that starts at a normalized signal level of+1.0 results in reception of signals having levels of 0.7 and 0.3 in successive reception intervals, then the signal is being dispersed and attenuated by the signal path 202 (also referred to herein as a channel).
  • an immediately subsequent transmission of a logic '0' that starts at a normalized signal level of -1.0 results in reception of signals having levels of -0.4 (i.e., -0.7 + 0.3), and -0.3. That is, the residue of the initial transmission (i.e., 0.3) destructively combines (i.e., interferes) with the subsequent negative-level signal, attenuating the received signal level.
  • the source of the ISI in any given symbol transmission is the immediately preceding symbol.
  • the post-tap driver 205 2 By setting the post-tap driver 205 2 to generate a subtractive pre-emphasis signal that exactly cancels the residue of the preceding transmission, the signal received within a given sampling interval, while not fully restored to the originally transmitted level, is free from ISI.
  • the ISI will not be fully canceled, as numerous other channel effects (reflections, cross-tallc, noise) mask the true level of ISI at any given time, making it difficult to ascertain the exact tap weight that should be applied to the pre- and post-tap drivers (i.e., 205 0 and 205 2 -205 4 ) to compensate for the pre- and post-tap residue.
  • the pre-emphasis signal itself will generate ISI, which in turn may be mitigated by additional pre-emphasis signals generated by one or more others of the pre- and post-tap drivers.
  • the receiver 209 generates updated tap weights, W N+1 , based upon a comparison of incoming signals with an adaptively determined target signal level 220, referred to herein as a data level threshold, DLEV.
  • the receiver 209 includes an adaptive module 215 (AM) and a pair of sampling circuits referred to herein as a data sampler 211 (D) and an adaptive sampler 213 (A).
  • the data sampler samples the incoming signal, referred to herein as x' n to emphasize the channel transformation of originally transmitted signal, x n , and generates a data sample 216 (RX Data) having a logic '1' or logic '0' state according to whether the incoming signal exceeds a zero reference.
  • the zero reference may be generated by a DAC, voltage divider or other circuit and set to a point midway between steady-state high and steady-state low signaling levels.
  • the common mode of the incoming differential signal may constitute the zero reference so that if the signal level on the positive signal line (e.g., line 240 of Figure 5 ) exceeds the signal level on the negative signal line (e.g., line 242 of Figure 5 ), a logic '1' is captured by the data sampler 211 and, conversely, if the signal level on the negative signal line exceeds the signal level on the positive signal line, a logic '0' is captured by the sampler 211.
  • the data sample 216 has a logic state that corresponds to the sign of the incoming data signal, positive or negative, and is referred to herein as a data sign value.
  • the adaptive sampler 213 also samples the incoming signal, x' n , and generates an error sample 218 having a logic '1' or logic '0' state according to whether the incoming signal exceeds the data level threshold 220 generated by the adaptive module 215.
  • the error sample 218 is referred to herein as an error sign value (sgn(e n )) and is a logic '1' (i.e., positive) if x' n ⁇ DLEV, and a logic '0' (i.e., negative) if x' n ⁇ DLEV).
  • the adaptive module 215 receives the data sign and error sign values, 216 and 218, from the data sampler 211 and adaptive sampler 213, respectively, and adaptively updates the data level threshold 220 and pre-emphasis tap weights 226 in response.
  • the data level threshold converges to the attenuated levels, +/-a exhibited by the highest frequency data patterns transmitted over the signal path 202, and the tap weights 226 converge to a setting that substantially flattens the channel response as shown at 247.
  • the attenuated signal levels +/-a of high frequency data patterns are learned and used to generate error signals that, when applied in an error reduction circuit, drive the pre-emphasis tap weights 226 toward a solution that flattens the channel response at the +/-a threshold levels, and yet meets the peak power constraint of the transmit circuit.
  • a more optimal tap weight convergence may be achieved than in the prior-art signaling system of Figure 1 , potentially improving signaling margins, particularly in multi-PAM systems where finer distinctions between signaling levels are needed.
  • the frequency response it is desirable to shape the frequency response differently from the flattened response described in reference to Figure 6 .
  • This may be done, for example, by updating both the tap weights and data level threshold using the error filtered by appropriate data sequences.
  • This data filtering does not change the operations described above with regard to concurrent updating of both the data level threshold and tap weights (with or without power scaling). Rather, the target shape of the pulse is changed.
  • each new set of updated tap weights 226 is communicated to the transmitter via a back channel 225.
  • the back channel 225 may be formed, for example, by a relatively low-speed signaling path, or by out-of-band signaling over the signaling path 202 (e.g., using an otherwise unused code space within a signal encoding protocol such as 8b/10b or other signal encoding).
  • a separate back channel may be omitted and the signaling path 202 may be used to communicate updated tap weights 226 (or update values that enable transmit-side generate of updated tap weights 226) to the transmit-side device.
  • FIG 7 illustrates an embodiment of an adaptive module 250 that may be used to implement the adaptive module 215 of Figure 3 .
  • the adaptive module 250 includes a data sign register 251, error sign register 253, sign multiplier 257, finite state machine 255, power scaling logic 259, filter 261, threshold counter 269 and DAC 271.
  • the error sign value 218 and data sign value 216 generated during reception interval 'n' are supplied to the error sign register 253 and data sign register 251, respectively, and clocked into the registers in response to transitions of a sampling clock signal, not shown (or other, related clock signal).
  • the data sign register 251 is a shift register used to store the most recently generated data sign values.
  • the data sign register 251 is depicted as being five elements deep (i.e., to store data sign values , x' n-1 -x' n-4 ); a depth that corresponds to the number of tap weights applied within the transmit circuit 201 of Figure 3 .
  • the data sign register 251 may have more or fewer storage elements, for example, to accommodate more or fewer tap weights and/or to store data sign values used for other purposes including, without limitation, reflection cancellation, cross-talk cancellation and offset cancellation.
  • the error sign register 253 is a one-deep register in the embodiment of Figure 7 , to store error sign value sgn(e n-1 ), but may include any number of shift register elements in alternative embodiments (e.g., to enable selection of an error sign value having a desired latency).
  • the sign multiplier 257 includes a set of exclusive-NOR gates 258 0 -258 4 each having a first input coupled in common to receive the stored error sign value from the error sign register 253 and each having a second input coupled to receive a respective data sign value from the data sign register 251.
  • each of the exclusive-NOR gates 258 0 -258 4 generates a respective one of update values 260, UD(0)-UD(4), in a logic '1' state if the corresponding data sign value matches the error sign value, and in a logic '0' state if the data sign value and error sign value do not match.
  • each of the update values 260 represents a multiplication of the signs of the input signal (i.e., x' n-1 -x n-4 , respectively) and error signal e n-1 and therefore is a logic '1' if the signs are both positive or both negative, and a logic '0' if the signs are different.
  • each of the update values 260 is filtered within a respective one of filter elements 262 (F) to decrease update dither due to noise in the update estimate.
  • the filter elements 262 are omitted.
  • a tap weight is made more positive in response to a logic '1' update (i.e., a positive update) and more negative in response to a logic '0' update value (a negative update). More specifically, a positive tap weight is incremented (e.g., by a predetermined step size) and a negative tap weight decremented in a positive update. Conversely, a positive tap weight is decremented and a negative tap weight incremented in a negative update.
  • each tap weight update is in the direction of the estimate of the quantized negative gradient of the quadratic, least-mean-square
  • the data level threshold is updated according to the error sign value, sign(e n ).
  • the adaptive module 250 outputs the updated tap weight values 226 generated by the power scaling logic 259 to the transmit-side device, for example, via the back channel 225 depicted in Figure 3 (or via another signaling path).
  • the power scaling logic 259 is provided within the transmit-side device rather than the receive-side device, so that only the tap weight updates (or component signals used to generate the tap weight updates) need be communicated to the transmit-side device.
  • the finite state machine 255 which, in turn, asserts an update-weight signal 282 (UW) to enable the power scaling logic 259 to apply the update values 260 to the existing set of tap weights ( W N ), and scale the resulting values to generate updated tap weights W N+1 226.
  • the finite state machine asserts the update-weight signal upon determining that the shift register 251 is fully loaded, or fully reloaded, with a set of data sign values, and that the most recently stored data sign value has a predetermined state.
  • the predetermined state may be either positive or negative in different embodiments, according to whether the data level threshold 220 generated by the adaptive module 250 corresponds to positive or negative incoming signals. That is, if the data level threshold 220 is adjusted to the level of logic '1' data, then the error signal, e n , has meaning with respect to x' n if the sign of x' n is positive (i.e., the data sign value is a logic '1') and is ignored if the sign of x' n is negative.
  • the error signal, e n has meaning with respect to x' n if the sign of x' n is negative and is ignored if the sign of x' n is positive.
  • two adaptive samplers may be provided to generate positive and negative data level thresholds when positive and negative data signals are received, respectively. As discussed below, in a multi-PAM embodiment, an adaptive sampler may be provided to generate error information for each different data level.
  • the adaptive module 250 generates a data level threshold 220 (DLEV) that constitutes a target data level for incoming, positive data signals.
  • DLEV data level threshold 220
  • the finite state machine 255 detects storage of a positive data sign value (i.e., a logic '1')
  • the finite state machine 255 asserts an update threshold signal 268 (UT), thereby enabling a threshold count 270 maintained by threshold counter 269 to be incremented or decremented according to the state of the corresponding error sign value, e n-1 , stored in register 253.
  • Filter 267 is provided to decrease update dither due to noise in the update estimate, and may be omitted in alternative embodiments.
  • the finite state machine 255 may also generate the update threshold signal 268, upon determining that a predetermined pattern of incoming signals has been received (e.g., a high-frequency pattern such as 10101).
  • the threshold counter 269 outputs the threshold count 270 to a DAC 271 which, in turn, generates a corresponding data level threshold 220.
  • the DAC may alternatively be a component within the adaptive sampler 213 (e.g., a DAC that operates to bias the sampler to establish the data level threshold).
  • a digital control value i.e., the threshold count 270
  • an analog threshold level or analog biasing signal.
  • the adaptive module may additionally include a filter 261, offset counter 263 and DAC 265 to control offset cancellation within the data sampler.
  • an offset adjust signal 252 is asserted at an input of the finite state machine, and a null signal is generated at the data sampler input, for example, by switchably coupling the sampler inputs together, or by transmitting null data over the signal path (i.e., signal levels impressed on component lines of the differential signal path have the same levels).
  • a steady-state positive or negative output from the data sampler in response to the null data input indicates a DC error within the sampler.
  • the sampler repeatably interprets nominally equal signal levels at its differential inputs as indicating a logic '1' or logic '0' value, then the sampler exhibits a DC offset. Accordingly, the data sign value, after being filtered by the filter 261 (which may be omitted in alternative embodiments), is supplied to an up/down input of the offset counter 263.
  • the finite state machine responds to assertion of the offset adjust signal by asserting an update-offset signal 284 (UO) after each new data sign value is loaded into the shift register (or after a predetermined number of data sign values have been loaded), thereby enabling the offset count 264 maintained within the offset counter 263 to be adjusted up or down.
  • UO update-offset signal
  • the offset count 264 is supplied to DAC 265 which, in turn, generates an analog control value 266 (OFST) that is applied within the data sampler to bias the sampler in a direction counter to the DC offset.
  • the offset count 264 itself may be supplied to the sampler. In either case, a negative feedback loop is created in which the data sampler bias is adjusted to drive the DC offset to zero, a condition indicated by a dithering offset count 264.
  • the offset count 264 is supplied to the finite state machine 255 (or other control circuit) to enable the finite state machine 255 to determine when a target DC offset count has been reached (i.e., offset calibration operation complete).
  • the finite state machine 255 continues to assert the update-offset signal 284 (i.e., continuing the DC offset calibration operation) until the offset adjust signal 252 is deasserted.
  • the offset adjust signal 252 may be asserted, for example and without limitation, for a predetermined time, or until a predetermined number of data sign values have been generated, or until a dithering offset count is detected.
  • the offset count 264 (or DAC output 266) is supplied to both the adaptive sampler and the data sampler (e.g., elements 213 and 211 of Figure 3 ), on the assumption that the DC offset of the adaptive sampler is likely to track the DC offset of the data sampler. This may be the case, for example, when a contributor to DC offset is the signal path itself, or when the DC offset is process dependent.
  • additional offset calibration circuitry e.g., filter, offset counter and, if needed, DAC
  • multiplexing circuitry is used to select the error sign register 253 to provide the sample value to the filter 261 instead of the data sign register 251.
  • the threshold count applied to the adaptive sampler is temporarily zeroed (or disabled from being applied within the adaptive sampler) to enable determination of the DC offset.
  • the power scaling logic 259 (or other circuitry within the adaptive module) updates the transmit pre-emphasis tap weights according to the update values
  • the power scaling logic 259 scales the updated tap weights to ensure that the total power indicated by the aggregate magnitudes of the tap weights does not exceed the power constraint (peak or average) of the transmit circuit.
  • the maximum DAC setting, and therefore the power constraint may be programmed into a configuration circuit within the receive-side and/or transmit-side device, or supplied to the receive-side and/or transmit-side devices during system initialization).
  • the power constraint may be exceeded.
  • the transmit circuit power constraint may be expressed as a sum of the magnitudes of the output driver tap weights.
  • W MAX the tap weights, W, control the current contribution of each output driver, which in turn controls the voltage level developed on the signaling path and therefore the power output of the drivers.
  • W MAX refers to the square root of the normalized peak or average power constraint.
  • Direct re-scaling may be carried out by a processing unit (e.g., digital signal processor, special purposes processor, or general purposes processor) within either the receive-side IC device or transmit-side IC device (i.e., the IC devices that include the receiver 209 and transmitter 201, respectively, of Figure 3 ) or by another device.
  • a processing unit e.g., digital signal processor, special purposes processor, or general purposes processor
  • transmit-side IC device i.e., the IC devices that include the receiver 209 and transmitter 201, respectively, of Figure 3
  • a state machine or dedicated logic circuit for carrying out the direct re-scaling operation e.g., using integer arithmetic
  • W MAX 1/W MAX involves a right shift by log2(W MAX ) bits, so long as W MAX is a power-of-two value (e.g., 128).
  • W RES which ranges from +5 to -5 in the five-driver embodiment of Figures 3 and 7 , will be a power of 2 value in all cases except for +/-3 or +/-5, which may be rounded to a power of 2 number.
  • +/-3 W RES values are alternately rounded to +/-2 and +/-4.
  • W RES values of +/-5 are rounded to +/-4.
  • Different rounding schemes may be used in alternative embodiments. For example, W RES values of +/-5 may be rounded by toggling between 8 and 4 (e.g., rounding to 8 once for every three roundings to 4).
  • FIG 8 illustrates a residue-based power scaling circuit 290 that outputs scaled, updated tap weights in accordance with the approximation set forth in expression (8).
  • the power scaling circuit 290 includes a bank of exclusive-NOR gates 291 0 -291 4 that multiply the signs of the existing tap weights ( W N ) stored in registers 302 0 -302 4 with the signs of the update values 260 (i.e., UD(0)-UD(4)).
  • a summation circuit 293 receives the outputs of the exclusive-NOR gates 291 and generates a sum that corresponds to the residual power (W RES ).
  • the summation circuit treats each logic '1' input as a +1 value and each logic '0' value as a -1 value, thereby generating a residual power value 294 that indicates the aggregate change in tap weights.
  • the residual power value 294 is a sign-magnitude value having a sign component 310 (i.e., sign bit) that indicates whether the aggregate change in tap weights is positive or negative, and a magnitude component 297 that represents the absolute value of the aggregate change in tap weights.
  • the magnitude component 297 of the residual power value 294 is input to a shift control circuit 295 that, in turn, generates a shift value 298 (S#), which corresponds to the number of bits by which an updated tap weight is to be right shifted to carry out a multiplication by
  • the maximum power is assumed to be 128 so that, as shown in logic table 296, the shift control circuit 295 generates a shift value 298 of eight when the residual power value 294 is zero; a shift value of seven when the residual power value is one; a shift value of six when the residual power is two; alternating shift values of five and six when the residual power value is three; and a shift value of five when the residual power value is greater than three.
  • the update values 260 and existing tap weights in registers 302 are also supplied to respective scaling circuits 301 0 -301 4 along with the shift value 298, and the sign component 310 of the residual power value 294.
  • the update value, UD(4), and tap weight W N (4) are input to an increment/decrement circuit 303 which generates an updated tap weight value 304 having an incremented magnitude if the tap weight and update have the same sign (i.e., both positive or both negative) and a decremented magnitude if the tap weight and update have different signs.
  • the updated tap weight value 304 includes a sign component 312 which is supplied to the first input of an exclusive-OR gate 307, and a magnitude component 306 which is supplied to a shifting circuit 305 (e.g., a barrel shifter).
  • the second input of the exclusive-OR gate 307 is coupled to receive the sign component 310 of the residual power value 294 so that the exclusive-OR gate outputs a logic '1' select signal 314 to the select input of multiplexer 315 if the sign of the updated tap weight value and the sign component of the residual power are different, and a logic '0' select signal 314 if the sign components of the updated tap weight value and residual power are the same.
  • the complete updated tap weight value 304 (i.e., sign and magnitude) is provided to difference circuit 309 and summing circuit 311.
  • the shifting circuit 305 right shifts the magnitude component 306 of the updated tap weight 304 according to the shift value 298 to effectuate a multiply by W RES /W MAX (or an approximation of W RES /W MAX ) and outputs the resulting product to the summing circuit 311 and difference circuit 309.
  • the summing circuit 311 adds the product generated by the shifting circuit 305 to the updated tap weight value 304 and, the difference circuit 309 subtracts the product generated by the shifting circuit 305 from the updated tap weight 304 to generate scaled-up and scaled-down updated tap weight values, respectively, which are provided, in turn, to first and second input ports of the multiplexer 315.
  • the scaled-down updated tap weight value generated by the difference circuit 309 is selected by multiplexer 315 to be output as the updated tap weight 308 4 (i.e., ultimately to become updated tap weight W N+1 (4)).
  • the scaled-up updated tap weight value generated by the summing circuit 311 is selected by multiplexer 315 to be output as the updated tap weight 308 4 .
  • a positive tap weight value is scaled down and a negative tap weight value is scaled up (i.e., made less negative) to reduce the power applied within the corresponding output driver.
  • a negative tap weight value is scaled down (i.e., made more negative) and a positive tap weight value is scaled up to increase the power applied within the corresponding output driver.
  • each of updated tap weights W N+1 (0)-W N+1 (4) is generated within a respective one of scaling circuits 301 0 -301 4 by adjusting the prior tap weight (W N ), multiplying the adjusted tap weight by the W RES /W MAX approximation to generate a fractional component (i.e., the output of shifting circuit 305), then subtracting the fractional component from the updated tap weight (note that an addition occurs when a negative W RES is subtracted from the updated tap weight). That is, W N+1 is assigned the value: ( W N + Update N ) - [ ( W N + Update N ) * W RES /W MAX ], the Taylor-series approximation set forth above in expression (8).
  • the updated tap weights 308 0 -308 4 are stored within the registers 302 0 -302 4 in response to assertion of the update-weight signal 282 (UW).
  • the update-weight signal 282 is used to initiate operation of a finite state machine (or other logic circuit) which controls and times the increment, shift and subtract operations within the scaling circuits 301 and other logic circuits within the power scaling logic 290, culminating in storage of the updated tap weights 308 in registers 302.
  • the updated tap weight values 308 become the existing tap weight values 226 that are supplied to the exclusive-NOR gates 291 and scaling circuits 301 to generate the next set of updated tap weights 308.
  • the exclusive NOR gates 291 0 -201 4 may each be replaced by a logic circuit that additionally receives an indication of whether the corresponding tap weight register 302 contains a zero-valued tap weight, the logic circuit outputting a power-change indicator to the summation circuit 293 in accordance with the following table ('X' indicating a "don't care" state): Table 0 Zero Indicator Update Tap Weight Sign Power Change Zero-valued tap weight: 1 Increment: 1 Positive tap weight: 1 Increase tap power: 1 Non-zero tap weight: 0 Decrement: 0 Negative tap weight: 0 Decrease tap power: 0 0 0 0 1 0 0 1 0 0 1 0 0 0 1 1 1 1 X X 1
  • circuitry to detect and store rounding error values e.g., rounding errors produced by the shift
  • the multiplier bank used to calculate the residual power value 294 may be replaced by a circuit that sums the magnitudes of the tap weights stored in registers 302 and subtracts the W MAX value from the resulting sum, the residual power being a positive value if the sum of magnitudes is greater than W MAX and a negative value if the sum of magnitudes is less than W MAX .
  • Figure 9 illustrates an alternative embodiment of a power scaling logic circuit 320 referred to herein as a power bounding embodiment.
  • tap weight updates 260 are applied to adjust the pre-emphasis tap weights first (i.e., the tap weights applied to the pre- and post-tap drivers), then the magnitudes of the adjusted pre-emphasis tap weights and the primary driver tap weight are summed to generate an aggregate magnitude.
  • the magnitude of the primary driver tap weight i.e., the primary tap weight
  • the primary tap weight is then decreased or increased if the aggregate magnitude exceeds the power constraint or falls below a predetermined lower bound, respectively. By this operation the total power applied to the transmit circuit output drivers is maintained between an upper and lower bound.
  • the power scaling logic 320 includes a set of tap weight counters 325 0 -325 4 , state counter 324, operand multiplexer 327, accumulator 329, and primary update logic 341.
  • Tap weight updates 260 for the pre- and post-tap driver tap weights are supplied to the power scaling logic 320 along with negative versions of upper and lower power bound values, 323 and 321, respectively, and the update-weight signal 282.
  • the update-weight signal 282 is asserted to enable the tap weight counters for the pre- and post-tap weights (i.e., 325 0 and 325 2 -325 4 ) to be incremented or decremented according to the state of the corresponding update signal 260.
  • Assertion of the update weight signal also triggers the state counter 324 to roll over from a final state count of seven, to an initial state count of zero and enables the state counter 324 to auto increment from zero to seven.
  • the state count 326 is supplied to the operand multiplexer 327 so that, as the state count 326 progresses from zero to six, the operand multiplexer 327 outputs, in turn, the magnitudes of the updated tap weights stored in counters 325 0 , 325 2 , 325 3 and 325 4 , and the negative lower and upper power bounds, 321 and 323, to the accumulator 329.
  • the accumulator 329 includes a temporary register 333 (TREG), summing circuit 335 and multiplexer 331.
  • the multiplexer 331 has a control input coupled to receive the state count 326 and three input ports coupled respectively to the outputs of the operand multiplexer 327, summing circuit 335 and temporary register 333.
  • the multiplexer 331 When the state count 326 is zero, the multiplexer 331 outputs the operand 330 selected by the operand multiplexer 327 (i.e., the magnitude of updated pre-tap weight,
  • the summing circuit 335 has first and second inputs coupled respectively to the outputs of the operand multiplexer 327 and the temporary register 333.
  • the temporary register 333 is coupled to receive the output of the multiplexer 331 and is re-loaded in response to each transition of the state count 326.
  • is applied to the input of the temporary register 333.
  • the temporary register 333 is loaded with the magnitude of the pre-tap weight, and the magnitude of the primary tap weight,
  • (i.e., the tap weight magnitude selected by the operand multiplexer 327 in response to state count 2).
  • a sum of the tap weight magnitudes is accumulated in the temporary register 333, culminating in storage of the sum of the magnitudes of all the tap weights (i.e.,
  • the sum of magnitudes of all the tap weights represents the power in the updated tap weights, prior to updating the primary tap weight and is referred to herein as a proposed power value.
  • the multiplexer 331 selects the output of the temporary register to be re-loaded into the temporary register, effectively placing the temporary register 333 in a hold state to maintain the proposed power value therein.
  • the temporary register 333 is not re-loaded after the count value reaches 5, thereby maintaining the proposed power value in the temporary register 333.
  • the operand multiplexer 327 outputs the negative lower power bound 321 to the accumulator 329 which, by operation of summing circuit 335, subtracts the lower power bound value 321 from the proposed power value.
  • LBC lower-bound comparison result
  • the primary update logic includes a storage element 343 (e.g., a D flip-flop as shown in Figure 9 , a latch or other storage circuit), exclusive-NOR gate 345 and logic AND gate 347.
  • a storage element 343 e.g., a D flip-flop as shown in Figure 9 , a latch or other storage circuit
  • exclusive-NOR gate 345 e.g., a D flip-flop as shown in Figure 9 , a latch or other storage circuit
  • logic AND gate 347 e.g., a D flip-flop as shown in Figure 9 , a latch or other storage circuit
  • the lower-bound comparison result 338 is stored in the storage element 343 and is output therefrom as a stored lower bound compare result 338 until the next five-to-six state count transition.
  • the operand multiplexer 327 selects the negative upper power bound value 323 to be summed with the proposed power value in summing circuit 335, effectively subtracting the upper power bound value 323 from the proposed power value.
  • UBC upper-bound comparison result 336
  • the upper-bound compare result 336 and stored lower-bound compare result 338 are supplied to respective inputs of the exclusive-NOR gate 345 to generate a primary tap weight update signal 346 in accordance with Table 1.
  • the AND gate 347 receives the primary tap weight update signal 346 at a first input and an indication that the state count has reached seven at a second input. By this arrangement, as the state count transitions from six to seven, the AND gate 347 asserts an update enable signal 348 if the lower- and upper-bound compare results have the same state (i.e., either both '1's or both '0's).
  • the update enable signal 348 is supplied to a count enable input (i.e., strobe input) of the primary tap weight counter 325 1 , and the upper-bound compare result 336 is supplied to an up/down input of the counter 325 1 . Consequently, if the update enable signal is asserted, the primary tap weight is incremented in response to a logic '1' upper-bound compare result 336 (i.e., indicating that both UBC and LBC are high and therefore that the proposed power is below the lower bound) and decremented in response to a logic '0' upper-bound compare result 336 (i.e., indicating that both UBC and LBC are low and therefore that the proposed power is above or equal to the upper power bound 323).
  • a count enable input i.e., strobe input
  • the upper power bound value 323 input to the power scaling logic 320 may be one greater than the actual upper power bound so that the upper-bound compare result 336, when low, indicates that the proposed power is above the upper power bound value 323 and, when high, indicates that the proposed power is below or equal to the upper bound power bound value 323.
  • the proposed power may, in some instances, be greater than the upper power bound or less than the lower power bound by more than one (e.g., if the power in the initial tap weights matches the upper power bound and the magnitude of more than one tap weight is increased). In one embodiment, this circumstance is tolerated, as iterative adjustment of the primary tap weight will ultimately bring the applied power within the power constraint. In an alternative embodiment, the primary tap weight may be adjusted in each tap weight update cycle according to difference between the proposed power and upper power bound (or lower bound), thereby ensuring that the power constraint will be met in each update.
  • the complete set of updated tap weights may be provided to the transmit circuit, for example, via the back channel 225 shown in Figure 3 .
  • the power scaling logic 320 may be implemented in the transmit-side IC device, with the update values (or error sign values and data sign values) being provided via the back channel 225 or other signaling path.
  • FIG 10 illustrates an embodiment of a differential sampler 360 that may be used to implement the data sampler 211 and adaptive sampler 213 of Figure 3 .
  • the sampler 360 includes a preamplifier stage 361 and sampling stage 385.
  • the preamplifier stage 361 includes a pair of differential amplifiers 362 and 363 each biased by a respective pair of current DACs (IDACs) 380/382 and 384/386, and each having first and second output nodes 378 and 379 coupled to a supply voltage via a respective resistive element, R.
  • the resistive elements may be implemented, for example, using diode-configured transistors, biased transistors, resistors, or any other active or passive circuitry for establishing a resistance.
  • Transistors 365 and 364 within differential amplifier 362 have widths W1 and W2, respectively, with W1 being greater than W2.
  • Transistors 368 and 367 within differential amplifier 363 also have respective widths W1 and W2.
  • a differential input signal composed of signal component signals x' and /x' is provided to each of the differential amplifiers 362, 363 with x' being provided to gate terminals of transistors 364 and 368 and /x' being provided to gate terminals of transistors 365 and 367.
  • transistors 364 and 368 will collectively sink more current than transistors 365 and 367, thereby causing the voltage on output node 378 to be pulled down (i.e., via the resistive element, R, coupled to the output node 378) more than the voltage on output node 379.
  • the voltages on the preamplifier output nodes 378 and 379 are substantially equal when input signals x' and /x' are at the common mode potential (i.e., as when x' and /x' cross one another in transition).
  • the effective threshold of the preamplifier stage 361, and therefore the sampler 360 as a whole occurs at the common mode of x' and /x'.
  • the desired threshold occurs at the common mode of the incoming data signals (i.e., the "zero" threshold). Accordingly, in a sampler dedicated to binary data sampling, the current DACs 382 and 386 may be omitted or replaced with fixed-bias, or self-biased current sources.
  • the sampling stage 385 includes a differential amplifier 397 formed by transistors 398 and 399, a sense amplifier 387 formed by back-to-back coupled inverters 388 and 389, and a storage circuit 396 formed by a set-reset flip-flop.
  • the differential amplifier 397 includes control inputs coupled to the output nodes 378 and 379, respectively, of the ⁇ preamplifier stage 361, and output nodes 391 and 393 coupled to source terminals of the inverters 388 and 389, respectively..
  • a biasing transistor 390 switchably controlled by the sampling clock signal 210 (or other sample control signal), is coupled between the differential amplifier 397 and a ground reference (or other low voltage reference).
  • the sampling clock signal 210 is additionally coupled to control inputs of positively-doped MOS (PMOS) transistors 394 and 395 which are coupled between a supply voltage (e.g., V DD ) and output nodes of the inverters 388 and 389.
  • PMOS positively-doped MOS
  • transistor 390 is switched off, and transistors 394 and 435 are switched on to pre-charge the output nodes of the inverters 388 and 389 to the supply voltage.
  • the output nodes of the inverters 388 and 389 are coupled to active-low set and reset inputs, respectively, of the storage circuit 396, so that the content of the storage circuit 396 is maintained through the low half-cycle of the sampling clock signal 210.
  • biasing transistor 390 When the sampling clock signal 210 goes high, biasing transistor 390 is switched on and draws current through the two transistors 399 and 398 of the differential amplifier 397 in proportion to the voltages developed on the output nodes 378 and 379 of the preamplifier stage 361. Thus, if the voltage developed on node 379 is higher than the voltage on node 378, the current drawn by biasing transistor 390 will flow primarily through transistor 398. Conversely, if the voltage developed on node 378 is higher than the voltage on 379, the current drawn by biasing transistor 390 will flow primarily through transistor 398.
  • Transistors 394 and 395 are switched off in response to the high-going sampling clock signal 210 so that the pre-charged outputs of the inverters 388 and 389 are discharged by currents flowing through transistors 398 and 399.
  • the incoming differential signal (x') exceeds the common mode voltage, (i.e., (x' + /x') ⁇ 2), by more than the target data level threshold (i.e., the incoming differential signal exceeds the target threshold level, DLEV)
  • the current drawn by biasing transistor 390 will flow primarily through transistor 398.
  • the output node of inverter 389 will be discharged more rapidly than the output node of inverter 388, driving the output of inverter 389 low and driving the output of inverter 388 high (i.e., the PMOS transistor within inverter 388 is switched on and the NMOS transistor within inverter 388 is switched off).
  • the low output of inverter 389 is applied to the active-low set input of the storage circuit 396, causing the storage circuit 396 to store a logic '1' sampled data value.
  • biasing transistor 390 By contrast, if the incoming signal level does not exceed the target data level threshold, the current drawn by biasing transistor 390 will flow primarily through transistor 399, thereby driving inverter 388 low (and driving inverter 389 high) to store a logic '0' sampled data value within storage circuit 396.
  • the effective threshold of the sampler 360 will not occur at the common mode if the preamplifier stage or sampler stage exhibit systematic DC offsets.
  • the non-common-mode threshold may be detected in an offset calibration operation by the repeated positive or negative sign of the sampled data, and the C OFST value may be incremented or decremented (and /C OFST correspondingly decremented or incremented) as discussed above to bias the sampler to a calibrated state.
  • Figure 11 illustrates an embodiment of a current DAC 381 that may be used to implement the current DACs 380, 382, 384 and/or 386 within the sampler 360 of Figure 10 , and/or the current DAC 237 within the output driver of Figure 5 .
  • the current DAC 381 includes control transistors 407 0 -407 N-1 and biasing transistors 409 0 -409 N-1 .
  • Each of the control transistors 407 0 -407 N-1 is coupled in series (e.g., source to drain) with a corresponding one of the biasing transistors 409 0 -409 N-1 to form a transistor pair that is coupled between a reference voltage (ground in this example) and an output node 408 (i.e., the node to be connected to the source terminals of the transistors which form the differential amplifier 362 of Figure 10 ).
  • Gate terminals of the control transistors 407 0 -407 N-1 are coupled to receive respective component signals, C[0] - C[N-1], of a multi-bit control value, such as a data level threshold, DC offset setting, tap weight, or other control value.
  • Each of the control transistors 407 0 -407 N-1 has a binary weighted gain such that a current of I REF x2 i (where i represents the i th transistor in the positions 0, 1, 2, ..., N-1) flows through control transistor 407 i when the corresponding control signal component is high.
  • I REF flows through control transistor 407 0
  • I REF x2 flows through transistor 407 1
  • I REF x4 flows through control transistor 407 2
  • control transistor 407 N-1 which conducts I REF x2 N-1 .
  • control transistors 407 0 -407 N-1 are designated x1, x2..., x2 N-1 transistors, respectively.
  • the control value C[N-1:0] may be set to any of 2 N values to select bias currents that range from 0 to I REF x2 N-1 in increments of I REF .
  • the biasing transistors 409 0 -409 N-1 have gate terminals coupled to receive a bias voltage, V BIAS , that is adjusted as necessary (e.g., by a biasing circuit) to establish or maintain a desired I REF .
  • the relative gains (i.e., transconductance values) of the various transistors used to implement the current DAC 381 are established by adjusting the width-length ratio (i.e., W/L) of individual control transistors 407 and/or biasing transistors 409.
  • W/L width-length ratio
  • the width-length ratio of the x2 control transistor 407 1 is twice the width-length ratio of the x1 control transistor 407 0
  • the width-length ratio of the x4 control transistor 407 2 is twice the width-length ratio of the x2 control transistor 407 1
  • the biasing transistors 409 may have similar gain ratios relative to one another (e.g., x1, x2, x4, x2 N-1 as shown in Figure 11 ).
  • each of the control transistors 407 has an equal gain to each of the other control transistors 407 such that the current drawn by the current DAC 381 is proportional to the number of logic '1' bits in the control value, C[N-1:0].
  • FIG 12 illustrates an alternative embodiment of a sampler 420 that may be used to implement the data sampler 211 and adaptive sampler 213 of Figure 3 .
  • the sampler 420 includes a sampling stage 422 and an offset control circuit 410.
  • the sampling stage 422 is implemented in generally the same manner as the sampling stage 385 of Figure 10 (and includes differential amplifier 397, sense amplifier 387, biasing transistor 390, and storage circuit 396), except that the input signal lines carrying x' and /x' are coupled directly to the control terminals of transistors 398 and 399, respectively.
  • the offset control circuit 410 includes a differential amplifier 418 having output nodes coupled to nodes 391 and 393 of the sampling stage 422.
  • Control terminals of transistors 417 and 419 of the differential amplifier 418 are biased by respective voltage DACs 425 and 427.
  • Voltage DAC 427 includes current DACs 415 and 416 coupled to a resistive pull-up element 423 and controlled by control values C DLEV and C OFST , respectively.
  • Voltage DAC 425 similarly includes current DACs 413 and 414 coupled to a resistive pull-up element 421 and controlled by complement control values /C DLEV and /C OFST .
  • the current through node 391 of the sampling stage 422 is a sum of the currents drawn by transistor 399 of the sampling stage 422 and transistor 419 of the offset control circuit 410.
  • a logic '1' is stored within storage circuit 396 and, conversely, when the current through node 393 exceeds the current through node 391, a logic '0' is stored within storage circuit 396.
  • the effective threshold of the differential amplifier is increased such that x' must be higher than /x' by an amount necessary to overcome the additional current drawn by transistor 419 of the offset control circuit 410.
  • the effective threshold of the sampling circuit 420 may be set to the target data level threshold. That is, a logic '1' is output as the sampled data value if the difference between x' and /x' exceeds the target data level threshold, and a logic '0' is output otherwise.
  • the non-common-mode threshold may be detected in an offset calibration operation by the repeated positive or negative sign of the sampled data, and the C OFST value may be incremented or decremented (and /C OFST correspondingly decremented or incremented, respectively) to bias the sampler 420 to a calibrated state.
  • the desired threshold occurs at the common mode of the incoming data signals (i.e., the "zero" threshold). Accordingly, in a sampler dedicated to binary data sampling, the current DACs 414 and 416 may be omitted or replaced with fixed-bias, or self-biased current sources.
  • Figure 13 is a canonical diagram of a channel 431 and receive-side equalizer 433 that may be used to adaptively determine a set of equalizer tap weights.
  • An input signal, x(n) is transformed as it propagates through the channel, yielding a channel response, u(n) which, in turn, is operated upon by the receive-side equalizer 433 to produce a system response, x'(n).
  • the system response is input to a sampler 435 (or comparator) which subtracts a delayed version of the originally transmitted signal (-x(n-dly)) from the system response to produce a negative error signal, -e(n).
  • the error signal e(n) represents the difference between the originally transmitted signal, x(n) and system response x'(n) and is negative when system response exceeds the originally transmitted signal and positive when the originally transmitted signal exceeds the system response.
  • the channel response and the error signal may be used to update the equalizer tap weights, for example, through application in a least mean square error determination.
  • the linear filtering effect of the equalizer is commutative and therefore may be applied to the input signal, x(n), before the signal is transmitted on the channel 431. That is, instead of receive-side equalization, transmit-side pre-emphasis may be used to establish a pre-emphasized input signal, y(n) which, after propagating through the channel 431, yields a system response x'(n) that corresponds to the system response x'(n) realized in the receive-side equalization system of Figure 13 . Unlike the receive-side equalizing system of Figure 13 , however, the channel response is generally unavailable to the transmit side of the signaling system, complicating tap weight update operations.
  • a two phase approach is used to update the tap weights.
  • transmit pre-emphasis taps within a transmit circuit i.e., post-taps and pre-taps
  • the input signal, x(n) is unmodified by the transmit pre-emphasis circuitry 441, and propagates through the channel 431 to produce a channel response u(n).
  • the channel response, u(n) is effectively pre-computed by the channel 431 itself.
  • the channel response, u(n) is returned to the transmit-side device (e.g., through a back channel or other communication path), where it is stored for later application in a tap weight update operation.
  • the channel response, u(n) is stored by the receive-side device.
  • the second phase of the tap weight operation is begun by enabling the pre-emphasis circuitry 441, and then re-transmitting the initial signal, x(n).
  • the pre-emphasis circuitry 441 modifies the initial signal, x(n), to generate a pre-emphasized signal, y(n), which, in turn, propagates through the channel 431 to generate the system response, x'(n).
  • the system response, x'(n) is compared with the delayed version of the initial signal (the delay corresponding, for example, to channel propagation time) to generate an error signal, -e(n).
  • the error signal is provided to the transmit-side device where it is applied, along with the previously stored channel response, u(n), in a tap weight update operation.
  • the error signal and channel response may be applied by the receive side device to generate a set of tap weight update values, or a set of updated tap weights.
  • the update values are then returned to the transmit side device and used to update the existing tap weights applied within the pre-emphasis circuitry 441 (or, in the case of updated tap weights, substituted for the existing tap weights).
  • W _ N + 1 W _ N + stepsize * sign e n * sign u _ n
  • two-phase tap weight update operations are repeated as necessary for the pre-emphasis tap weights to converge to a setting that corresponds to a minimum (or near-minimum) mean square error, and thereafter to compensate for system drift (e.g., due to changes in voltage and temperature). Note that by updating the tap weights in this way, the receiver response is included in the channel response.
  • Figure 15 is a flow diagram of the two-phase tap weight update operation described in reference to Figures 14A and 14B .
  • an index, n that indicates the number of completed tap weight updates is initialized to zero.
  • the transmit pre-emphasis circuitry is disabled.
  • a first sequence of data values, referred to herein as training sequence(n) is transmitted over the channel (e.g., a differential or single-ended signal path) to generate the channel response u(n).
  • the transmit pre-emphasis circuitry is enabled so that an initial setting of tap weights (i.e., in the first iteration) are applied to generate the pre-emphasized signal y(n) illustrated in Figure 14B .
  • the initial setting of tap weights includes zero-valued pre- and post-tap weights, and a maximum-valued primary tap weight. In alternative embodiments, the initial setting of tap weights may be determined according to system characteristics or empirical determination of a desired tap weight setting.
  • training sequence(n) is retransmitted to generate a system response, x'(n) and corresponding error signal, e(n).
  • tap weight updates i.e., stepsize ⁇ sign(un) ⁇ sign(e n )
  • updated tap weights themselves i.e., W n+1
  • the tap weight updates generated in block 459 are applied to update the existing tap weights (or the updated tap weights generated in block 459 are substituted for the existing tap weights), and, at block 463, the index variable, n, is incremented to indicate that a first tap weight update has been completed.
  • an overall tap weight adaptation operation involves iteratively performing the operations of blocks 451-463 a predetermined number of times.
  • the index variable, n is evaluated at decision block 465 to determine if n has been incremented past a predetermined value. If so, the tap weight update operation is deemed to be complete.
  • the operations of blocks 451-463 are repeated until tap weight updates result in negligible reduction in the error signal.
  • the operations of blocks 451-463 are repeated until all or a subset of the tap weights are determined to be dithering by one or more steps.
  • Figure 16 illustrates a single-phase tap weight adaptation approach that neglects the effects of noise, and therefore constitutes a zero-forcing solution.
  • the pre-emphasis circuitry 441 is left enabled to generate a pre-emphasized input signal, y(n) which, after propagating through the channel 431, yields a system response x'(n) that corresponds to the system response realized in the receive-side equalization system of Figure 13 .
  • the system response is compared with a delayed version of the input signal (i.e., - x(n-dly)) to generate an error signal, -e(n).
  • the system response and error signal are then supplied to the transmit side device and applied in a tap weight update operation.
  • the tap weight update operation may alternatively be performed in the receive-side device and tap weight updates, or updated tap weights themselves communicated to the transmit-side device (e.g., via a back channel).
  • the signs of the system response and error signal are applied in the tap weight update operation in accordance with expression (3) above (i.e., a sign-sign LMS update operation). By this operation the pre-emphasis tap weights are iteratively adjusted to achieve a zero-forcing solution.
  • Figure 17 is a flow diagram of the single-phase, zero-forcing tap weight update operation described in reference to Figure 16 .
  • an index, n that indicates the number of completed tap weight updates is initialized to zero.
  • the transmit pre-emphasis circuitry 441 of Figure 16 is enabled, for example, by establishing an initial set of tap weights.
  • the initial setting of tap weights includes zero-valued pre- and post-tap weights, and a maximum-valued primary tap weight.
  • the initial setting of tap weights may be determined according to system characteristics or empirical determination of a desired tap weight setting.
  • a first training sequence(n) is input to the transmit pre-emphasis circuit at block 475 to establish a pre-emphasized input signal, y(n), which, after propagating through the channel, yields a system response x'(n) and, upon comparison of x'(n) with x(n-dly), an error signal e(n).
  • tap weight updates i.e., stepsize * sign(x' n ) * sign(e n )
  • updated tap weights themselves i.e., W n+1
  • the tap weight updates generated in block 477 are applied to update the existing tap weights (or the updated tap weights generated in block 477 are substituted for the existing tap weights), and, at block 481, the index variable, n, is incremented to indicate that a first tap weight update has been completed.
  • the operations of blocks 475-481 are repeated until, at decision block, 483, the index variable, n, is determined to have reached a final value. When the final value is reached, the tap weight adaptation operation is deemed completed. In an alternative embodiment, the operations of blocks 475-481 are repeated until tap weight updates result in negligible reduction in the error signal. In another alternative embodiment, the operations of blocks 475-481 are repeated until all or a subset of the tap weights are determined to be dithering by one or more steps.
  • live data rather than pre-selected training sequences, may be used to adapt the tap weights.
  • the adaptive sampler 213 of Figure 3 is used to generate the error signal used to update the tap weights, with the error signal being filtered according to whether the corresponding data sign value (i.e., sign of x' n ) indicates a system response having a state that should match the data level.
  • the error signal may be filtered according to desired partial-response data sequences (e.g., searching for bit sequences ⁇ 11', '00', '111', '000', or longer sequences depending on the number of ISI components in the partial response).
  • desired partial-response data sequences e.g., searching for bit sequences ⁇ 11', '00', '111', '000', or longer sequences depending on the number of ISI components in the partial response.
  • FIG 18 illustrates a multi-sample receiver 500 according to an embodiment of the invention.
  • the receiver 500 includes a data sampler 501, adaptive sampler 503 and adaptive module 505 that are implemented in substantially the same manner as the samplers 211, 213 and adaptive module 215 of Figure 3 , except that the adaptive module 505 includes circuitry for generating offset cancellation values, OFST D and OFST A , for the data sampler 501 and adaptive sampler 503, respectively (e.g., as described in reference to Figure 7 ).
  • the receiver 500 additionally includes a pair of threshold multiplexers 507 and 509, and a pair of output path multiplexers 511 and 513.
  • the threshold multiplexers 507 and 509 enable the threshold values supplied to the data sampler and adaptive sampler to be swapped such that the data sampler receives the data level threshold, DLEV, generated by the adaptive module 505, and the adaptive sampler receives a zero threshold.
  • the output path multiplexers 511 and 513 enable the adaptive module inputs driven by the data sampler 501 and adaptive sampler 503 to be swapped such that the adaptive sampler 503 provides a sample value to the data sign input of the adaptive module (and therefore drives the receive data path), and the data sampler 501 provides a sample value to the error sign input of the adaptive module.
  • the functions of the adaptive sampler 503 and data sampler 501 may be swapped.
  • the adaptive sampler 503 may act as a proxy for the data sampler 501, enabling continued reception of data, while the data sampler 501 is taken out of service for testing, calibration or any other activity that would ordinarily interrupt data reception.
  • a mode select signal referred to herein as a proxy-enable signal 516 (PE) is used to select between normal and proxy modes of operation within the receiver 500 and is coupled to the control inputs (i.e., select inputs) of the threshold multiplexers 507 and 509, and the output path multiplexers 511 and 513.
  • PE proxy-enable signal 516
  • Each of the multiplexers 507, .509, 511 and 513 has first and second input ports (i.e., designated '0' and '1', respectively, in Figure 18 ), with the signal present at the first input port being selected and output from the multiplexer in response to a logic low proxy-enable signal 516 and the signal present at the second input port being selected and output from the multiplexer in response to a logic high proxy-enable signal 516.
  • a zero threshold is supplied to the first input port of threshold multiplexer 507 and to the second input port of threshold multiplexer 509, and the target data level threshold, DLEV, generated by the adaptive module 505 is supplied to the second input of threshold multiplexer 507 and to the first input port of threshold multiplexer 509.
  • the thresholds output from the threshold multiplexers 507 and 509 are summed with the offset cancellation values OFST A and OFST D in summing circuits 515 and 517, respectively (e.g., digitally summed, or current sum) to generate the thresholds supplied to the data and adaptive samplers 501 and 503.
  • the data sampler in the normal mode, the data sampler generates a data sign value 216, sgn(x' n ) that indicates whether the incoming signal, x' n , is greater or less than the zero threshold (e.g., offset-calibrated common mode), and the adaptive sampler 503 generates an error sign value 218, sgn(e n ), that indicates whether the incoming signal, x' n is greater or less than the target data level threshold, DLEV. That is, in the normal mode, the data and adaptive samplers 501 and 503 generate data sign and error sign values in the manner described in reference to Figure 3 .
  • the proxy mode the roles of the data and adaptive samplers 501 and 503 are reversed, with the adaptive sampler 503 operating as a proxy for the data sampler 501 to generate a data sign value and vice-versa.
  • the output path multiplexers 511 and 513 each have first and second input ports coupled to receive the outputs of the data sampler 501 and adaptive sampler 503. More specifically, the first input port of output path multiplexer 511 and the second input port of output path multiplexer 513 are coupled to the output of the data sampler 501, and the second input port of output path multiplexer 511 and the first input port of output multiplexer 513 are coupled to the output of the adaptive sampler 503.
  • the receiver 500 when the receiver 500 is in the normal mode, the data sign values 216 generated by the data sampler 501 are provided to the data sign input of the adaptive module 505, and the error sign values 218 generated by the adaptive sampler 503 are provided to the error sign input of the adaptive module 505.
  • the proxy mode the data sign values generated by the adaptive sampler 503 are provided to the data sign input of the adaptive module 505 and the error sign values generated by the data sampler 501 are provided to the error sign input of the adaptive module 505.
  • the data level threshold changes relatively slowly, for example, in response to voltage and temperature drift. Consequently, the stream of error sign values delivered to the adaptive module 505 may be temporarily interrupted without significant adverse impact on the receiver 500 or the signaling system as a whole. By contrast, if the stream of data sign values is interrupted, the communication link (e.g., over signaling path 202) is lost for the duration of the interruption.
  • the receiver 500 in proxy mode, and thereby swapping the roles of the data and adaptive samplers 501 and 503, the data sampler 501 may be temporarily removed from service without interrupting data reception.
  • an offset calibration operation is performed by switching the receiver 500 to proxy mode (i.e., asserting the proxy enable signal 516); temporarily zeroing the data level threshold, DLEV; switchably coupling the differential inputs of the data sampler 501 to one another (and switchably isolating the inputs from the signal path 202 so as not to short the component signal lines of the signal path to one another); then adjusting the OFST D value until the sample value generated by the data sampler 501 begins to dither between '1' and '0' states.
  • the dithering sample value indicates that the null signal input to the data sampler 501 is being detected and therefore that the offset calibration is complete.
  • the control setting for the data level threshold is restored, and the proxy-enable signal 516 is lowered to re-establish the normal operating mode of the receiver 500.
  • the data sampler 501 has been removed from service for calibration purposes, then restored to service without interruption in data reception.
  • the proxy mode of the receiver 500 may also be used to more permanently swap the roles of the data and adaptive samplers 501 and 503, in effect establishing the adaptive sampler 503 as the full time data sampler, and the data sampler 501 as the full-time adaptive sampler. This may be desirable, for example, if it is determined that the adaptive sampler exhibits a lower bit error rate, less jittery output, lower DC offset, or other characteristic improvement relative to the data sampler 501.
  • the threshold multiplexer 507 may be omitted. Offset calibration is simplified in such an architecture, as the data level threshold is not supplied to the data sampler 501 in proxy mode and therefore need not be zeroed.
  • the threshold multiplexers 507 and 509 may be controlled by separate signals so that, if an offset calibration is to be performed in the data sampler 501, only the threshold input to the adaptive sampler 503 is switched (i.e., by selecting the zero threshold to be supplied to the adaptive sampler 503), so that the data sampler 501 continues to receive the zero threshold, obviating the temporary zeroing of the data level threshold.
  • Such an embodiment has the additional benefit of enabling both the data sampler 501 and the adaptive sampler 503 to generate sign data values simultaneously, for example, for confirmation of accurate data reception (a third sampler may be provided for voting purposes).
  • Separate control signals may also be provided to the output path multiplexers 511 and 513 so that the data and adaptive samplers 501 and 503 can be enabled to simultaneously generate data sign values for a given time period before switching the output path multiplexer 511 to select the adaptive sampler 503 to provide data sign values to the adaptive module 505. In this manner, a make-before-break operation is enabled within the receive circuit 500, instead of abruptly transitioning between the adaptive and data samplers 501 and 503 as the source of data sign values.
  • FIG 19 illustrates a multi-level signaling system 530 according to an embodiment of the invention.
  • the multi-level signaling system 530 includes a multi-level, multi-tap transmitter 531, and a multi-level, multi-sample receiver 539, coupled to one another via high-speed signaling path 532.
  • the signal path 532 may be a differential signaling path having a pair of component signal lines to conduct differential multi-level signals generated by the transmitter 531, or a single-ended signaling path for transmission of single-ended multi-level signals generated by the transmitter 531.
  • the signal path 532 may be formed in multiple segments disposed on different layers of a circuit board and/or multiple circuit boards (e.g., extending between backplane-mounted daughterboards, between motherboard and daughterboard, etc.).
  • the transmitter 531 and receiver 539 are implemented in respective integrated circuit (IC) devices that are mounted on a common circuit board or different circuit boards (e.g., as in the case of backplane-mounted daughterboards).
  • IC dice i.e., chips
  • the transmitter 531 and receiver 539 may be packaged within a single, multi-chip module with the chip-to-chip signaling path formed by bond wires or other signal conducting structures.
  • the transmitter 531 and receiver 539 may be formed on the same IC die (e.g., system on chip) and the signaling path 532 implemented by a metal layer or other conducting structure of the die.
  • the transmitter 531 includes a transmit shift register 533, output driver bank 534 and tap weight register 536, and generates output signals having one of four pulse amplitude modulation levels (i.e., 4-PAM) according to the state of a two-bit transmit data value (received, for example, by a two-line input designated "TX DATA").
  • the transmit shift register 533 is five elements deep and used to store a pre-tap data value D +1 , primary data value D 0 , and three post-tap data values D -1 , D -2 and D -3 , with each of the pre-tap, post-tap and primary-data values having two constituent bits.
  • the primary data value is the data value to be transmitted (i.e., communicated) to the receiver 539 during a given transmission interval
  • the pre- and post-tap data values are the next-to-be transmitted and previously transmitted data values, respectively (i.e., the subscript indicating the number of transmission intervals to transpire before the data value will be transmitted).
  • Each of the shift register storage elements is coupled to a respective one of multi-level output drivers 535 0 -535 4 within the output driver bank 534, with output driver 535 1 forming the primary driver, output driver 535 0 forming the pre-tap driver and output drivers 535 2 -535 4 forming the post-tap drivers.
  • Different numbers of pre- and post-tap drivers may be used in alternative embodiments.
  • the tap weight register 536 is used to store the tap weights W N (0)-W N (4) supplied to the output drivers 535 0 -535 4 , respectively, with updated tap weights W N+1 236 being supplied by the multi-level receiver 539, for example, via a back channel 225.
  • the signal path 532 is pulled up to a predetermined voltage level (e.g., at or near supply voltage) by single-ended or double-ended termination elements, and the output drivers 535 0 -535 4 generate multi-level signals (i.e., symbols) on the signal path 532 by drawing a pull-down current, I PD (i.e., discharge current), in accordance with the corresponding tap weight and data value.
  • I PD pull-down current
  • the pull-down current generated by the output driver corresponds to the most- and least-significant bits (MSB and LSB) of a two-bit data value, D 0 , as follows (I NOM being a nominal full-scale current): Table 2 D 0 [1] (MSB) D 0 [0] (LSB) I PD Normalized Signal Level 0 0 0 +1 0 1 I NOM /3 +1/3 1 1 2I NOM /3 -1/3 1 0 I NOM -1
  • the primary driver 535 1 is used to transmit, D 0 , the two-bit data value to be transmitted during a given symbol time, and the pre-tap and post-tap drivers are used to provide transmit pre-emphasis as necessary to reduce dispersion-type ISI and other low-latency distortion effects.
  • Figure 20 illustrates an embodiment of a multi-level output driver 570 that operates in accordance with Table 2, and which may be used to implement each of the multi-level output drivers 535 0 -535 4 of Figure 19 .
  • the output driver 570 includes a pair of logic gates 571 and 573 and three component drivers 575, 577 and 579, and receives the MSB and LSB of a two-bit data value, D[1:0], and tap weight, W N (i), as inputs.
  • the component driver input signals, A, B and C are input to the component drivers 575, 577 and 579,
  • each of the component output drivers 575, 577 and 579 is implemented by the circuit illustrated in Figure 5 (other output driver circuits may be used in alternative embodiments).
  • a single pair of resistive elements may be provided and shared between the component output drivers 575, 577 and 579 (i.e., instead of three sets of the resistive elements designated 'R' in Figure 5 ), or, as discussed in reference to Figure 5 , the resistive elements may be implemented by termination elements coupled to the component lines of the differential signaling path.
  • Each of the component output drivers 575, 577 and 579 may additionally be biased (e.g., by a biasing circuit not shown) to draw substantially the same current, I NOM /3, from the signaling path.
  • the currents drawn by the component output drivers 575, 577 and 579 are cumulative so that the four different current levels illustrated in table 2 are generated for the corresponding states of the MSB and LSB. That is, the four possible states of a two-bit transmit value are signaled on the signaling path by drawing I NOM /3 in none, one, two or three of the component drivers 575, 577 and 579, as illustrated in the following table: Table 4 MSB LSB A B C I PD 0 0 0 0 0 0 0 0 1 1 0 0 I NOM /3 1 1 1 1 0 2I NOM /3 1 0 1 1 1 I NOM
  • the multi-level, multi-sample receive circuit 539 includes a multi-level sampler 541, and an adaptive sampler 543.
  • the multi-level sampler 541 itself includes component samplers 561, 563 and 565, that operate in generally the same manner as the data and adaptive samplers described above (e.g., in reference to Figures 3 and 10-12 ) to output a sample value having a sign according to whether the input signal, x' n is greater or less than a threshold level.
  • Two of the component samplers 561 and 565 are used to resolve the LSB of the incoming 4-PAM signal, and have thresholds set at counterpart threshold levels, T+ and T-, above and below a zero threshold.
  • Component samplers 561 and 565 are referred to herein as the positive LSB sampler (L+) and negative LSB sampler (L-), respectively.
  • the remaining component sampler 563 referred to herein as the MSB sampler, receives (or is set to) the zero threshold and is used to resolve the MSB of the incoming 4-PAM signal.
  • the zero threshold is nominally set midway between the normalized +/-1 signal levels that correspond to data states '00' and '10', and midway between the corresponding +1/3 and -1/3 signal levels that correspond to data states '01' and '11'.
  • the MSB sampler if the output of the MSB sampler is high, the MSB of the recovered data value is high.
  • the threshold supplied to the positive LSB sampler 561 (i.e., T+) is set midway between the normalized +1 and +1/3 signal levels (i.e., at the normalized +2/3 level), and the threshold supplied to the negative LSB sampler 565 (i.e., T-) is set midway between the normalized -1 and -1/3 signal levels (i.e., at the normalized -2/3 level).
  • the LSB of a transmitted data value is a '0' (i.e., a '10' or a ⁇ 00' is transmitted)
  • the LSB of the recovered data value may be generated by exclusive-ORing the L+ and L- outputs.
  • exclusive-OR gate 567 is coupled to receive the outputs of the positive and negative LSB samplers 561 and 565 and generates the LSB sample for that incoming data signal.
  • the multi-level sampler 541 generates an MSB/LSB sample pair which is provided to the adaptive module 545.
  • the adaptive module 545 generates an error sign value 538 that indicates whether the incoming signal x' n exceeds a threshold value, TA.
  • the threshold value corresponds to the normalized +1/3 signal level, thereby enabling generation of a DAC control value which may be left shifted by one bit (i.e., multiplied by two) to generate the T+ threshold (i.e., +2/3), and then complemented to generated the T- threshold (i.e., -2/3).
  • the T+ threshold may be generated by determining and then averaging the normalized +1 and +1/3 signal levels.
  • the T+ threshold may be determined directly, by sampling the incoming signal at the midpoint of transitions between +1 and +1/3 levels.
  • the normalized received signal levels may be different than ⁇ 1/3 and ⁇ 1, such that the desired threshold levels (T+, T-) may be different than ⁇ 2/3 (e.g., being set at the midpoint between adjacent signal levels or at other points that improve signaling margins, bit error rate or other system performance metric).
  • the references to normalized signal levels herein are but examples. Other signal levels and threshold levels may be used.
  • the counterpart threshold, T- may be generated by complementing (or inverting) the T+ threshold.
  • the T- threshold may be independently generated by determining and left-shifting the -1/3 threshold, by determining and averaging the -1/3 and -1 thresholds, or by sampling the incoming signal at the midpoint of transitions between -1 and -1/3 levels.
  • the adaptive module 545 generates the thresholds, T+ and T-, provided to the multi-level sampler 541, the threshold, TA, provided to the adaptive sampler 543, and respective offset cancellation values, OFST A , OFST L+, OFST M and OFST L- , for the adaptive sampler 543 and each of the component samplers 561, 563 and 565 of the multi-level sampler 541.
  • all or a portion of the offset cancellation circuitry within the adaptive module 545 may be omitted so that offset cancellation values are not generated for the adaptive sampler 543 and/or component samplers 561, 563 and 565.
  • one or more of the offset cancellation values, OFST A , OFST L+ , OFST M and OFST L- may be shared between any two or more of the samplers 543, 561, 563 and 565.
  • FIG 22 illustrates an embodiment of an adaptive module 600 that may be used to implement the adaptive module 545 shown in Figure 19 .
  • the adaptive module 600 includes an MSB register 601, LSB register 605, error sign register 603, sign multiplier 609, finite state machine 607, power scaling logic 611, filter 625, threshold counter 613, threshold multiplier 617, threshold inverter 619, offset counter 615, offset registers 627, 629, 631 and 633, error signal multiplexer 621 and demultiplexer 623.
  • the adaptive module 600 operates similarly to the adaptive module 250 of Figure 7 , with data sign values, MSB n , and error sign values, sgn(e n ), being loaded into the MSB register 601 and error sign register 603, respectively, in response to a sampling clock signal or other control signal.
  • LSB values, LSB n are similarly loaded into the LSB register 605.
  • the MSB register 601 is a five-deep shift register to store the most recently generated data sign values, MSB n-1 -MSB n-5 , (other depths may be used in alternative embodiments) and outputs the data sign values to the sign multiplier 609.
  • the sign multiplier 609 receives the data sign values from the MSB register 601 and the error sign value from the error sign register 603 and generates a set of update values, UD(0)-UD(4) that indicate the sign of the product of the error sign value and the data sign value.
  • the update values are provided to the power scaling logic 611 which operates similarly to the embodiments described above in reference to Figures 7-9 to generate an updated, power-scaled set of pre-emphasis tap weights 226.
  • the threshold values for the positive and negative LSB samplers may be set to normalized +/-2/3 signal levels, respectively, which are binary multiples of the normalized +1/3 threshold level.
  • the update threshold signal 612 is provided to a count enable input (i.e., strobe input) of the threshold counter 613, and the error sign value stored in register 603 is coupled to the up/down input of the threshold counter 613.
  • the threshold control value, C TA maintained within threshold counter 613 is incremented in response to a positive error sign value (i.e., the positive error sign value indicating that the input signal that yielded the n-1. sample value is above the +1/3 level) and decremented in response to a negative error sign value.
  • the threshold control value, C TA is supplied to a current DAC within an adaptive sampler as described above in reference to Figures 10-12 .
  • a DAC may be provided within the adaptive module 600 to generate an analog threshold, TA.
  • the multiplier circuit 617 multiplies C TA by 2 (e.g., by actively or passively shifting the C TA value left by one bit) to generate a control value for the T+ threshold, C T+ .
  • the threshold inverter 619 is provided to flip the sign of C T+ to generate C T- , the control value for the T-threshold.
  • the adaptive module 600 may be used to adaptively generate the control values applied to establish sampling thresholds within the positive and negative LSB samplers and the adaptive sampler of a multi-level, multi-sample receiver.
  • the finite state machine 607 asserts an update weight signal 610 (UW) to prompt the power scaling logic 611 to generate an updated set of tap weights 226.
  • the finite state machine 607 asserts the update weight signal after the MSB register 601 has been fully loaded (or re-loaded) and the most recently stored sample value (MSB n-1 /LSB n-1 ) is a logic '11'.
  • one or more bits of a multi-bit control signal 608 are asserted to initiate an offset calibration operation within the adaptive module 600, with the bit (or combination of bits) indicating the sampler to be calibrated (e.g., positive or negative LSB samplers, MSB sampler or adaptive sampler).
  • the error signal multiplexer 621 has a control port coupled to receive a select signal (SEL) from the finite state machine 607, and four input ports coupled to receive MSB n-1 , /LSB n-1 (the complement LSB value generated by inverter 622), LSB n-1 and error sign value, sgn(e n-1 ), respectively.
  • SEL select signal
  • a null signal is generated at the MSB sampler input (e.g., by configuring the transmit circuit to transmit a null differential signal, or by switchably coupling the inputs of the MSB sampler to one another) and the most recently stored MSB is selected by the error signal multiplexer (i.e., in response to the select signal, SEL, from the finite state machine 607) as the offset error signal 624 supplied to the up/down input of the offset counter 615.
  • the error signal multiplexer i.e., in response to the select signal, SEL, from the finite state machine 607
  • a filter 625 may optionally be provided to filter transient states in the offset error signal 624).
  • the finite state machine 607 asserts an update offset signal 614 after a predetermined number of samples have been received (e.g., enough samples to establish a stable, filtered signal at the up/down input of the offset counter 615), thereby incrementing the offset count within the offset counter 615 if the filtered MSB (i.e., output of filter 625) is positive, and decrementing the offset count if the filtered MSB is negative.
  • the output of the offset counter 615 may be provided to the finite state machine 607, as described in reference to Figure 7 , to enable detection of a dithering condition within the offset counter 615 (i.e., indicating convergence to the desired MSB offset count).
  • the update offset signal 614 is supplied to the input of the demultiplexer 623 which, in turn, passes the update offset signal 614 to the load-enable input of a selected one of offset registers 627, 629, 631 and 633 according to the state of the select signal, SEL, generated by the finite state machine 607.
  • Parallel load ports of the offset registers 627, 629, 631 and 633 are coupled to receive the offset count 628 output from the offset counter 615.
  • the update offset signal 614 may be asserted a final time to load the desired MSB offset count into the MSB offset register 627.
  • the MSB offset register is coupled to provide the MSB offset value to a current DAC within the MSB sampler (e.g., as shown in Figures 10 and 12 .
  • the MSB offset value may be converted to an analog signal that is provided to the MSB sampler.
  • offset cancellation operations are performed for the remaining samplers (i.e., the positive and negative LSB samplers and the adaptive sampler) in generally the same manner as the MSB sampler, except that the threshold control values provided to the sampler being calibrated are temporarily zeroed to enable detection of the DC offset, if any, then restored when the offset calibration operation is complete.
  • a logic '1' LSB indicates a negative L+ sample
  • a logic '0' LSB indicates a positive L+ sample
  • Inverter 622 is provided to account for this complement condition, causing the offset counter 615 to be incremented in response to a logic '0' L+ sample during calibration of the positive LSB sampler.
  • FIG 23 illustrates an embodiment of a multi-sample, 4-PAM receiver 640 that recovers both data and clocking infonnation from the incoming multi-level signal, x' n .
  • the receiver 640 includes a multi-level sampler 541 (a 4-PAM sampler in this example), adaptive sampler 543, edge sampler 641, adaptive module 643 and clock recovery circuit 645.
  • the multi-level sampler 541, adaptive sampler 543 and adaptive module 643 operate generally as described in reference to Figure 19 to generate data samples 642 (i.e., MSB and LSB) and error samples 218, and to adaptively update the transmit pre-emphasis tap weights, (226) and the sampler thresholds 550, 552 and 554 (TA, T+ and T-, respectively).
  • the clock recovery circuit 645 generates a sampling clock signal 210 (SCLK) and edge clock signal 610 (ECLK) in response to transition samples 644 (T n-1 ), generated by the edge sampler 641, and the data samples 642 generated by the multi-level sampler 541.
  • the sampling clock signal 210 is provided to the multi-level sampler 541 and adaptive sampler 543 to control the sampling instant therein (as shown, for example, in Figures 10 and 12 ) and thereby define each successive data reception interval.
  • transitions in the sampling clock signal 210 are phase aligned with midpoints in the incoming data eyes (i.e., midpoint of data valid intervals in the incoming data signal, x' n ), for example, as shown in Figure 4 .
  • the sampling clock signal 210 may be offset from the midpoints in the incoming data eyes, for example, to accommodate asymmetric setup and hold time requirements in the multi-level sampler 541 and/or adaptive sampler 543. While only a single sampling clock signal 210 is shown in Figure 23 , multiple sampling clock signals may be generated by the clock recovery circuit 645 to enable receipt of multi-data rate signals. For example, in a double data rate system, the clock recovery circuit 645 may generate SCLK and /SCLK to enable capture of data and error samples in both odd and even phases of the sampling clock signal 210.
  • the clock recovery circuit 645 adjusts the phase of the edge clock signal 610 to maintain phase alignment between the edge clock signal 610 and transition points between incoming data eyes. That is, the edge clock signal 610 is adjusted for edge alignment with data valid intervals in the incoming data signal, x' n .
  • the edge clock signal 610 is supplied to the edge sampler 641 where it is used to time the sampling of transitions in the incoming data signal.
  • One or more storage circuits may be provided within the edge sampler 641 to latency-align the transition sample, T n-1 , with the data sample, MSB/LSB n-1 so that, for each pair of successive data samples 642 supplied to the clock recovery circuit 645 by the multi-level sampler 541, the edge sampler 641 supplies a transition sample 644 that corresponds to the intervening transition in the incoming signal, x' n , if any.
  • Figure 24 illustrates possible signal transitions between successive 4-PAM data transmissions 660 and 662.
  • the incoming data signal may transition to any of three other signal levels.
  • a signal level above T+ (corresponding to data value ⁇ 10') may transition to (1) a signal level between the T+ and zero thresholds ('10' ⁇ ⁇ 1 1'); (2) a signal level between the zero and T- thresholds ('10' ⁇ '01'); and a signal level below T- ('10' ⁇ ⁇ 00').
  • transitions that cross a single threshold level will cross either the zero threshold level, the T+ threshold level or the T- threshold level at T1.
  • any transitions that cross two threshold levels, but not three do not cross the zero, T+ or T- threshold levels at T1.
  • type-1 transitions are those in which the LSB remains unchanged at either '1' or ⁇ 0', while the MSB changes state (i.e., (MSB N xor MSB N-1 ) & (LSB N xnor LSB N-1 ), '&' indicating an AND logic operation and 'xor' indicating an exclusive OR logic operation); type-2 transitions are those in which the MSB remains high while the LSB changes state (i.e., MSB N & MSB N-1 & (LSB N xor LSB N-1 )); and type-3 transitions are those in which the MSB remains low, while the LSB changes state (i.e., /MSB N & /MSB N-1 & (LSB N xor LSB N-1 )).
  • the clock recovery circuit 645 evaluates successive MSB/LSB values to determine when a type-1 signal transition has occurred, and adjusts the phase of the edge clock signal 610 and sampling clock signal 210 according to the state of the corresponding transition sample 644.
  • a logic '1' transition sample 644 indicates that the edge clock transition occurred after the incoming signal transition (i.e., edge clock lags the signal transition) and therefore that the phase of the edge clock signal 610 is to be advanced.
  • a logic '0' transition sample 644 indicates that the edge clock transition occurred prior to the incoming signal transition (i.e., edge clock leads the signal transition) and therefore that the phase of the edge clock signal 610 should be delayed.
  • the clock recovery circuit 645 receives the transition samples 644 from edge sampler 641 and data samples from the 4-PAM sampler 642 and adjusts the phase of the edge clock signal 610 as necessary to maintain alignment between the edge clock signal 610 and transitions in the incoming signal; x' n .
  • the sampling clock signal 210 is maintained at a substantially constant phase offset from the edge clock signal 610 such that phase alignment between the edge clock signal 610 and data signal transitions yields a desired phase alignment between the sampling clock signal 210 and midpoints in the incoming data eyes.
  • Figure 25 illustrates an embodiment of a clock recovery circuit 670 that adjusts the phase of edge clock signal 610 and sampling clock signal 210 based on selected transitions detected in the incoming signal, x' n , and that may be used to implement the clock recovery circuit 645 of Figure 23 .
  • the clock recovery circuit 670 includes a transition logic circuit 671, early/late counter 683, majority detector 685, interpolator 687 and reference loop 689.
  • the transition logic 671 asserts a transition detect signal 672 (TDET) upon detecting a type-1 transition in a successive pair of data samples, MSB/LSB n-2 and MSB/LSB n-1 , and asserts an early/late signal 674 according to the direction of the incoming signal transition (rising or falling edge) and the state of the corresponding transition sample, T n-1 .
  • the transition detect signal 672 is applied to a count enable input (CEN) of the early/late counter 683 to enable an early/late count value to be incremented or decremented according to the state of the early/late signal 674.
  • the transition logic 671 outputs a logic high early/late signal 674 if the transition sample, T n-1 , does not match the MSB of the trailing data sample, MSB n-1 , and a logic low early/late signal 674 if the transition sample matches the MSB of the trailing data sample. That is, if the transition sample, T n-1 , is captured after the transition from MSB/LSB n-2 to MSB/LSB n-1 , the transition sample will match the MSB n-1 sample and thereby indicate that the edge clock signal transition is late relative to the incoming signal transition.
  • the transition sample Conversely, if the transition sample is captured before the transition from MSB/LSB n-2 to MSB/LSB n-1 , the transition sample will not match the MSB n-1 sample, thereby indicating that the edge clock signal transition is early relative to the incoming signal transition.
  • the following table illustrates exemplary combinations of incoming signal samples (and corresponding transition type) and transition samples; the resulting transition detect and early/late signals generated by the transition logic circuit 671; and the resulting adjustments to the early/late count and phase of the edge clock sampling clock signals: Table 5 MSB/LSB n-2 MSB/LSB n-1 T n-1 Trans. Type TDET Early (/Late) E/L Cnt Adj.
  • the early/late counter 683 is initialized to zero and, as illustrated in Table 5, is incremented in response to an early indication (i.e., a logic high early/late signal 674) and decremented in response to a late indication (i.e., a logic low early/late signal 674).
  • the sign bit e.g., the MSB
  • the MSB the sign bit of the early/late count maintained within the early/late counter 683 indicates whether more early than late indications, or more late than early indications have been received from the transition logic 671 (i.e., the count value will underflow to a negative value if more late indications than early indications are detected).
  • the majority detector 685 evaluates the sign of the early/late count (i.e., signal 684) and outputs an up/down signal 688 to the interpolator 687 accordingly.
  • the early/late count value may then be reset to zero in preparation for counting a subsequent set of early/late indications.
  • the interpolator 687 maintains an interpolation control word that is incremented in response to a logic high up/down signal 688 and decremented in response to a logic low up/down signal 688.
  • the most significant bits of the interpolation control word are used to select a pair of phase vectors from the set of N phase vectors 692 generated by the reference loop 689, and the least significant bits of the interpolation control word are used to interpolate between the selected pair of phase vectors.
  • the interpolation is incrementally shifted from a leading one of the phase vectors to a lagging one of the phase vectors, thereby incrementally delaying (i.e., retarding) the phase of the edge and sampling clock signals 610, 210.
  • the interpolation is incrementally shifted toward the leading one of the selected phase vectors, thereby incrementally advancing the phase of the edge and sampling clock signals 610, 210.
  • the reference loop 689 is formed by a delay locked loop (DLL) that receives a reference clock signal 690 and, in response, generates a plurality of phase vectors 692 that are phase distributed within a cycle time of the reference clock signal 690.
  • the reference loop 689 may be a phase locked loop (PLL) that multiplies the reference clock frequency to generate a plurality of phase vectors 692 having a higher frequency than the reference clock frequency.
  • the reference loop 689 may include an internal timing reference generator (e.g., a ring oscillator or other clock generating circuit) so that no reference clock signal 690 is required.
  • the interpolator 687 may generate any number of sampling clock and edge clock signals.
  • the interpolator 687 generates an edge clock signal and complement edge clock signal, and a sampling clock signal and complement sampling clock signal, the sampling clock signal being offset from the edge clock signal by a quarter cycle (90 degrees) of the edge clock signal.
  • the quarter cycle offset may be achieved, for example, by a second interpolator that maintains a control word having a 90 degree digital offset from the control word used to generate the edge clock signal.
  • Other techniques may be used to generate the edge clock-to-sampling clock offset in alternative embodiments.
  • the interpolator 687 (or multiple interpolators) generates four edge clock signals and four sampling clock signals, the combined set of eight clock signals being evenly offset in phase over a cycle time of the edge clock signal (i.e., 45 degree increments between successive clock edges). This approach may be extended to support virtually any data rate.
  • the up/down signal 688 is a two-bit signal in which the '00' state signals a hold condition.
  • the interpolator 687 responds to the hold condition by maintaining the interpolation control word at its present value.
  • the majority detector 685 may receive the entire early/late count from the early/late counter, and output the up/down signal in the '00' state if the count value indicates a balanced reception of early and late detections (e.g., the early/late count is zero).
  • the majority detector 685 may be omitted altogether and the sign of the early/late count value output directly to the interpolator 687 to control the phase adjustment of the edge and sampling clock signals 610 and 210.
  • the type-2 and type-3 transitions cross the T+ and T- thresholds, respectfully, in synchronism with the desired transition time of the edge clock signal 610 (i.e., T1). Consequently, the type-2 and type-3 transitions may be detected and used along with, or instead of, the type-1 transitions to recover the edge and sampling clock signals 610 and 210.
  • additional edge samplers 641 are provided to generate transition samples at the T+ and/or T- thresholds.
  • Additional circuitry is also provided within the clock recovery circuit 670 of Figure 25 to detect the 11-to-01 and/or 00-to-10 transitions and, in response, to update the early/late counter 683 according to the corresponding transition samples.
  • threshold multiplexers and output path multiplexers similar to multiplexers 507, 509, 511 and 513 of Figure 18 may be provided to enable the adaptive sampler 543 to proxy for any of the component samplers of the multi-level sampler 541.
  • component samplers of the multi-level sampler 541 may be taken out of service one at a time and calibrated (e.g., offset cancellation calibration), tested or used for other purposes.
  • the adaptive sampler 543 may be substituted for the component sampler during normal operation.
  • the adaptive sampler 543 is clocked by the sampling clock signal 210 and therefore captures samples at the same time as the component samplers of the multi-level sampler 541.
  • the adaptive sampler 543 may be clocked by a selectable-phase clock signal having an independently selectable phase offset. By alternately switching the phase of the selectable-phase clock signal to match the phase of the sampling clock signal 210 and the edge clock signal 610, the adaptive sampler 543 may be used as a proxy sampler for the component samplers of the multi-level sampler 541 as well as the edge sampler 641.
  • edge samplers may be taken out of service (e.g., in a mesochronous or plesiochronous system having a frequency offset estimation (via a second order feedback loop, for example), the edge sampler may be used as a proxy for an adaptive sampler (if provided), data sampler or other sampler within the receiver.
  • edge samplers may be used for clock recovery purposes in binary signaling systems (or multi-level signaling systems having more than four signal amplitude levels) . In such systems, the edge samplers may be used as proxy samplers for adaptive and/or data samplers.
  • Transmit equalization can cause multi-modal distributions in edge crossings. This in turn causes the conventional clock-data-recovery loop to produce less accurate estimates on the phase of the incoming data stream.
  • error signals at both data and edge samples are combined to form the update of the equalizer taps, thereby reducing loss of timing accuracy in effect by trading off between timing accuracy and voltage accuracy due to equalizer compensation.
  • W N+1 W N + stepsize wd ⁇ sign(e dn ) ⁇ sign( u dn ) + step we ⁇ sign (e en ) ⁇ sign ( u en ), where stepsize wd is a data-weighted update factor and stepsize we is an edge-weighted update factor.
  • stepsize wd is a data-weighted update factor and stepsize we is an edge-weighted update factor.
  • the subscript "dn” refers to the n th data sample and the subscript "en” refers to the n th edge sample.
  • Value " u en " is a vector of edge samples which need not be filtered.
  • x' n may be used in place of u dn .
  • edge samplers may be taken out of service (e.g., in a mesochronous or plesiochronous system having a frequency offset estimation (e.g., via a second order feedback loop)
  • the edge sampler may be used as a proxy for an adaptive sampler (if provided), data sampler or other sampler within the receiver.
  • the term including the error from the data samples guides the equalizer updates toward the negative gradient direction of the mean-square-error on data samples
  • the term including the error from edge samples guides the equalizer updates toward the negative gradient direction of the mean-square-error on edge samples.
  • the term including error in data samples affects the equalizer such that it makes that error smaller
  • the term including error in edge samples affects the equalizer such that it makes the error at the edges smaller.
  • the equalizer is able to achieve the balance. This tradeoff may be achieved with different relative magnitude of step sizes (weighting) for data and edge errors.
  • a convenient aspect of the embodiments of Figures 23 (and 26 described below) is that the clock recovery loop already generates the edge error signals and conveniently filters them (i.e. generates them) only on valid transitions (i.e., by detecting early-late signals as discussed above). Hence, little or no additional circuitry in the receiver is needed to generate the edge error signals.
  • FIG 26 illustrates a double-data-rate, multi-sample receiver 700 according to an embodiment of the invention.
  • the receiver 700 includes 4-PAM samplers 701 1 -701 4 , data/edge sample deserializer 704, adaptive samplers, 703 1 and 703 2 , error sample deserializer 709, an adaptive module 705 and clock recovery circuit 707.
  • Each of the 4-PAM samplers 701 1 -701 4 operates in generally the same manner as the multi-level sampler 541 of Figure 19 , and includes an MSB sampler 563 to compare an incoming signal, x' n , with a zero threshold, and positive and negative LSB samplers 561 and 565 to compare the incoming signal with adaptively generated thresholds, T+ and T- (e.g., adapted to the normalized +2/3 signal levels).
  • Two of the 4-PAM samplers 701 1 and 701 3 are used to generate two-bit data samples (i.e., each sample having and MSB and LSB) in response to odd and even sampling clock signals, CLK_DO and CLIC_DE, respectively.
  • the remaining two 4-PAM samplers, 701 2 and 701 4 are used to generate transition samples in response to odd and even edge clock signals (CLK_EO and CLIC_EE), with the MSB sampler being used to detect type-1 data signal transitions, and the positive and negative LSB samplers being used to detect type-2 and type-3 data signal transitions.
  • the data and edge sample values generated by the 4-PAM samplers 701 1 -701 4 are supplied to the data/edge sample deserializer 704, which shifts the incoming serial stream of MSB and LSB samples (after performing LSB-F xor LSB-) and transition samples into respective shift registers.
  • the contents of the shift registers within the data/edge deserializer 704 constitute parallel words of MSBs, LSBs and transition samples (i.e., MSB[N:0], LSB[N:0] and T[M:0], respectively, where M ⁇ N due to the fact that not all transitions are type-1, type-2 or type-3 transitions) that are supplied to the clock recovery circuit 707 and adaptive module 705.
  • the clock recovery circuit 707 operates generally in the manner described in reference to Figures 23-25 to generate even and odd edge and data clock signals, CLK_EE, CLK_EO, CLK_DE and CLK_DO (e.g., the even and odd clock signals being complements of one another, and the edge and data clock signals being quadrature-offset from one another).
  • the adaptive module 705 applies the incoming data samples in tap weight update operations to generate power-scaled, updated tap weights W N+1 and, when instructed, to perform offset cancellation operations as described in reference to Figure 22 for the component samplers within each of the 4-PAM samplers 701 1 -701 4 .
  • the adaptive module 705 generates three offset cancellation values, OFST_DO(3), for the odd-data 4-PAM sampler 701 1 in the manner described in reference to Figure 22 , and similarly generates offset cancellation values OFST_DE(3), OFST_EO and OFST_EE, for the even-data 4-PAM sampler 701 3 , odd-edge 4-PAM sampler 701 2 and even-edge 4-PAM sampler 701 4 .
  • the adaptive samplers 703 1 and 703 2 are clocked by respective odd and even adaptive-sampler clock signals, CLK_AO and CLK_AE, and generate error samples by comparing the incoming signal, x' n , with adaptive sampler thresholds T_AO and T_AE, respectively.
  • the adaptive module 705 iteratively adjusts each of the adaptive sampler thresholds (i.e., in response to the incoming error samples, ERR[N:0], or a subset thereof) to the normalized +1/3 signal level and uses the adaptive-sampler threshold as discussed above in reference to Figure 22 to generate the T+ and T- thresholds supplied to the 4-PAM samplers (e.g., doubling the adaptive sampler threshold to generate T+, then complementing T+ to generate T-).
  • the error samples generated by the adaptive samplers 703 1 and 703 2 are provided to the error sample deserializer 709 which shifts the odd- and even-phase error samples (i.e., the error samples alternately generated by adaptive samplers 703 1 and 703 2 ) into a shift register for parallel delivery to the adaptive module (i.e., ERR[N:0]).
  • the odd and even adaptive-sampler clock signals are generated by respective interpolators within the clock recovery circuit 707, and therefore have independently selectable phase offsets.
  • clock signal CLK_AO may be selectively phase aligned with either of the odd-phase data and edge clock signals, CLK_DO and CLK_EO, so that adaptive sampler 703 1 may proxy for any of the component samplers within the odd-phase 4-PAM data sampler 703 1 , and any of the component samplers within the odd-phase 4-PAM edge sampler 703 2 .
  • clock signal CLK_AE may be selectively phase aligned with either of the even-phase data and edge clock signals, CLK_DE and CLK_EE, so that adaptive sampler 703 2 may proxy for any of the component samplers within the even-phase 4-PAM data sampler 703 3 , and any of the component samplers within the even-phase 4-PAM edge sampler 703 4 .
  • each of the adaptive samplers may proxy for any component sampler within any of the 4-PAM samplers.
  • one of the adaptive samplers 703 may continue to generate the error samples needed to adaptively update the pre-emphasis tap weights, W N+1 , and the thresholds T_AO and T_AE (and, by extension, the T+ and T- thresholds), while the other of the adaptive samplers 703 is used as a proxy sampler for a component sampler of one of the 4-PAM samplers 701.
  • the adaptive module 705 additionally generates an offset cancellation value for each of the adaptive samplers 703 (i.e., OFST_AO and OFST_AE), for example, by nulling the input to the adaptive sampler, zeroing the threshold of the adaptive sampler, and adjusting the offset cancellation value for the adaptive sampler until the error samples generated by the adaptive sampler begin to dither.
  • an offset cancellation value for each of the adaptive samplers 703 i.e., OFST_AO and OFST_AE
  • Figure 27 illustrates a portion of the receiver 700 of Figure 26 in greater detail, showing the threshold multiplexers and output path multiplexers that may be used to enable the odd-phase adaptive sampler 703 1 to be a proxy sampler for any of the component samplers 561, 563 and/or 565 within the 4-PAM data sampler 701 1 or 4-PAM edge sampler 701 2 .
  • a similar set of threshold multiplexers and output path multiplexers may be coupled to the even-phase adaptive sampler 703 2 and 4-PAM data and edge samplers 701 3 and 701 4 .
  • threshold multiplexer 725 is provided to select either the T+ threshold or the adaptive sampler threshold, T_AO, to be summed with the offset cancellation OFSC_DO[2] and provided to the positive LSB sampler 561.
  • threshold multiplexer 729 is provided to select either the T- threshold or the adaptive sampler threshold, T_AO, to be summed with offset cancellation OFSC_DO[0] and provided to the negative LSB sampler 565
  • threshold multiplexer 727 is provided to select either the zero threshold or the adaptive sampler threshold T_AO, to be summed with offset cancellation OFSC_DO[1] and provided to the MSB sampler 563.
  • Output multiplexers 735, 737 and 739 are provided in the 4-PAM sampler 701 1 to select either the output of the odd-phase adaptive sampler 703 1 or the output of the component samplers 561, 563 and 565, respectively, to be provided to the data/edge sample deserializer 704.
  • Threshold multiplexers 725, 727 and 729, and output multiplexers 735, 737 and 739 are provided within the odd-phase edge sampler 701 2 and coupled to the component samplers thereof in the same way that like-numbered multiplexers are coupled to the component samplers of the odd-phase data sampler 701 1 .
  • Threshold multiplexer 730 is provided to enable any of the T+, 0, T- and T_AO threshold levels to be summed with offset cancellation OFSC_AO and provided to the adaptive sampler 543 (i.e., sampler 543 being the sampling circuit within the overall sampler 703 1 ).
  • Output path multiplexer 731 is provided to select the output of any one of the component samplers of 4-PAM samplers 701 1 and 701 2 or the adaptive sampler 543 to be provided to the error sample deserializer 709.
  • the adaptive sampler 543 may operate as a proxy sampler for any of the component samplers of the odd-phase data and edge samplers 701 1 and 701 2 , and vice-versa, thereby enabling calibration operations or other out-of-service operations to be performed on the odd-phase data and edge samplers without interrupting the recovered stream of data and edge samples.
  • the threshold and output path multiplexers may be independently controlled to enable a make-before-break transition between a component sampler (i.e., 561, 563 or 565) and the adaptive sampler 543, establishing the alternate source of sample values before taking the component sampler out of service.
  • the even-phase data, edge and adaptive samplers may include threshold multiplexers and output path multiplexers coupled in the same manner as the threshold multiplexers and output path multiplexers shown for odd samplers in Figure 27 .
  • the odd-phase adaptive sampler 703 1 receives the phase-selectable clock signal, CLK_AO, and therefore may generate sample values in phase with either the odd-phase data clock signal, CLK_DO, or the odd-phase edge clock signal, CLK_EO.
  • the even-phase adaptive sampler similarly receives the phase-selectable clock signal, CLK_AE, and therefore may generate sample values in phase with either the even-phase data clock signal, CLK_EO, or the even-phase edge clock signal, CLK_EE.
  • the T+ and T- threshold values supplied to the LSB samplers 561 and 565 within each of the 4-PAM samplers 701 may be generated by determining and then averaging +1 and +1/3 signal levels.
  • the +1 and +1/3 signal levels are determined one after another using the adaptive sampler 543 (or, in the embodiment of Figure 26 , either of the adaptive samplers 703 1 or 703 2 ).
  • the control value, C TA may be provided to the finite state machine 607 to enable detection of a convergence condition.
  • the corresponding threshold control value (C TA ) may be recorded (e.g., within the finite state machine 607 or other register) and the threshold control value thereafter adjusted to meet the +1/3 threshold. That is, the threshold TA is adaptively updated to meet the +1/3 signal level, for example, by updating C TA according to the error sign value (or filtered version thereof) generated by the adaptive sampler when the corresponding data sample is a '11'.
  • the threshold control values may be averaged by an averaging circuit (e.g., summing circuit to generate a sum of the +1 and +1/3 C TA values, and a right shift circuit to effect a division by 2) to provide a T+ threshold.
  • the T- threshold may be generated by complementing the threshold control value for the T+ threshold, or may be independently generated by determining and averaging threshold control values that correspond to the -1 and -1/3 signal levels.
  • the averaging circuit used to average the threshold control values that correspond to the +1 and +1/3 signal levels may be provided in the adaptive module 600 of Figure 22 in place of the multiplier circuit 617 and may be coupled to receive one of the C TA values (whichever is generated last) from threshold counter 613 and another of the C TA values (whichever was generated first) from the finite state machine 607 or from a holding register.
  • the threshold control values that correspond to the +1 and +1/3 signal levels may be determined in the opposite order (i.e., C TA for the +1/3 signal level determined first, followed by C TA for the +1 signal level).
  • another sampler e.g., an additional adaptive sampler
  • another sampler may be used to enable simultaneous adaptive determination of threshold control values that correspond to the +1 and +1/3 signal levels.
  • the LSB generated by exclusive-ORing the outputs of the positive and negative LSB samplers 561 and 565 may be used to determine threshold control values that correspond to the +1 and +1/3 (and/or -1 and -1/3) signal levels.
  • the T+ threshold is adaptively updated to meet (and therefore determine) the +1 signal level.
  • the T- threshold may similarly be updated based on the LSB if the MSB is a '0' (e.g., decremented if the LSB is a '0' and incremented if the LSB is a '1'), thereby enabling a determination of the -1 signal level.
  • the transmit side device may be set to transmit a signal that alternates between +1/3 and -1/3 signal levels (i.e., small-swing 2-PAM signal) and the MSB sampler 563 and LSB samplers 561 and 565 used to determine the +1/3 and/or -1/3 signal levels (e.g., using the MSB sampler to determine whether the incoming signal is at the +1/3 or -1/3 signal level, and using the LSB sample value to adaptively update the T+ and/or T- thresholds to meet the +1/3 and -1/3 signal levels).
  • +1/3 and -1/3 signal levels i.e., small-swing 2-PAM signal
  • the MSB sampler 563 and LSB samplers 561 and 565 used to determine the +1/3 and/or -1/3 signal levels (e.g., using the MSB sampler to determine whether the incoming signal is at the +1/3 or -1/3 signal level, and using the LSB sample value to adaptively update the T+ and/or T
  • the corresponding control values may be averaged to determine the corresponding +2/3 threshold, and the T+ threshold control value set accordingly.
  • the T- threshold may be generated by complementing the T+ threshold or, if the -1 and -1/3 signal levels have been determined, by averaging the threshold control values that correspond to the -1 and -1/3 signal levels.
  • the +1 and +1/3 signal levels may be determined using the LSB edge samplers (or MSB edge sampler), then averaged to determine the T+ threshold.
  • the transmit side device or, alternatively, a loop-back configured transmitter in the receive-side device
  • the intervening edge sample i.e., the edge sample 710 captured between Data n and Data n+1 samples
  • the intervening edge sample is at the +1 signal level.
  • the T+ threshold applied to the positive LSB edge sampler may be adaptively updated to meet the +1 signal level, thereby enabling a determination of the corresponding threshold control value.
  • the transmit side device (or loop-back configured transmitter in the receive-side device) may be set to transmit a small-swing 2-PAM signal that remains at the +1/3 signal level for at least two successive samples so that the positive LSB edge sampler may be used to determine the corresponding threshold control value.
  • the LSB of the edge sample i.e., the LSB generated by exclusive-ORing the outputs of the positive and negative LSB edge samplers
  • the LSB and MSB of a given edge sample may be used to determine the threshold control value instead of using the raw output of the positive LSB edge sampler.
  • the threshold control values may be averaged to generate the threshold control value for the T+ threshold.
  • the control value for the T- threshold control value may be generated by complementing the T+ threshold control value, or by averaging threshold control values determined for the -1 and -1/3 signal levels.
  • the T+ and T- thresholds may also be adaptively determined based on transitions (i.e., edge samples) between +1 and +1/3 signal levels.
  • the MSB and LSB samplers 563, 561 and 565 within the 4-PAM samplers 701 1 -701 4 are differential signal samplers (e.g., as described in reference to Figure 10 ) coupled to receive the same T+ and T- thresholds (or corresponding digital control values generated within the adaptive module 705).
  • the threshold determination techniques described above may be used to provide a coarse determination of the thresholds provided to all the positive and negative LSB samplers in the 4-PAM samplers 701 1 -701 4 , and offset cancellation operations may be used to provide fine tuned T+ and T- thresholds on a sampler by sampler basis.
  • This approach advantageously allows a single full-scale DAC to be shared among the various LSB samplers (i.e., for T+ and T- threshold generation) and smaller offset cancellation DACs (i.e., capable of offsetting the thresholds applied to each sampler by a limited amount, less than the full-scale DAC range) to be used for fine tuning, thus reducing the overall amount of hardware required to provide fine-tuned thresholds to each of the samplers.
  • separate full-scale DACs may be provided to generate the thresholds for each of the component samplers within multi-level samplers 701 1 -701 4 of the multi-sample receiver 700.
  • offset cancellation values may be generated for each of the individual LSB and MSB samplers within 4-PAM samplers 701 1 -701 4 in the manner described in reference to Figure 22 , nulling the input to the sampler to be calibrated, then adjusting the offset cancellation value until a convergence around the zero threshold is reached.
  • offset cancellation for the positive and negative LSB samplers 561, 565 is achieved using techniques that zero (or more nearly zero) the offset at the T+ and T- thresholds, respectively, instead of at null.
  • at-threshold offset cancellation enables generation of T+ and T- thresholds having a substantially reduced offset error (e.g., zero or near zero offset error).
  • Figure 32 illustrates an at-threshold offset cancellation operation according to one embodiment.
  • the transmit-side device or transmitter in the receive-side device coupled in a loop-back configuration
  • the T+ threshold is set to the +1 signal level determined, for example, during the T+ threshold generation described above.
  • the offset cancellation value for the target LSB data sampler is updated based on the state of the LSB if the corresponding MSB indicates that a '10' data sample has been received (i.e., a data sample that corresponds to the +1 signal level).
  • a convergence condition is detected at block 718 (e.g., dithering in the offset cancellation value)
  • the offset cancellation value is recorded in a temporary holding register at block 719.
  • the transmitter is set to transmit small-swing 2-PAM signals (i.e., transitioning between +1/3 and -1/3 signal levels) and at block 721, the T+ threshold is set to the +1/3 signal level.
  • the offset cancellation value for the target LSB sampler is updated based on the state of the LSB when the MSB indicates that a '11' data sample (i.e., +1/3 signal level) has been received.
  • offset cancellation values for the target LSB sampler have been determined at the +1 and +1/3 signal levels.
  • the offset cancellation value determined for the +1 signal level i.e., recorded in the holding register at block 719) is averaged with the offset cancellation value determined for the +1/3 signal level, and the average applied as the T+ offset cancellation value for the target LSB sampler. Thereafter, the at-threshold offset cancellation operation of Figure 32 is repeated for the next target LSB sampler.
  • the at-threshold offset cancellation operation of Figure 32 is applied to determine the offset cancellation values for each of the positive LSB data samplers 561 within the multi-sample receiver 700 of Figure 26 , and a similar set of operations are applied to determine the offset cancellation values for each of the negative LSB data samplers 565, except that offset cancellation values are determined and averaged for the -1 and -1/3 signal levels instead of the +1 and +1/3 signal levels.
  • the operations illustrated in Figure 32 may be modified slightly to determine offset cancellation values for the positive and negative LSB edge samplers. More specifically, at blocks 715 and 720, the transmitter is set to transmit 2-PAM signals that remain at the same state for at least two successive data sampling intervals so that the positive and negative LSB and LSB edge samplers capture samples at the ⁇ 1 and ⁇ 1/3 signal levels (e.g., as shown in Figure 28 which illustrates capture of an edge sample 710 at the +1 signal level). By this operation, offset cancellation values that correspond to the +1, +1/3 and -1, -1/3 signal levels may be determined and averaged to generate at-threshold offset cancellation values for the positive and negative LSB edge samplers.
  • 2-PAM signals that remain at the same state for at least two successive data sampling intervals so that the positive and negative LSB and LSB edge samplers capture samples at the ⁇ 1 and ⁇ 1/3 signal levels (e.g., as shown in Figure 28 which illustrates capture of an edge sample 710 at the
  • a zero-valued LSB in the edge sample indicates that the signal level at the transition midpoint exceeds the T+ threshold for the target LSB edge sampler (i.e., an offset error exists), the offset cancellation value for the sampler being incremented to compensate for the offset.
  • a one-valued LSB in the edge sample indicates that the signal level at the transition midpoint is below the T+ threshold for the target LSB edge sampler, and the offset cancellation value for the LSB edge sampler is decremented.
  • a convergence condition is detected in the offset cancellation value (e.g., offset cancellation value dithering)
  • further update to the offset cancellation value is halted until another offset calibration operation is initiated for the target LSB edge sampler.
  • the positive LSB edge samplers may be calibrated in this manner one after another or in concurrent offset calibration operations.
  • the 4-PAM sampler illustrated in Figure 19 may be selectively operated in either a 2-PAM mode (i.e., binary signaling) or a 4-PAM mode, according to application needs and/or signaling system characteristics.
  • the 2-PAM mode may be selected upon determining that signaling margins in a given system are insufficient for 4-PAM signal resolution.
  • a signaling system may be dynamically switched between 4-PAM and 2-PAM modes as signaling characteristics dictate, or to allow one or more of the component samplers of the 4-PAM sampler to be taken out of service (e.g., for calibration purposes) or to allocate one or more of the component samplers to a different function.
  • Figure 33 illustrates an embodiment of a multi-sample, multi-level receiver 740 in which the positive and negative LSB samplers 561 and 565 of a multi-level sampler 541 are used as adaptive samplers when the multi-level sampler 541 is operated in a 2-PAM mode.
  • the incoming signal, x' n is supplied to all three component samplers of the multi-level sampler 541.
  • the positive LSB sampler 561 compares the incoming signal with the T+ threshold and generates a corresponding error sign value, sgn(e H ), that indicates whether the incoming 2-PAM signal exceeds the T+ threshold.
  • the negative LSB sampler 565 similarly compares the incoming signal with the T- threshold and generates a corresponding error sign value, sgn(e L ), that indicates whether the incoming signal exceeds the T- threshold.
  • a live enable signal 742 is in a logic '1' state
  • a live adaptation mode is selected within the receiver 740. In the live adaptation mode, pre-emphasis tap weights and receiver threshold levels are iteratively updated using error signals generated from live rather than predetermined data sequences).
  • the live enable signal 742 is provided to a control input of multiplexer 743 so that, when the live adaptation mode is selected, the multiplexer 743 outputs the MSB sample generated by MSB sampler 563 (i.e., the sign of the incoming 2-PAM signal) to the control input of multiplexer 741.
  • Multiplexer 741 selects either the positive or negative LSB sampler (i.e., 561 or 565) to provide an error sample 744 to an adaptive module 745.
  • the sign of the 2-PAM sample value generated by the MSB sampler 563 is used to select the appropriate error source in each reception interval, thereby enabling the T+ and T- thresholds to be adapted to the corresponding high and low levels of the 2-PAM signal, and enabling more rapid gathering of error information for use in tap weight updates.
  • a batch update mode is selected, and the sign of the originally transmitted data value, x n , is used to select either the positive LSB sampler 561 or negative LSB sampler 565 to provide the error sample 744 to the adaptive module.
  • the sign of the transmitted data value may be known at the receive-side IC device, for example, by sending the data transmission sequence in advance of the batch update operation, or by storing the transmit data pattern in both the transmit- and receive-side devices.
  • the error sign values generated by the positive and negative LSB samplers 561 and 565 may be applied in the same manner as in the live adaptation mode to adapt the T+ and T- thresholds to the upper and lower binary signal levels, and to update the pre-emphasis tap weights.
  • error samples generated by an adaptive sampler within a multi-sample receiver are applied to update transmit pre-emphasis tap weights in repeated sign-sign LMS update operations.
  • the adaptive sampler generates errors with respect to an expected data level
  • logical filtering of data is used to ensure that the incoming signal in fact corresponds to the expected data level. For example, in a binary signaling embodiment in which the adaptive sampler receives a target data level threshold that corresponds to a logic '1' data transmission, the error sample generated by the adaptive sampler is applied in a tap weight update if the corresponding data sample is a logic '1'.
  • the error sample is applied in a tap weight update operation if the corresponding data sample corresponds to the adaptive sampler threshold level (e.g., +1/3 the normalized signal level in the embodiment of Figure 19 ).
  • the adaptive sampler threshold level e.g., +1/3 the normalized signal level in the embodiment of Figure 19 .
  • the logical filtering of incoming data samples constitutes an indicator function that may be expressed as part of the sign-sign LMS operation.
  • other indicator functions may be used, and the indicator function may be omitted altogether, for example, by providing one or more additional adaptive samplers having thresholds set at all (or a subset) of the expected incoming data levels.
  • a trap indicator function is used to filter errors applied in tap weight update operations (i.e., update-triggering errors) according to the error magnitude and direction.
  • the trap threshold, T TRP is adaptively generated by an adaptive module 753 according to the rate of errors falling within the trap zone, and is supplied to the adaptive sampler 213 as shown in Figure 35 .
  • the adaptive module 753 adaptively adjusts the trap threshold to obtain a target count of update-triggering errors per unit time, referred to herein as the target error count.
  • the target error count may be a predetermined value that is programmed within the receive-side IC device (or transmit-side IC device) during run-time configuration or during a production-time programming operation (e.g., fuse blowing operation, or storage in a nonvolatile memory), or hardwired within the receive-side IC device (or transmit-side IC device).
  • the target error count is initially set to a relatively high number so that the adaptive module 753 drives the trap threshold higher (thereby increasing the number of incoming signals that fall within the trap zone) and the trap threshold quickly converges to a stable level.
  • the target error count is lowered (e.g., one time or iteratively) so that fewer errors, having more substantial offset from the normalized +1 signal level, are counted as errors.
  • the error samples i.e., sgn (x' n - T TRP )
  • sgn x' n - T TRP
  • FIGs 31 and 32 illustrate implementation of a trap zone in a dual mode 2-PAM/4-PAM signaling system.
  • the positive and negative LSB samplers 561 and 565 of a multi-level sampler 541 may be idled or used for other purposes.
  • the T+ threshold is adjusted to a trap level, T TRP+ , that is offset from the normalized +1 signal level, thereby establishing a trap zone between the 0 and adjusted T+ threshold levels.
  • T TRP+ trap level
  • the T TRP + threshold is iteratively adjusted by an adaptive module 755 according to the rate of errors falling within the trap zone, and is supplied to the positive LSB sampler 561.
  • the T-threshold is generated by complementing the sign of the T+ threshold
  • the T- threshold becomes T TRP- , a threshold offset from the normalized -1 signal level in the same manner that T TRP+ is offset from the normalized +1 signal level.
  • the otherwise unused positive and negative LSB samplers 561 and 563 may be used to detect signals falling within a trap zone, thereby enabling the transmit pre-emphasis tap weights to be updated based on errors that exceed a predetermined, or adaptively generated threshold.
  • the multi-tap transmitter 201 of Figure 3 is effective for reducing relatively low-latency distortions such as dispersion-type ISI
  • other types of systematic distortions such as signal reflections (also referred to as reflection-type ISI) may occur at latencies on the order of tens or even hundreds of signal transmission intervals. Consequently, the shift register 203 would need to be substantially deeper in order to store the tap values needed to mitigate the resulting distortions.
  • each additional equalizer tap increases the parasitic capacitance of the transmit or receive circuit, degrading the frequency response of the system and potentially increasing the impedance discontinuity (and therefore the magnitude of reflected signal) at the circuit input/output. This additional capacitance further reduces the bandwidth of the existing parasitic low pass filter and may therefore increase dispersion-type ISI.
  • Figure 38 illustrates an embodiment of a signaling system 760 that includes the multi-tap transmitter 201 and signal path 202 described in reference to Figure 3 together with a selectable-tap, equalizing receiver 761 that enables compensation of relatively long-latency distortions with a limited number of equalizer taps.
  • the equalizing receiver 761 includes a data sampler 211, error sampler 213 (also referred to herein as an adaptive sampler) and adaptive module 763 that operate generally as described in reference to Figure 3 to generate a sequence of data and error samples and to iteratively update (i.e., adapt) a set of transmit pre-emphasis tap weights (TPW) 226 applied to output drivers of the multi-tap transmitter 201.
  • TPW transmit pre-emphasis tap weights
  • the equalizing receiver 761 additionally includes a selectable-tap decision-feedback equalizer 764 (DFE) that generates an equalizing signal 767 based on selected prior decisions of the data sampler and a set of tap weight values.
  • DFE selectable-tap decision-feedback equalizer
  • the equalizing signal 767 is applied to the input of the data and error samplers 211 and 213 (i.e., the receiver side of signal path 202) to cancel reflections and other systematic distortions present in the incoming signal, x' n .
  • the equalizing signal 767 may be applied in other ways to mitigate signal distortion including, without limitation, modulating decision thresholds within the data and error samplers 211 and 213 (i.e., the thresholds used to resolve the state of the data and error samples), and level shifting a preamplified instance of the incoming signal, x' n .
  • the decision feedback equalizer 764 includes a shift register 768, tap selector 769 and equalizing driver bank 765.
  • Data samples 216 generated by the data sampler 211 are shifted into the shift register 768 to provide a storage of N data samples that reflect the historical state of the signal level on signal path 202.
  • Each individual storage element within the shift resister 768 is referred to herein as having a sample latency that reflects the number of reception intervals that have transpired since the sample stored within the storage element was received.
  • the N storage elements of the shift register 768 have sample latencies ranging from K to K+(N-1), with K being the sample latency of the most recently stored data sample, and K+(N-1) being the sample latency of the least recently stored data sample.
  • K may generally be any value.
  • the tap selector 769 includes a tap control circuit 771 and a select circuit 770 that cooperate to select a subset, M, of the N storage elements within the shift register to source data samples to the equalizing driver bank.
  • the selected storage elements are referred to herein as tap data sources and the values stored therein as tap data values.
  • the equalizing driver bank 765 generates the equalizing signal 767 in accordance with the tap data values delivered by the tap selector and a corresponding set of tap weights referred to herein as receive equalization tap weights (REW(1)-REW(M)).
  • a relatively small number of tap data sources may be selected to form receive-side equalization taps (i.e., contributors to the equalizing signal 767). That is, even though a relatively large range of sample latencies are represented by the contents of the shift register 768, only those shift register storage elements containing data samples whose corresponding signal transmission produced the reflections arriving at the receiver input in the present reception interval need be selected to source tap data values.
  • the equalizing driver bank 765 includes a set of M equalizing drivers 766 1 -766 M to generate the equalizing signal 767 in accordance with the tap data values selected by the tap selector 769 and corresponding receive equalization tap weights. More specifically, each equalizing driver receives one of the tap data values, TD 1 -TD M , and a corresponding one of receive equalization tap weights, REW(1)-REW(M), and generates a component equalization signal having an amplitude according to the magnitude of the receive equalization tap weight and a polarity according to the sign of the receive equalization tap weight and the tap data value.
  • the outputs of the equalizing drivers 766 1 -766 M are coupled in common to enable generation of the equalizing signal by wire summation of the component equalization signals.
  • active or passive summation circuitry may be provided to sum the component equalization signals.
  • the set of tap data values and receive equalization tap weights may be combined in the digital domain to generate a control value that is applied to a single equalizing driver 766.
  • the equalizing signal 767 may be applied in a number of different ways to equalize an incoming signal.
  • each of the equalizing drivers 766 1 -766 M within the equalizing driver bank 765 is implemented and interconnected in substantially the same manner as output driver circuit 205 of Figure 3 or differential output driver 230 of Figure 5 . That is, the equalizing driver bank 765 outputs an equalizing signal onto the signal path 202 coincidentally with the reception interval for an incoming signal, x' n , thereby affecting the level of the incoming signal as necessary to cancel reflections and other distortions present in the signal.
  • the equalizing drivers 766 1 -766 M are a level shifting circuit coupled to output nodes of respective preamplifiers within the data and error samplers and operates to adjust the level of the preamplified signal prior to comparison of the preamplified signal level with a decision threshold for resolution of the corresponding sample as a logic '1' or logic '0' value.
  • the equalizing drivers 766 1 -766 M affects the decision threshold rather than the incoming signal or preamplified instance of the incoming signal.
  • the functions of mitigating low-latency and high-latency distortions are distributed between the equalizing transmitter 201 and equalizing receiver 761, with transmit pre-emphasis being applied within the transmitter 201 to reduce low-latency distortions (e.g., dispersion-type ISI) and decision-feedback equalization being applied within the receiver 761 to reduce high-latency distortions (e.g., reflection-type ISI).
  • the distribution of low- and high-latency equalization functions between the transmitter 201 and receiver 761 is achieved, in part, through definition of a dead range within the shift register 768.
  • U is set according to the number of post-tap drivers, V, within the equalizing transmitter to prevent interference between transmit-side and receive-side equalization. That is, transmit pre-emphasis is applied within the equalizing transmitter 201 to reduce distortions resulting from symbol transmissions up to U symbol times prior to transmission of the primary output signal, and decision-feedback equalization is applied within the equalizing receiver 761 to reduce distortions resulting from symbol transmissions more than U symbol times prior to transmission of the primary output signal.
  • the shift register 768 may be considered to have a dead range component formed by the first U storage elements and a selectable-range component formed by the final N storage elements, with the tap selector 769 being coupled to the selectable-range component of the shift register 768 to enable selection the subset of M tap data sources therefrom.
  • the dead range component of the shift register 768 may include fewer than U storage elements or even zero storage elements, depending on the time required to generate a data sample within data sampler 211 and load the data sample into the shift register 768.
  • the tap selector 769 may be coupled to one or more storage elements within the dead range component of the shift register 768 to enable the size of the dead range to be programmed according to the configuration of the equalizing transmitter 201.
  • the selectable range of storage elements within the shift register 768 may overlap with the transmit pre-emphasis range (i.e., U is zero or at least less than V) so that both transmit-side and receive-side equalization is applied in the overlapping latency range.
  • Figure 39 illustrates the manner in which transmit pre-emphasis in the equalizing transmitter 201 and selectable-tap decision-feedback equalization within the equalizing receiver 761 may be used to reduce low-latency and high-latency distortions in the signaling system of Figure 38 .
  • Waveform 780 depicts the state of the signal path 202 during and after non-equalized transmission of a primary output signal to illustrate the low- and high-latency distortions that may result.
  • the primary output signal is transmitted during a transmit interval 781 (i.e., a symbol time) that starts at time T, and the corresponding primary value is used to generate a transmit-side equalization signal (i.e., pre-emphasis signal) over a window of V symbol times following the transmit interval 781.
  • a transmit interval 781 i.e., a symbol time
  • the transmit-side equalization signal is used to reduce low-latency distortions that may result from any number of sources including, without limitation, dispersion-type ISI, inductive and capacitive coupling (which may be compensated, for example, by sourcing a transmit pre-emphasis output driver with a value being transmitted on a neighboring signal path), and low-latency reflections (e.g., reflections that do not travel significantly further than the unreflected primary output signal and therefore arrive at the receiver shortly after the primary output signal).
  • sources including, without limitation, dispersion-type ISI, inductive and capacitive coupling (which may be compensated, for example, by sourcing a transmit pre-emphasis output driver with a value being transmitted on a neighboring signal path), and low-latency reflections (e.g., reflections that do not travel significantly further than the unreflected primary output signal and therefore arrive at the receiver shortly after the primary output signal).
  • the primary output signal is sampled by the equalizing receiver 761 during a reception interval (i.e., data valid window) that corresponds to the transmit interval 781, the reception interval being shifted relative to the transmit interval according to the signal flight time between transmitter 201 and receiver 761.
  • the selectable-tap decision-feedback equalizer 764 within the equalizing receiver 761 has or is configured with a dead range of U symbol times and a selectable range of N symbol times. Accordingly, the sampled primary value (i.e., the data sample generated during the reception interval for the primary output signal) is selectable to source an equalizer tap within the equalizing receiver 761 when the sample latency of the sampled primary value is greater than U symbol times and less or equal to U+N symbol times.
  • Intervals 782 1 , 782 2 , and 782 3 within interval 783 illustrate equalization windows achieved by tap data source selections within the tap control circuit.
  • interval 782 1 corresponds to one or more tap data source selections used to equalize a distortion occurring shortly after the dead range
  • interval 782 3 corresponds to one or more tap source selections used to reduce a distortion caused by a signal transmitted dozens or even hundreds of symbol times prior to the current reception interval.
  • the sample latencies of selectable tap data sources within the equalizing receiver may overlap partially or fully with post-tap latencies so that both transmit pre-emphasis and decision-feedback equalization are applied for one or more post-tap data values and corresponding receive-side data samples.
  • control logic included within either or both of the integrated circuit devices that contain the equalizing transmitter 201 and equalizing receiver 761 may be used to configure one or more of the values of V, U and N, (i.e., the number of transmit-side post-tap equalizers, the receive-side dead range and the receive-side selectable range) according to system needs.
  • a non-volatile memory is provided to store empirically or analytically determined values of U, V and N.
  • the signaling system 760 may include a separate storage (e.g., flash memory, or other non-volatile media) to store values of U, V and N (or values that may be used to determine U, V and N) that is accessible by the aforementioned control logic.
  • the control logic communicates the post-tap equalizer count, V, to the equalizing transmitter 201 and the dead range and selectable range values, U and N, to the equalizing receiver 761.
  • the values of U, V and N may be determined at production time (e.g., through system testing) or design time, and preprogrammed into configuration circuitry within the equalizing transmitter 201 and/or equalizing receiver 761, or fixed by design of the transmitter 201 and/or receiver 761.
  • the control logic may be omitted altogether.
  • the complete configuration of the equalizing receiver 761 involves two primary operations: tap data source selection and receive equalization tap weight determination.
  • information obtained during production-time testing of the signaling system 760 is used to select the tap data sources and to determine the receive equalization tap weights.
  • the transmit pre-emphasis tap weights may also be set based on information obtained in production-time testing or adaptively determined as discussed above in reference to Figure 3 ).
  • a run-time technique referred to herein as embedded scoping is used to trace the response of the signaling system to a predetermined test waveform (e.g., pulse, step, etc.), with the response trace being used to identify the latency, polarity and amplitude of signal reflections and other systematic distortions.
  • the tap selector 769 may then be programmed or otherwise configured to select tap data sources having sample latencies that correspond to the latencies of the identified distortions, and the receive equalization tap weights set according to the polarity and amplitude of the identified distortions.
  • tap data source selection values and receive equalization tap weight values are set in an initial calibration operation (e.g., using embedded-scoping) and not further adjusted thereafter.
  • calibration operations may be occasionally repeated to refine the initial receive equalization tap weight settings and/or tap data source selections.
  • such calibration operations may be carried out periodically or in response to detection of selected events such as detection of a threshold number of errors or detection of a quiet interval on the signaling path 202 or in response to an explicit signal or command to perform a calibration operation.
  • run-time embedded scoping or production-time testing is used to determine tap data source selection and, optionally, an initial setting of receive equalization tap weights and/or transmit pre-emphasis weights), with transmit-side and receive-side equalization tap weights being adaptively updated thereafter.
  • receive-side tap data sources are selected in a tap search operation that does not require transmission of test waveforms or otherwise interrupt transmission of live data (i.e., live data transmission may continue during the tap search operation).
  • An initial set of receive equalization tap weights may be determined during the tap search operation, then adaptively updated after the tap search operation is completed.
  • embedded scoping may be used to trace the system response to a test waveform and thereby enable determination of the latency, amplitude and polarity of various signal path distortions.
  • the latency of a given distortion once determined, may be used to select one or more tap data sources having corresponding sample latencies, and the amplitude and polarity of the distortion used to determine the tap weight (i.e., sign and magnitude of the tap weight) to be applied to the corresponding tap data value for generation of an equalizing signal contribution.
  • the latency of a given distortion may be used to determine whether to counteract the distortion through transmit pre-emphasis or receive-side equalization (or both), and the overall range of latencies for detected distortions may be used to determine an appropriate dead range for the receive-side equalizer.
  • Embedded scoping involves repeated transmission of a sequence of data values, generation of a corresponding sequence of receive-side data samples for each repeated transmission (i.e., by capturing the transmitted sequence at the receiver), and comparison of each data sample sequence with a known-valid copy of the transmitted sequence of data values to confirm error-free sample generation.
  • the decision threshold e.g., a voltage level or difference between voltage levels
  • the decision threshold used to used to resolve the data sample state is offset from a nominal or calibrated level by a progressively larger amount until a symbol in the sequence no longer matches the expected value.
  • the offset in the decision threshold at which the failure occurs is referred to herein as a pass/fail offset and represents a measure of the signal level at the sampling instant at which the failure occurred.
  • a pass/fail offset represents a measure of the signal level at the sampling instant at which the failure occurred.
  • phase offsets and/or threshold steps may be controlled (e.g., by configuring a programmable circuit or register) to enable the waveform trace to be constrained to selected points of interest in the incoming signal (e.g., ⁇ N° from an intended sampling instant, N representing a sweep angle).
  • Figure 40 illustrates the use of embedded scoping to generate a time-based trace 790 of an incoming data signal 786.
  • the range of decision thresholds applied to sample the incoming signal 786 is indicated by V T
  • the range of phase offsets at which the signal is sampled is indicated by ⁇ .
  • Each sample point within the sweep is indicated by a respective dot within a grid of sample points 785.
  • the sweep may be obtained by stepping the decision threshold through the range of V T values for each value of ⁇ , or, alternatively, by stepping the clock phase through the range of ⁇ values for each value of V T .
  • both the decision threshold and the clock phase may be incremented in each receive-and-confirm iteration.
  • reference numeral 788 indicates a pair of sample values for which a pass/fail condition is detected.
  • a corresponding pass/fail offset (PFO) is determined according to the difference between the calibrated decision threshold level (V T (CAL)) and the average of the decision threshold offsets that yielded the passing and failing data samples, and recorded as a measure of the incoming signal. That is, the pass/fail offset may be used to establish a data point within the trace 790 as shown. After sweeping through all the sample points within the grid 785 (which sweep may be repeated numerous times to obtain an average and to discard statistical outliers), a measure of the incoming signal is obtained as illustrated graphically by the trace 790.
  • Embedded scoping has a number of benefits over traditional signal measurement techniques.
  • the technique is non-invasive (i.e., no probe contact)
  • the electrical characteristics of the system under test are unaltered, thereby yielding potentially more accurate measurement results.
  • the trace is generated from the perspective of the receive circuit itself, meaning that any non-ideal characteristics of the receive circuit are accounted for in the resulting signal trace information.
  • embedded scoping may be used to perform numerous run-time analyses, including determining the latency and amplitude of reflections and other distortions within the signaling system.
  • Figure 41 illustrates an embodiment of a signaling system 800 in which a transmit device 801 and receive device 809 include embedded scoping circuitry that maybe used to determine equalizer tap selections and tap weights.
  • the transmit device 801 includes a pattern generator 803, data selector 805, equalizing transmitter 201 and application logic 802.
  • the application logic 802 performs the core function of the transmitting device (e.g., signal processing, instruction processing, routing control, or any other function) and provides transmit data (TX DATA) to a first input of the data selector 805.
  • TX DATA transmit data
  • the application logic 802 outputs a logic low scope signal 806 (SCOPE) to the data selector 805 to select the transmit data to be passed to the equalizing transmitter 201 for transmission to the receive device 809 via signal path 202 (which may be include or be connected to numerous sources of discontinuity such as connectors, vias, stubs, etc.).
  • SCOPE logic low scope signal 806
  • the application logic 802 drives the scope signal 806 high to enable a scoping mode of operation within the transmit device 801.
  • the data selector 805 selects a repeating sequence of data values (e.g., a repeating pulse data pattern such as: 00100...00100...00100..., though other patterns may be used) generated by the pattern generator 803 to be transmitted to the receive device 809. Transmit pre-emphasis may be disabled within the equalizing transmitter 201 while in scoping mode and/or updates to the transmit pre-emphasis tap weights may be disabled.
  • a repeating sequence of data values e.g., a repeating pulse data pattern such as: 00100...00100...00100..., though other patterns may be used
  • the receive device 809 includes an equalizing receiver 810 to sample the incoming data signal, a pattern register 811 to store a local version of the data samples captured in response to the incoming data signal, a multiplexer 812 to enable the pattern register 811 to be switched between load and barrel-shifting modes, a XOR gate 813 to compare the stored data sample sequence with subsequently generated data sample sequences, and application logic 815 (or other logic) to generate a clock adjust signal (CLK ADJ) and decision threshold adjust signal (THRESH ADJ) to sweep the sampling clock signal and decision thresholds used within the equalizing receiver 810 through their scoping ranges.
  • the application logic 815 additionally builds a trace record (i.e., data indicative of the waveform produced on the signaling path 202 in response to transmission of the test data pattern) based on the output of XOR gate 813.
  • the multiplexer 812 When the receive device 809 is in a scoping mode of operation, the multiplexer 812 is initially set to load the pattern register 811 with the output of the equalizing receiver 810. After a desired sequence of data samples (e.g., a pulse data pattern such as 00010000000000000) is shifted into the pattern register 811, the multiplexer 812 is set to enable the barrel-shifting mode of the pattern register 811. That is, the multiplexer 812 selects the output of the pattern register 811 to be fed back to the input of the pattern register 811 so that the contents of the pattern register 811 are continuously rotated through the pattern register 811 (i.e., a barrel shifting operation).
  • a desired sequence of data samples e.g., a pulse data pattern such as 00010000000000000
  • the sequence of data samples loaded into the pattern register 811 is repeatedly output, bit by bit, to a first input of the XOR gate 813.
  • the data sample sequence received by the equalizing receiver 810 is input to a second input of the XOR gate 813 so that the received sample sequence is compared, bit by bit, with the sample sequence stored within the pattern register 811.
  • the pattern register contents are repeatedly compared with a newly received version of the same data sample sequence (i.e., putatively the same sequence of data samples) to establish iterative receive-and-confirm operation.
  • Any sampling error will result in a mismatch between the sampled data value and the corresponding value within the pattern register and therefore, when compared by XOR gate 813, will result in an error signal being output from the XOR gate 813 to the application logic 815.
  • the application logic 815 may then record the threshold offset and clock phase offset at which the error occurred to a signal level for a timing offset within a waveform trace.
  • Figure 42 illustrates an exemplary waveform trace 818 of a pulse data sequence captured by an embedded scope within the signaling system of Figure 41 .
  • a primary pulse 819 arrives at the receiver at symbol time, T 0 ; a negative reflection 821 of the primary pulse appears at symbol time T 5 and a positive reflection 823 appears at symbol time T 12 .
  • the application logic 815 within receive device 809 may store configuration information within the equalizing receiver 810 (e.g., within the tap control circuit) to select tap data sources having sample latencies of five and twelve symbol times.
  • the application logic 815 may directly output tap select signals to select the desired tap data sources.
  • the application logic 815 may also generate receive-side tap weights according to the amplitude and polarity of the distortions 821 and 823, and output the tap weights to the equalizing receiver 810.
  • Figure 43 is a flow diagram for establishing pre-emphasis tap weights, receiver tap data source selections and receive equalization tap weights within the signaling system 800 of Figure 41 .
  • transmit pre-emphasis tap weights are set to an initial value and/or adaptively updated to establish an open data eye at the equalizing receiver 810 using the techniques described above.
  • adaptation of transmit pre-emphasis tap weights is disabled. Adaptation of the data level threshold used, for example, for error sample generation within the equalizing receiver 810 may also be disabled.
  • embedded scoping is enabled within the equalizing transmitter 201 and equalizing receiver 810 to enable selection of tap data sources and determination of tap weights within the decision feedback equalizer.
  • the embedded scoping operation is initiated by selecting the scoping mode of operation within the transmitter and receiver (838), transmitting and receiving a repeating test pattern at different threshold voltage offsets (839), determining the pass-fail offsets at different timing offsets within the receiver to obtain a waveform trace (840), then selecting the receive equalizer tap data sources and assigning receive equalizer tap weights based on distortions indicated within the waveform trace (841). After the tap data sources are selected and receive equalizer tap weights are assigned at 841, normal operating mode is restored in the receiver and transmitter (842) to complete the embedded scoping operation. After the embedded scoping operation of block 832 is completed, adaptation of transmit pre-emphasis tap weights is re-enabled at block 833. Adaptation of the data level threshold (i.e., the decision threshold for the error sampler) may also be re-enabled at block 833.
  • the data level threshold i.e., the decision threshold for the error sampler
  • the tap data source selection and receive equalizer tap weight values determined in the embedded-scoping operation are not further adjusted, or are adapted occasionally in offline calibration operations.
  • receive equalizer tap weights are adaptively updated. More specifically, as shown in Figure 38 , the adaptive module receives tap data values from the selected tap data sources (as shown by the dashed line designated REW K+1 ) and iteratively generates updated receive equalization tap weights (REW).
  • Figure 44 illustrates an embodiment of adaptive module 763 that may be used in the equalizing receiver 761 of Figure 38 to generate updated transmit pre-emphasis tap weights 266 ( TPW N+1 ) and receive equalization tap weights 866 (REW K+1 ).
  • the adaptive module 763 includes an error sign register 253 to store an error sample (i.e., error sign value e n-1 ), finite state machine 850, transmit pre-emphasis update logic 256 and receive equalization update logic 856.
  • the adaptive module 763 may additionally include circuitry as shown in Figure 7 for adaptive generation of one or more data level thresholds and to control offset cancellation within the data and error samplers 211 and 213 and any other samplers included within the embodiment of Figure 38 (e.g., one or more edge samplers used for clock data recovery). Also, the adaptive module may include additional circuitry for generation of transmit pre-emphasis tap weights and receive equalization tap weights for multi-level input signals as in adaptive module 600 of Figure 22 .
  • the transmit pre-emphasis update logic 256 includes a data sign register 251 and sign multiplier 257 (the sign multiplier itself including exclusive NOR gates 258 1 -258 V ) which operate generally as described above in reference to Figure 7 to generate a set of transmit pre-emphasis update values 260 (i.e., TPUD(1) - TPUD(V)).
  • the transmit pre-emphasis update values are filtered in filter 261 before being supplied to power scaling logic 259.
  • the power scaling logic 259 adjusts the transmit pre-emphasis tap weights 302 (i.e., TPW(1)-TPW(V)) in response to an update signal 282 asserted by the finite state machine 850 (i.e., update transmit pre-emphasis weight, UTPW) and in accordance with the transmit pre-emphasis update values 260, scaling the individual transmit pre-emphasis tap weights 302 to avoid exceeding the power constraint of the equalizing transmitter 201.
  • update transmit pre-emphasis weight, UTPW update transmit pre-emphasis weight
  • the finite state machine 850 may assert the update signal 282 upon determining that the data sign register 251 is fully loaded (or fully reloaded) with a set of data samples, and that the most recently stored data sample, x' n-1 , has a state that corresponds to the data level threshold generated by the adaptive module.
  • the transmit pre-emphasis tap weights 302 are maintained within the adaptive module 763 and supplied to the equalizing transmitter through a back channel (e.g., a dedicated signaling path or out-of-band signaling over signal path 202).
  • the transmit pre-emphasis tap weights 302 are maintained within the equalizing transmitter 201 itself, with the filtered, scaled transmit pre-emphasis update values 260 being provided to the transmit circuit. Power scaling may also be performed within the equalizing transmitter 201 rather than the adaptive module 763.
  • the receive equalizer update logic 856 includes a sign multiplier 857, filter 862 and receive equalization tap weight counters 864 1 -864 M .
  • the sign multiplier 857 includes a bank of M exclusive NOR gates, 858 1 -858 M , each coupled to receive the error sample stored within register 253 and a respective one of the M tap data values 870 (i.e., TD 1 -TD M ) selected by the tap selector 769 of Figure 38 .
  • Each of the exclusive NOR gates 858 1 -858 M effectively multiplies the sign of the error sample, e n-1 , with the sign of a corresponding one of tap data values TD 1 -TD M to generate a respective one of receive equalizer update values 860 (REUD(1)-REUD(M)). That is, each exclusive NOR gate 858 outputs a logic ⁇ 1' update if the error and tap data values have the same state (i.e., same sign) and a logic '0' output if the error and tap data values have a different state.
  • the tap data value and error sample are both ⁇ 1', indicating that the contribution of the logic ⁇ 1' tap data value to the equalization signal should be increased to raise the incoming signal level nearer to the data level threshold (i.e., the logic '1' error sample indicate that the incoming signal is lower than the data level threshold used to generate the error sample).
  • the tap data value and error sample are both '0', indicating that the contribution of the logic '0' tap data value to the equalization signal should be increased to lower the incoming signal nearer to the data level threshold.
  • both of the different-state cases indicate that the contribution of the tap data value to the equalization signal should be reduced.
  • the receive equalization update values 860 are filtered in filtering elements 862 (which may be omitted in alternative embodiments), then supplied to up/down inputs of the tap weight counters 864 1 -864 5 to signal respective count-up and count-down adjustments.
  • the most recently stored data sample and error sample, sgn(x' n-1 ) and sgn(e n-1 ), are provided to the finite state machine 850 which, in turn, asserts an update receive equalization weight signal (DREW) 852 (and may also assert the update transmit pre-emphasis weight signal at the same or different times) to enable the tap weight counters 864 1 -864 M to increment or decrement the receive equalization tap weights in accordance with the filtered versions of receive equalization update signals 860.
  • DREW receive equalization weight signal
  • the finite state machine 850 asserts the DREW signal 852 upon determining that the data sign register 251 is fully loaded (or fully reloaded) with a set of data samples, and that the most recently stored data sample, x' n-1 has a state that corresponds to the data level threshold generated by the adaptive module. That is, if the data level threshold 220 is adapted to the level of logic '1' data, then the error sample, e n-1 , has meaning with respect to x' n-1 if x' n-1 is positive (i.e., the data sample is a logic '1') and is ignored if x' n-1 is negative (i.e., logic '0').
  • the error sample, e n-1 has meaning with respect to x' n-1 if x' n-1 is negative and is ignored if x' n-1 is positive
  • two error samplers may be provided to enable adaptive generation of positive and negative data level thresholds.
  • an error sampler may be provided to generate error information for each different data level.
  • multiple update signals 852 may be output from the finite state machine to respective tap weight counters 864, thereby enabling some receive equalization tap weights to be adjusted while others are held constant.
  • the receive equalization tap weights maintained within the tap weight counters 864 are supplied to respective equalizing drivers within a decision-feedback equalization circuit, for example, as shown in Figure 38 .
  • the tap weight counters are maintained within the tap selector (e.g., element 769 of Figure 38 ) with the receive equalization signals 860 (i.e., REUD[M:1] or filtered instances of such signals) and update signal 852 being output from the adaptive module 763 to control receive equalization tap weight updates.
  • receive equalization signals 860 i.e., REUD[M:1] or filtered instances of such signals
  • update signal 852 being output from the adaptive module 763 to control receive equalization tap weight updates.
  • one or more clock signals e.g., a sampling clock signal
  • Figure 45 illustrates an exemplary configuration operation that may be executed during transmission of live data to establish transmit pre-emphasis tap weights and receive equalization tap data source selections and tap weights.
  • reflection cancellation tap weights are reset (e.g., zeroed), effectively disabling receive-side equalization.
  • the transmit pre-emphasis tap weights and data level threshold i.e., receive side decision threshold
  • the transmit pre-emphasis tap weights and data level threshold are adapted (e.g., as described in reference to Figure 7 ) at least until an open data eye is established at the receiver and, optionally, until the transmit pre-emphasis tap weights and/or data level threshold converge to stable values.
  • adaptation of the transmit pre-emphasis tap weights is disabled (e.g., by asserting or deasserting a control signal provided to the finite state machine 850 of Figure 44 ) and, at block 878, a receive equalizer tap search is executed.
  • Execution of the tap search results in selection of M tap data sources (M being an integer greater than zero) to source data samples to the equalizing driver bank and, optionally, the adaptive module.
  • Execution of the tap search at block 878 may also yield receive equalizer tap weight settings that correspond to the selected tap data sources. In that case, the nonzero receive equalizer tap weight settings tends to change the signaling level perceived by the equalizing receiver.
  • the receive equalization tap weights generated during the tap search at block 878 that are not further adjusted (or that are only adjusted during occasional calibration operations).
  • adaptation of the transmit pre-emphasis tap weights is re-enabled at block 880, while the receive equalization tap weights are held steady or adjusted in occasional calibration operations.
  • the receive equalization tap weights generated during the tap constitute initial values that are adaptively adjusted thereafter. In that case, in addition to re-enabling adaptation of the transmit pre-emphasis tap weights at block 880, adaptation of receive equalization tap weights is enabled at block 881.
  • the receive equalization tap weights are reset after the receive equalizer tap search at block 878, and thereafter are adapted at block 881.
  • Figure 46 illustrates an exemplary receive equalizer tap search.
  • tap data source index 'i', equalizer tap index 'j' are initialized to one (1), and maximum tap weight (MTW) and tap selection index (TSI) values are initialized to zero.
  • tap data source i is selected to drive equalizer tap j which, in the initial iteration, results in equalizer tap one (i.e., equalizing driver 766 1 in Figure 38 ) being sourced by the data sample stored in the least latent tap data source.
  • equalizer tap one i.e., equalizing driver 766 1 in Figure 38
  • the tap weight for equalizer tap j is adapted until a convergence condition is reached (herein, reaching a convergence condition refers to, for example, detecting a tap weight dithering condition, adjusting a tap weight a predetermined number of times or other indication that the tap weight search should be halted) while the tap weights for the remaining equalizer taps are held constant.
  • reaching a convergence condition refers to, for example, detecting a tap weight dithering condition, adjusting a tap weight a predetermined number of times or other indication that the tap weight search should be halted
  • the tap weight for equalizer tap j is compared with the maximum tap weight value (MTW).
  • the tap data source index, i is incremented to select the next tap data source. If, at decision block 891, the incremented tap data source index is determined not exceed the number of tap data sources, N, the operations at blocks 886, 887, 888, 890 and, if necessary, 889 are repeated for the new tap data source index.
  • each of the N tap data sources is selected one after another to source equalizer tap j, thereby enabling an adaptive tap weight for each of the N tap data sources.
  • the MTW value represents the maximum tap weight generated during iteration of blocks 886-890, and the TSI value indicates the tap data source that yielded the maximum tap weight.
  • the tap data source that yielded the maximum tap weight i.e., the tap data source indicated by the TSI value
  • the tap data source indicated by the TSI value is selected to drive equalizer tap j and the MTW value is loaded into the corresponding tap weight register (e.g., one of tap weight counters 864 of Figure 44 ) to establish an initial tap weight setting.
  • one of the j equalizer taps is assigned the tap data source that yielded a maximum tap weight in the preceding search, and initialized with the maximum tap weight.
  • a subsequent tap search i.e., for a different equalizer tap
  • a subsequent tap search should yield a sufficiently low tap weight value to prevent multiple equalizer taps from being assigned the same tap data source. If not (e.g., as in the case of a severe distortion at a given sample latency), then two or more equalizer taps may be assigned the same tap data source.
  • the tap search controller e.g., a state machine, microsequencer or other control circuitry or device
  • the assignment of tap data sources and initial tap weights to the different equalizer taps may be carried out all at once after all such tap data sources and initial tap weight settings have been determined. That is, the operations at block 892 may be deferred until after a tap data source index and corresponding maximum tap weight have been determined for each equalizer tap.
  • the equalizer tap index, j is incremented to select the next equalizer tap, and the tap data source index, i, TSI value and MTW register 935 are reset in preparation for the tap search operation for the next equalizer tap.
  • the equalizer tap index, j is compared with the total number of equalizer taps, M, to determine if tap search operations have been completed for all equalizer taps. If so, the overall tap search operation is completed. Otherwise, a tap search operation for the equalizer tap indicated by index j is executed starting at block 886.
  • FIG 47 illustrates an embodiment of a decision-feedback equalizer 900 that may be used to execute the tap search operations described in reference to Figure 46 .
  • the decision feedback equalizer 900 includes a shift register 768, tap selector 769 and equalizing driver bank 765. Sampled data values are loaded into the shift register 768 as described in reference to Figure 38 with individual storage elements of the shift register 768 being selected as tap data sources TD 1 -TD M by the tap selector 769 and used to source tap data values to equalizing drivers 766 1 -766 M , respectively, within the equalizing driver bank 765.
  • the tap selector 769 includes a select circuit 770 and tap control circuit 771.
  • the select circuit 770 includes a switch matrix formed by column lines 905 and row lines 908, the row lines being referred to herein as tap data lines.
  • Each storage element within the shift register 768 i.e., each tap data source
  • each of the tap data lines 908 is coupled to provide a corresponding one of tap data values TD 1 -TD M to the tap data input of a respective one of the equalizing drivers 766 1 -766 M .
  • the tap data lines 908 may additionally be coupled to the adaptive module of Figures 33 or 39 to enable receive equalization tap weights to be adaptively updated.
  • a switch element 907 is provided at each row-column intersection within the select circuit 770 to enable the tap data source for the column to be selectively coupled to the tap data line 908.
  • the tap control circuit 771 outputs a respective one of multi-bit enable signals E 1 -E N (906) to each column of switch elements 907.
  • each enable signal 906 includes M component signals coupled respectively to the M switch elements 907 within a corresponding column.
  • E 1 [M:1] are set to '100..00'.
  • E i [j] is set to '1' to switchably couple column line i, to tap data line, j.
  • the M tap data outputs may be selected from among the complete range of tap data sources within the shift register 768.
  • Logic low enable signals 906 may be used to activate switch elements 907 in alternative embodiments.
  • switch element 907 is formed by a transistor 909 having source and drain terminals coupled between tap data line 908 j and column line 905 i as shown at 904.
  • the gate terminal of transistor 909 is coupled, accordingly, to receive the j th component signal of enable signal 906 i (i.e., E;[j]) so that when the E i [j] is high (i.e., indicating that j th tap data output is to be sourced by the data value at the i th position within the shift register 768), transistor 909 is switched on to couple the selected tap data source to the tap data output.
  • Other types of switching elements may be used in place of transistor 909 in alternative embodiments.
  • the tap control circuit 771 includes tap search logic 911 (TSL), tap select decoder 910 (TSD) and register bank 914.
  • the tap search logic 911 includes a finite state machine that receives the receive equalization update signals 860 and update strobe signal 852 (i.e., update receive equalization weight, DREW) from an adaptive module and outputs a set of select signals S 1 -S M to the tap select decoder 910 and register control signals C 1 -C M and command strobe signal 912 (CS) to the register bank.
  • a sampling clock signal 210 or other timing signal may additionally be provided to the tap search logic 911 to time state transitions and control signal generation therein.
  • the tap search logic 911 outputs the register control signals, C 1 -C M , in various states to initiate increment/decrement, clear and load operations in tap weight registers 915 1 -915 M , respectively, within the register bank 914. Similarly, the tap search logic 911 outputs each of the select signals S 1 -S M in a state that specifies one of N tap data sources, the select signal being decoded into a corresponding one of the enable signals E 1 -E M by the tap select decoder 910. In an alternative embodiment, discussed below, fewer than M select signals may be provided to the tap select decoder 910 to select tap data sources in one or more groups. Also, the tap search logic 911 may alternatively be implemented by stateless logic (e.g., controlled by a finite state machine within the adaptive module), control sequencer or other type of control circuitry.
  • the tap search logic 911 includes a tap search index (TSI) register, maximum tap weight (MTW) register and loop index buffer (LIB) to store, respectively, the tap search index, maximum tap weight and loop indices 'i' and 'j' described in reference to Figure 46 .
  • the tap search logic 911 also includes (and may initially clear) a search result buffer (SRB) to store tap data source indices determined during iteratively performed tap search operations.
  • SRB search result buffer
  • the tap search logic 911 clears the TSI register, MTW register 935 and initializes the loop indices i, and j (i.e., state variables used to represent the currently selected tap data source and equalizer tap, respectively) at the beginning of a search operation, then issues a select signal S j (i.e., S 1 initially) in a state to select the least latent tap data source.
  • the tap search logic 911 also outputs control signals C 1 -C M to clear each of the tap weight registers 915 1 -915 M .
  • the tap weight registers 915 1 -915 M may be automatically cleared during device power up or may be left unchanged at the start of the search operation.
  • the tap search logic 911 After initializing the various registers (i.e., TSI register, MTW register 935 and tap weight registers 915 1 -915 M ) and loop indices, the tap search logic 911 outputs an adjust signal (i.e., a component signal of control signal C j ) in either a count-up or count-down state to tap weight register 915 j according to the state of the corresponding receive equalization update signal, REUD(j], and asserts the command strobe signal 912 in response to the UREW signal 852.
  • an adjust signal i.e., a component signal of control signal C j
  • the tap search logic 911 monitors the control signal used to increment and decrement the tap weight in register 915 j to determine when the tap weight has converged to a stable level (e.g., tap weight begins to dither within a predetermined number of increment/decrement steps), then compares the tap weight with the value of the MTW register 935. If the tap weight is greater than the value stored within the max tap weight register, the tap weight is transferred from tap weight register 915 j to the MTW register 935 to establish an updated maximum tap weight.
  • a stable level e.g., tap weight begins to dither within a predetermined number of increment/decrement steps
  • a temporary weight register within the tap search logic 911 is used to track the value generated within the selected tap weight register 915 j , thus simplifying determination of whether the content of the tap weight value in register 915 j is greater than the value in the MTW register 935 (i.e., the temporary register content and MTW register 935 content may be compared) and, if necessary, transfer of a new maximum tap weight into the MTW register 935.
  • a multiplexer may be used to select a tap weight from one of registers 915 1 -915 M (i.e., from tap weight register 915 j ) to be provided to the tap search logic 911 for comparison with (and to overwrite, if necessary) the content of the MTW register 935.
  • the MTW register 935 is loaded with a new maximum tap weight value
  • the TSI register is loaded with the corresponding tap data source index, i.
  • the content of the TSI register is loaded into the search result buffer at the conclusion of the tap search for the j th equalizer tap and thereafter used to generate select signal Sj.
  • the maximum tap weight value in the MTW register 935 may be loaded into the tap weight register 915 j to establish an initial tap weight setting for the j th equalizer tap. Thereafter, the equalizer tap index j is incremented to select the next equalizer tap, and the MTW register 935, TSI register and tap data source index, i, are reset in preparation for another tap search operation.
  • the tap search operation is completed, and the tap search logic 911 begins updating all the tap weight registers 915 1 -915 M in response to the DREW signal 852 and according to respective receive equalization update values 860.
  • the tap search logic 911 executes the above-described tap search during system initialization, for example, in response to assertion of a reset signal, or in response to an initial assertion of the receive DREW signal 852. Also, the tap search logic 911 may output a search-complete signal to the adaptive module upon completion of the search operation, thereby enabling the adaptive module to resume adaptation of the transmit pre-emphasis tap weights and data level threshold.
  • application logic within the device containing the equalizing receiver may issue control signals to the adaptive module and the tap search logic 911 to time the sequence of configuration events, including enabling and disabling adaptation of the transmit pre-emphasis tap weights and data level threshold, enabling and detecting completion of the tap search operation, and re-enabling adaptation of the transmit pre-emphasis tap weights and data level threshold when the tap search operation is complete.
  • Figure 48 illustrates a tap control circuit 925 according to an alternative embodiment and its interconnection to an equalizing driver bank 765.
  • the tap control circuit 925 includes a register bank 930, tap select decoder 910, summing circuit 932 and tap search logic 927.
  • the tap select decoder 910 decodes select signals S 1 -S M to generate a set of enable signals E 1 -E N (i.e., the enable signals to select a subset, M, of N tap data sources to source tap data values TD 1 -TD M ), and the register bank 930 includes a set of tap weight registers 931 1 -931 M to store tap weights for corresponding equalizing signal drivers 766 1 -766 M within the equalizing driver bank 765.
  • the tap search logic 927 includes a finite state machine 933 (FSM), search result buffer 928, temporary weight (TW) register 937, maximum tap weight (MTW) register 935 and comparator 938.
  • the tap weight registers 931 1 -931 M within register bank 930 are initially cleared, effectively disabling the equalizing drivers 766 1 -766 M from generating equalizing signal contributions.
  • the contents of the TW register 937 and tap weight register 931 M are summed in summing circuit 932 to provide a summed tap weight value to equalizing driver 766 M .
  • the TW register 937 is enabled to control the tap weight provided to equalizing driver 766 M during tap search operations (In an alternative embodiment, a multiplexer or other select circuit may be used to select either the TW register 937 or the tap weight register 766 M to drive the equalizing driver 766 M )
  • the FSM 933 initially issues register control signals (e.g., TCTL and MCTL) to zero the contents of the TW register 937 and MTW register 935, and also clears internal state variables used to hold a tap search index (TSI) 940, temporary index (TI) 941, tap source selection index, i, and equalizer tap index, j.
  • the FSM 933 then asserts a load control signal, LD(M) (a component of search control signal (SCTL)), to load the current tap search index 940 into result register 929 M within the search result buffer 928, thereby selecting the lowest latency tap data source to provide a tap data value to equalizing driver 766 M .
  • LD(M) a component of search control signal (SCTL)
  • SCTL search control signal
  • the FSM 933 adaptively updates the TW register 937 (i.e., by assertion of a count-up or count-down indication in the TCTL signal) in response to assertion of the UREW signal and according to the state of REUD[j].
  • the tap weight within the TW register is supplied to the equalizing driver 766 M via summing circuit 932, so that the adaptive tap weight updates are effectively fed back to affect data and error sign decisions.
  • the values stored in the TW register 937 and MTW register 935 are supplied to respective inputs of the comparator 938 which, in response, generates a compare result signal 939 that indicates whether the magnitude of the tap weight within the TW register 937 exceeds the magnitude of the tap weight magnitude in the MTW register 935.
  • the compare result signal 939 is supplied to the FSM 933 which, upon determining that the tap weight within the TW register 937 has stabilized (i.e., dithering by a threshold number of counts), evaluates the state compare result signal 929.
  • the FSM 933 asserts the MCTL signal to transfer the tap weight from the TW register 937 into the MTW register 935 and also copies the tap search index 940 into the temporary index 941.
  • the MTW register 935 will contain the maximum-magnitude tap weight generated during the tap search operation for equalizer tap j and the temporary index 941 indicates the corresponding tap data source.
  • the FSM 933 asserts the appropriate control signals (SCTL and C j ) to load the temporary index into register 929 j within the search result buffer 928 and to load the maximum tap weight value into the tap weight register 931 j .
  • the equalizer tap index, j is then incremented to perform a tap search for the next equalizer tap.
  • the content of the TW register 937 is cleared to avoid affecting the tap weight generated by the summing circuit 932.
  • tap search operations may be repeated periodically or in response to detecting selected events (e.g., change in system configuration, operating conditions, threshold error rate).
  • selected events e.g., change in system configuration, operating conditions, threshold error rate.
  • an extra receive equalizer tap may be provided to enable substantially continuous background tap searching. Referring to Figure 48 , for example, instead of using equalizing driver 766 M for tap search operations, a dedicated equalizing driver 766 S may be used to drive a component equalization signal.
  • the lowest valued equalizer tap weight generated during the search may be loaded into the MTW register 935 (or the content of the MTW register 935 generated in the tap search for equalizer tap M may be assumed to be the lowest equalizer tap weight) and compared on an ongoing basis with the tap weight adapted for equalizing driver 766 S and stored in the TW register 937. If the content of the TW register 937 is determined to exceed the lowest-valued equalizer tap weight, then a more optimal tap data source has been found.
  • the content of the TW register 937 may be used to overwrite the content of the appropriate tap weight register (i.e., the tap weight register containing the lowest-valued equalizer tap weight) and the temporary index transferred to the corresponding search result register 929 to select the more optimal tap data source.
  • Background tap searching may be carried out in this manner on a continual basis, periodically or in response to selected events.
  • the summing circuit 932 may be omitted, and the additional tap data value supplied to the equalizing driver 766 S (i.e., TD S ) may also be supplied to the adaptive module to enable generation of a corresponding receive equalization update signal, REUD[S].
  • each of the tap data lines 908 is switchably coupled to each of the N possible tap data sources within the shift register 768. While such an arrangement enables each equalizer tap to be driven by any of the N tap data sources, the relatively large number of switched interconnections between a given tap data line 908 and the shift register 768 increases the capacitance of the tap data line 908, potentially limiting the lowest latency tap data source that may be used (i.e., due to the time required to deliver the tap data value to the equalizing driver bank 765).
  • each tap data line 908 is switchably coupled to a respective subset (i.e., group) of the total set of tap data sources to reduce the number of switched interconnections between tap data lines 908 and tap data sources.
  • Figure 49 illustrates an embodiment of a select circuit 950 in which multiplexers, 951 1 -951 5 , are each coupled to a respective subset of four storage elements within a 20-element shift register in a round-robin fashion. More specifically, multiplexer 951 1 has four inputs coupled, respectively, to every fifth storage element within the shift register, starting at element 1 (i.e., 1, 6, 11, 16).
  • multiplexer 951 2 The inputs of multiplexer 951 2 are similarly coupled to every fifth storage element within the shift register starting at element 2 (i.e., 2, 7, 12, 17) and so forth to multiplexer 951 5 which has inputs coupled to every fifth storage element within the shift register starting with element 5 (i.e., 5, 10, 15, 20) (note that the tap data source connections to multiplexers 951 2 -951 5 are not specifically shown in Figure 49 to avoid obscuring the interconnection pattern).
  • any of the tap data sources may be selected, yet each tap data line 908 is switchably coupled to only four tap data sources instead of twenty.
  • the distribution of tap data sources to multiplexers may be different in alternative embodiments.
  • the first four tap data sources may be coupled to multiplexer 951 1 , the second four to multiplexer 951 2 , and so forth. Also, the ratio of multiplexers to tap data sources may be higher or lower in alternative embodiments.
  • each of the multiplexers 951 1 -951 5 may be signaled, by issuance of appropriate selects signals, S 1 -S 5 , to select a tap window that spans symbol times 5-9.
  • a tap window (or multiple tap windows) formed by a set of M adjacent tap data sources is selected to source tap data values to the equalizing driver bank.
  • a single tap window index (TWI) may be generated by the tap search logic and supplied to a tap select decoder to generate a corresponding set of select signals, S 1 -S M .
  • Figure 50 illustrates a logic table 955 for decoding a tap window index into a corresponding set of five select signals S 1 -S 5 .
  • the tap window index ranges from 1 to 16 to enable selection of each possible tap window; each incrementally higher tap window index selecting an incrementally shifted tap window as shown by the designations TW1, TW2, TW3, ..., TW14, TW15, TW16 above shift register 768 in Figure 49 .
  • the tap source selected by a given select signal is shown in parenthesis adjacent the corresponding select signal.
  • multiple tap window indices may be decoded into multiple sets of select signals.
  • Figure 51 illustrates an exemplary tap window search operation.
  • a tap window index (TWI) and temporary index (TI) are initialized to 1, and a maximum aggregate weight (MAW) value is initialized to zero.
  • the tap window index is used to select a set of M adjacent tap data sources (e.g., as shown in Figure 50 ) to source tap data values to an equalizer bank (e.g., equalizer bank 765 of Figure 38 ).
  • an equalizer bank e.g., equalizer bank 765 of Figure 38 .
  • the tap weights for the equalizer taps sourced by the selected tap window are adapted in response to the DREW signal and according to the receive equalizer update (REUD) signals generated by the adaptive module until a convergence condition is detected at all equalizer taps.
  • an aggregate weight formed by a sum of the magnitudes for each of the equalizer tap weights i.e., ⁇
  • the maximum aggregate weight is compared with the maximum aggregate weight. If the aggregate weight for the current tap window index (i.e., the current aggregate weight) is greater than the maximum aggregate weight, then at block 963, the current aggregate weight is made the new maximum aggregate weight and the tap weight index is recorded in the temporary index.
  • the tap window index is incremented to select the next tap window and, if the tap window index has not been incremented past the last valid tap window index, the operations at blocks 961, 962, 964 and, when appropriate, 963 are repeated.
  • the temporary index contains the tap window index that yielded the maximum aggregate tap weight. Accordingly, at block 966, the tap window index is assigned the value of the temporary index to complete the tap window search.
  • the tap weights generated for the desired tap window may be reloaded into the tap weight registers to establish an initial condition, as indicated at block 967.
  • the tap weight registers may be cleared at block 967 to allow the tap weights for the desired tap window index to be adaptively regenerated.
  • Figure 52 illustrates an embodiment of a tap window control circuit 975 having tap window search logic 977, tap select decoder 910, register bank 914 and magnitude summing circuit 979.
  • the tap window search logic 977 includes a finite state machine 980, index decoder 983, maximum aggregate weight (MAW) register 981, tap window index (TWI) register 985, temporary index (TI) register 987 and comparator 982.
  • the finite state machine 980 initially issues control signals to the MAW register 981 and TWI register 985 (i.e., MCTL and ICTL, respectively) to set those registers to initial values (e.g., zero the MAW register and set the TWI register to an initial tap window index).
  • the FSM 980 also issues control signals C 1 -C M to tap weight registers 915 1 -915 M within the register bank 914 to zero the contents of those registers.
  • the TWI register is initialized with a tap window index that selects the lowest-latency tap window (e.g., the first set of M tap data sources within the shift register 768 as shown in Figures 44 and 45 ) to source tap data values to the equalizing driver bank.
  • the FSM 980 issues increment/decrement signals (i.e., via control signals C 1 -C M ) to the tap weight registers in response to UREW signal 852 from the adaptive module and in accordance with receive equalization update signals 860 (REUD[M:1]).
  • the tap weight values within registers 915 1 -915 M are each iteratively and adaptively adjusted up or down, eventually reaching convergence conditions (e.g., dithering up and down by a predetermined number of steps as discussed above).
  • the tap weights within registers 915 1 -915 M are supplied to the equalizing driver bank as shown, for example, in Figure 47 , and the magnitude components of the tap weights are supplied to the magnitude summing circuit.
  • the magnitude summing circuit sums the tap weight magnitudes received from the tap weight registers 915 1 -915 M and outputs the resulting aggregate weight value 984 to a first input of the comparator 982.
  • the maximum aggregate weight stored within of the MAW register 981 is supplied to the second input of the comparator which, in turn, generates a compare result signal 939 that indicates whether the aggregate weight 984 exceeds the maximum aggregate weight stored within the MAW register 981.
  • the FSM 980 evaluates the compare result signal 939 and, if the aggregate weight 984 is indicated to exceed the maximum aggregate weight, issues control signals MCTL and TCTL to load the aggregate weight 984 into the MAW register 981 as the new maximum aggregate weight and to load the corresponding tap window index from the TWI register 985 into the TI register 987.
  • the FSM 980 increments the tap window index in the TWI register (e.g., by issuing an appropriate index control signal or signals (ICTL)) to enable adaptation of tap weights for the next tap window.
  • the FSM 980 may clear the contents of the tap weight registers 915 1 -915 M after each increment in the tap window index or, alternatively, allow the tap weight registers to begin converging from the values generated for the previous tap window index. In either case, after tap weights have been adaptively generated for each tap window index (with the MAW register 981 and TI 987 register being updated when a new maximum aggregate weight is generated), the TI register 987 contains the index of the tap window that yielded the overall maximum aggregate weight.
  • the content of the TI register 987 is loaded into the TWI register 985, thereby selecting the tap window that yielded the maximum aggregate weight to source tap data values to the equalizing driver bank.
  • the contents of the tap weight registers 915 1 -915 M may be cleared at the conclusion of the tap window search operation or may retain the values held at the conclusion of the tap window search operation.
  • the tap weight registers 915 1 -915 M may be loaded with tap weight values from the temporary registers.
  • multiple tap window search operations may be carried out one after another to determine tap window indices.
  • a signal reflection may itself generate a signal reflection, referred to herein as a secondary reflection, that arrives at the receiver input some number of symbol times after the original, primary reflection.
  • a tap data source selection and corresponding tap weight that cancels a primary reflection may also cancel the secondary reflection even though no equalizer tap is assigned a tap data source having a sample latency that corresponds to the secondary reflection. This phenomenon presents a challenge for tap window selection as an equalizer tap selected to cancel a primary reflection may incidentally cancel a secondary reflection, thus providing a distortion mitigating benefit that is not reflected by the equalizer tap weight alone.
  • the distortion-mitigating value of a given tap window selection may not be accurately represented by the corresponding maximum aggregate weight. More specifically, a first tap window selection that results in cancellation of a primary reflection of magnitude X as well as cancellation of a secondary reflection having magnitude Y, will yield an aggregate weight that corresponds to X only, not X+Y as is actually achieved. Thus, if a second tap window selection yields an aggregate weight that exceeds X, but is less than X+Y, the second tap window may be selected as the final tap window on the basis of the higher aggregate weight even though it provides less distortion mitigation than the first tap window. In alternative embodiments, discussed below, metrics other than aggregate tap weight are used to reduce the possibility for non-optimal tap window selection.
  • tap window search operations data and error samples generated by the samplers 211 and 213 of Figure 38 (or other samplers described herein) are used to determine the relative magnitudes of data eye openings for different tap window settings.
  • the tap window that yields the maximum eye opening may then be selected as the final tap window.
  • the tap window that yields the tallest, widest or largest-area eye opening is selected as the final tap window.
  • Figure 53 illustrates a graph of a data eye 1000 generated by sampling a sequence of differential signal transmissions at different phase offsets relative to the nominal sampling time and/or different voltage offsets relative to a nominal decision threshold. Such a graph is sometimes referred to as a schmoo plot. As indicated by shaded region 1001, the dimension of the data eye has a statistical height and width uncertainty that reflect various signal distortions due to the present and historical state of the signaling line and, potentially, due to the state of one or more neighboring signal lines (i.e., crosstalk).
  • data level thresholds, DLEV+ and DLEV- are adaptively driven to the respective means of the upper and lower uncertainty regions and, using the clock alignment techniques described above, the sampling clock transition may be aligned between the means of the right and left uncertainty regions.
  • the offset between the data level thresholds indicate an average eye height
  • the average does not express the widths of the upper and lower (or left and right) uncertainty regions and therefore does not indicate the extent of the eye opening.
  • the inner boundary 1003 of the uncertainty region referred to herein as an error boundary, reflects the extent of the data eye opening and, if determined, may be used to assess the comparative effectiveness of different tap window selections.
  • error samples are resolved to either a logic '1' or logic '0' state according to whether the data level threshold compared with the incoming signal exceeds or is less than the incoming signal, respectively.
  • DLEV- lower data level threshold
  • an input signal falling between the upper and lower data level thresholds yields same-state data and error samples
  • an input signal falling outside the data level thresholds i.e., having a level above the upper data level threshold or below the lower data level threshold
  • the foregoing characteristics of the error and data sample generation are used to search for the upper and lower error boundaries and thereby obtain a measure of the data eye height for a given tap window selection. More specifically, by stepping the data level threshold from a value known to be inside the error boundary toward an incrementally more positive value, a relative value of the error boundary itself may be determined by inspecting an exclusive-OR combination of data and error samples at each step and identifying the data level threshold at which the incoming signal yields a sample lower than the data level threshold (i.e., exclusive-OR result is zero).
  • Figure 54 illustrates an exemplary error-boundary search operation in a receiver having a single error sampler and corresponding data level threshold, DLEV.
  • the data level threshold is first incremented in a positive direction by a step size ⁇ step'. So long as the data level threshold remains below the upper error boundary, the data and error samples will have different states and therefore yield a logic '1' exclusive-OR result.
  • the data level threshold may be reset to zero (or to -step) and thereafter stepped in negative increments to determine the value of the lower error boundary, the measure of the eye height being recorded as f + g, where g is the number of negative steps required to reach the lower boundary.
  • the upper and lower boundaries may alternatively be located by stepping the data level threshold from an initial value outside the error boundary or within the uncertainty region (on both the positive and negative sides of the eye) to a final value that falls just inside the error boundary. However, determined, the measurement of the eye height may be compared for each possible tap window selection to determine the tap window that yields the maximum eye height.
  • multiple eye height measurements are made, each at different clock phase offsets (PO) within data eye 1000, thereby providing a measure of the open eye area for a given tap window selection. That is, the eye height measurement described in reference to Figure 54 is carried out at an initial phase offset, PO(0), then repeated at incrementally more positive phase offsets, with the eye height determined at each phase offset being accumulated into an eye area value. When a phase offset yields a zero eye height, the prior phase offset is deemed to be the final phase offset in the positive direction, and the process is repeated in the negative phase offset direction to complete the eye area measurement.
  • PO clock phase offset
  • PO(a) is the final phase offset in the positive phase offset direction and PO(b) is the final phase offset in the negative phase offset direction.
  • the eye areas determined for each possible tap window selection may be compared to determine the tap window that yields the maximum eye area.
  • an eye height measurement is initiated at each phase offset, then aborted upon determining that the eye height is nonzero or greater than a predetermined value.
  • the positive and negative phase offsets at which zero eye height (or eye heights less than the predetermined value) are detected are used as a measure of the eye width.
  • the eye width may be recorded as a+b to indicate a relative measure of eye width.
  • eye widths may be determined for each possible tap window selection and compared to determine the tap window that yields the maximum eye width.
  • Figure 56 illustrates an embodiment of a tap window search controller 1050 that searches for a tap window that provides a maximum eye opening (e.g., maximum eye height, width or area).
  • the tap window search controller 1050 includes a finite state machine 1060, excusive OR gate 1085, trap circuit 1087, tap window index (TWI) register 1071, temporary index (TI) register 1073, maximum eye (MB) register 1061, accumulator 1063, positive error boundary (PEB) register 1067, negative error boundary (NEB) register 1069, summing circuit 1083, difference circuit 1081, comparator 1065, multiplexer 1075 and index decoder 983.
  • TWI tap window index
  • TI temporary index
  • MB maximum eye
  • PEB positive error boundary
  • NEB negative error boundary
  • the finite state machine 1060 receives a search enable signal 1058 (SE) from application logic or other control circuitry, a sampling clock signal 210 (or other clock signal) and equalization weights (REW) 1062 (or, alternatively filtered or unfiltered receive equalization update signals (REUD) 860 as in the embodiment of Figure 47 ) from an adaptive module 1051.
  • SE search enable signal
  • REW equalization weights
  • REUD receive equalization update signals
  • the adaptive module 1051 is enabled to adapt the data level threshold 220, transmit pre-emphasis tap weights 1064 (TPW) and receive equalizer tap weights 1062 until convergence conditions are detected.
  • the FSM 1060 receives both the receive equalization tap weights 1062, transmit pre-emphasis tap weights and adapted data level (ADLEV) from the adaptive module and is able to discern the convergence condition based on stabilization of those values.
  • the receive equalization update values (REUD) and/or transmit pre-emphasis update values (TPUD) are provided to the FSM 1060 instead of the tap weights themselves.
  • the adaptive module 1051 itself may determine when a convergence condition has been reached and signal the FSM 1060 in response.
  • the FSM 1060 issues a suspend-adaptation signal (SA) 1054 to the adaptive module 1051 to disable adaptation of the receive equalization tap weights 1062, transmit pre-emphasis tap weights 1064, and data level threshold 220.
  • SA suspend-adaptation signal
  • the FSM 1060 initializes state variables and the accumulator 1063 in preparation for an eye area search. More specifically, the FSM 1060 asserts the appropriate accumulator control signals (ACTL) to reset the accumulator 1063 to zero, and initializes a phase control increment (PCI) to +1 and a phase control offset (PCO) to zero.
  • SA suspend-adaptation signal
  • PCI phase control increment
  • PCO phase control offset
  • the FSM 1060 outputs the PCO value to the timing source as shown at 1056 to establish the PCO-indicated phase offset in the sampling clock signal 210.
  • the PCO value may be summed with the interpolation control word within the interpolator 687 of Figure 25 to generate a sampling clock signal 210 having the desired phase offset.
  • the FSM 1060 initializes additional state variables and the positive error boundary (PEB) register 1067 in preparation for an eye height search at the phase offset indicated established by the PCO value.
  • the FSM 1060 clears a search data level (SDLEV) value to zero, sets a data level increment (DLI) to +1, and points a storage register pointer, SP at the positive error boundary register 1067.
  • the FSM 1060 executes a data level search generally as described in reference to Figure 54 and by carrying out the operations shown at blocks 1131-1141.
  • the FSM 1060 initializes the data level search by asserting a data level search signal 1074 (DLS) at block 1131.
  • the data level search signal 1074 is supplied to the control input of multiplexer 1075 which receives the search data level (SDLEV) and data level threshold 220 (DLEV) as inputs and outputs either SDLEV or DLEV to the error sampler as a multiplexed data level threshold (MDLEV) 1052. More specifically, when the data level search signal 1074 is asserted, the multiplexer 1075 selects the search data level, SDLEV, to source the multiplexed data level threshold 1052 supplied to the error sampler.
  • SDLEV search data level
  • DLEV data level threshold 220
  • MDLEV multiplexed data level threshold
  • a predetermined number (Q) of pairs of data and error samples are captured, exclusive-ORed in gate 1085 and supplied to the trap circuit 1087.
  • the state of the trap circuit 1087 is evaluated to determine whether a predetermined number same-state data and error samples have been generated (i.e., indicating that a positive data sample resulted from a signal level below the data level threshold, or that a negative data sample resulted from a signal level above the data level threshold).
  • the trap circuit 1087 asserts a boundary-found signal 1088 upon detecting a single instance of same-state data and error samples (e.g., by latching a low going output of the exclusive-OR gate 1085).
  • the trap circuit 1087 includes a counter that is incremented in response each same-state data/error sample indication and asserts the boundary-found signal 1088 if a threshold number of same-state indications are detected. In either case, if the boundary-found signal 1088 is not asserted (determined in decision block 1135), the FSM 1060 increments the search data level by the data level increment as shown at block 1137, and the sample generation and trap circuit evaluation operations at blocks 1133 and 1135 are repeated. By this operation, the search data level is iteratively adjusted (i.e., upward when DLI is positive) until the boundary-found signal 1088 is asserted.
  • the FSM 1060 issues control signals to load the register pointed to by the register pointer (i.e., the PEB register 1067 in the initial data level search) with the value of the search data level (e.g., a digital value used to create the data level threshold).
  • the data level search signal 1074 is then deasserted at block 1141.
  • the FSM 1060 initializes internal state variables in preparation for a search for the lower error boundary.
  • the search data level is reset to zero
  • the data level increment is set to a negative value (-1 in this example)
  • the register pointer is pointed at the negative error boundary (NEB) register 1069.
  • a data level search is executed at block 1116 to find the lower error boundary (i.e., performing the operations at blocks 1131-1141 to decrement the search data level until a threshold number (or one) of same-state data/error samples are found) and load the corresponding search data level value into the NEB register 1069.
  • the upper and lower error boundaries within the PEB and NEB registers 1067 and 1069 are supplied to difference circuit 1081 which subtracts the boundaries to generate an eye height value 1082. This operation is shown in Figure 57 at block 1118.
  • the eye height value 1082 is supplied to the FSM 1060 and to the summing circuit 1083.
  • An accumulated eye area stored within the accumulator 1063 is also supplied to the summing circuit 1083 to enable the summing circuit 1083 to generate an updated accumulated eye area 1084 that includes the eye height value 1082 output from the difference circuit 1081.
  • the summing circuit output is coupled to an input of the accumulator 1063 to enable the updated accumulated eye area 1084 to be loaded into the accumulator 1063.
  • the FSM 1060 manages the eye area accumulation operation by determining whether the eye height value 1082 generated for a given phase control offset (PCO) is positive (i.e., indicating an open eye at that PCO) before enabling the eye height value 1082 to be added to the accumulated eye area.
  • PCO phase control offset
  • the FSM 1060 concludes that an edge of the data eye has been reached. If the phase control increment is positive (e.g., determined at decision block 1127), then only the rightmost portion of the data eye has been searched (i.e., the phase offset has been stepped to more latent offsets, ending at the rightmost edge of the data eye) and, at block 1129, the FSM 1060 changes the phase control increment to a negative value, sets the phase control offset to the value of the phase control increment (i.e., establishing an initial step into the leftmost portion of the data eye) then repeats the operations starting at block 1107 to generate and accumulate eye height measurements for the left most portion of the data eye.
  • the phase control increment is positive (e.g., determined at decision block 1127)
  • only the rightmost portion of the data eye i.e., the phase offset has been stepped to more latent offsets, ending at the rightmost edge of the data eye) and, at block 1129, the FSM 1060 changes the phase control increment to a negative value, sets the
  • comparator 1065 receives the outputs of the accumulator 1063 and ME register 1061 for this purpose, and issues a compare result signal 1086 to the FSM 1060 indicating whether the measured eye area within accumulator 1063 exceeds the value stored in the ME register 1061.
  • the FSM 1060 loads the measured eye area into the ME register 1061 (i.e., by issuing appropriate control signals MCTL) to establish the new maximum eye area, then records the tap window index as the temporary index (i.e., loads the content of the TWI register 1071 into the TI register 1073). This operation is shown in Figure 57 at block 1145. Thereafter, the FSM 1060 increments the tap window index within the TWI register 1071 as shown at block 1147 and, if not greater than the last valid tap window index (decision block 1149), the tap window index is used to generate a new measured eye area starting at block 1103.
  • the temporary index within register 1073 indicates the tap window that yielded the maximum eye area and, as shown in block 1151, is transferred from the TI register 1073 into the TWI register 1071 to enable the indicated tap window to source tap data values to the equalizer bank.
  • the phase control offset is also reset to zero.
  • the receive equalization tap weights are also cleared at the conclusion of the maximum eye area search, thereby avoiding use the tap weights generated during the last search iteration as an initial set of tap weights for the selected tap window.
  • the receive equalizer tap weights that correspond to the maximum eye area are recorded in temporary weight registers and transferred to the tap weight registers upon conclusion of the maximum eye area search.
  • eye height measurements may be made for each tap window selection and compared to determine which tap window selection yielded the maximum eye height.
  • eye widths may be measured for each tap window selection and compared to determine which tap window selection yields the maximum eye width.
  • the tap window selection yielding the maximum metric may be selected to source equalizer taps within the selectable-tap decision-feedback equalizer.
  • the tap data values selected by the tap selector 769 may be used in a number of different equalizing circuits to counteract distortion events.
  • an equalizing output driver 1240 is coupled in parallel with a sampling circuit 1223 to drive an equalizing signal back onto the signal path 202 during each symbol reception interval (i.e., symbol time during which a valid symbol is present at the input of the receiver).
  • symbol reception interval i.e., symbol time during which a valid symbol is present at the input of the receiver.
  • Figure 59 illustrates a more detailed embodiment of the equalizing receiver of Figure 58 .
  • the sampling circuit 1223 may include any number of preamplifiers 1238 1 -1238 N coupled in series with a sampler 1241.
  • the sampler 1241 may be any type of circuit for detecting the level of an input signal, including but not limited to a latching circuit that latches the signal level in response to a rising or falling clock edge, or an integrating circuit that integrates the input signal over a finite period of time (e.g., a symbol time or portion of a symbol time).
  • the equalizing output driver 1240 may be coupled to the signal path 202 (i.e., the input of the first preamplifier 1238 1 ) or, alternatively, to the output of any of the preamplifiers 1238. Also, as discussed below, the output driver 1240 may be coupled to the sampler 1241 to affect the sampling operation.
  • the equalizing output driver 1240 of Figures 53 and 54 is clocked by an equalizer clock signal, EQCLK, that is offset from the clock signal used to time the sampling instant and therefore to define the symbol reception interval (i.e., sampling clock signal, SCLK), as necessary to align edges of the equalizing signal (i.e., state transitions) with edges of the incoming data signal.
  • EQCLK equalizer clock signal
  • SCLK sampling clock signal
  • the equalizer clock signal is aligned with edges of the incoming symbol stream so that equalization values are transmitted onto the signal path concurrently with corresponding symbol reception intervals.
  • the equalizer clock signal may be further offset from the sampling clock signal as shown by arrow 1245 to account for the time required for the equalization data (i.e., selected tap values) to propagate through the equalizing output driver 1240 or other equalizing circuit.
  • Figure 61 illustrates a current-sinking output driver 1250 that may be used to implement the equalizing output driver 1240 of Figure 58 .
  • the output driver includes a plurality of sub-driver circuits 1251 1 -1251 N each including a current source 1257, clocking transistor 1255 and tap data transistor 1253 coupled in series between an output node 1254 and a reference voltage (ground in this example).
  • Control terminals (e.g., gate terminals) of the tap data transistors 1253 of the sub-driver circuits 1251 are coupled to receive respective tap data values (designated EQD 1 -EQD N in Figure 61 ) from a select circuit, control terminals of the current sources 1257 are coupled to respective tap weight values, EQW 1 -EQW N , and control terminals of the clocking transistors are coupled in common to receive the equalizer clock signal, EQCLK.
  • EQCLK equalizer clock signal
  • sub-driver circuit 1251 1 if tap data value EQD 1 is low, no current (or negligible) current will be drawn via output node 1254. By contrast, if tap data value EQD 1 is high, then the sub-driver circuit 1251 1 will draw a current from the output node 1254 (and therefore from the signal path 1222) according to the tap weight, EQW 1 .
  • the tap weights provided to the output driver 1250 or other equalizing circuits described herein may be predetermined values, or may be determined dynamically according to the level of the distortions to be reduced.
  • the overall equalization signal generated by output driver 1250 during a given symbol time is the sum of contributions from the individual sub-driver circuits 1251.
  • the output driver 1250 outputs an equalization signal only when the equalizer clock signal is high (i.e., even phases of EQCLK).
  • An additional instance of output driver 1250 may be provided to output an equalization signal when a complement equalizer clock signal (i.e., /EQLCK) is high.
  • a pair of circuits 1250 may be used to generate component signals of a differential signal pair.
  • Figure 62 illustrates an embodiment of a push-pull type of sub-driver circuit 1260 that may be used within an equalizing output driver instead of the pull-down sub-driver circuits 1251 described in reference to Figure 61 .
  • the push-pull type of sub-driver circuit 1260 current is either sourced or sunk via the driver output according to the state of the tap data value, EQD i .
  • the sub-driver circuit 1260 includes switching transistors 1263 and 1265, and AND gate 1261.
  • a first input of the AND gate 1261 is coupled to receive the tap data value, EQD i
  • a second input of the AND gate 1261 is coupled to a clock line to receive the equalizer clock signal, EQCLK.
  • the output of the AND gate 1261 is coupled to the gate terminals of transistors 1263 and 1265 such that, during each high phase of the equalizer clock signal, the tap data value is passed to the gate terminals of transistors 1263 and 1265 to establish the output state of the sub-driver circuit 1260. That is, every other half cycle of the equalizer clock signal constitutes an output enable interval for the sub-driver circuit 1260. If the tap data value, EQD i , is high during a given output enable interval, transistor 1265 is switched on, causing the sub-driver circuit 1260 to sink current via the output node (OUT i ). Conversely, if the tap data value is low during the output enable interval, transistor 1263 is switched on to source current via the output node.
  • a pull-down biasing circuit (e.g., current source) may be coupled between the pull-down tap data transistor 1265 and ground, and a pull-up biasing circuit may be coupled between the pull-up tap data transistor 1263 and the supply reference voltage (e.g., V DD ) to enable weighted control of the current sourcing and sinking strength of the push-pull sub-driver circuit 1260.
  • the sub-driver circuit 1260 may be provided with a complement equalizer clock signal (/EQCLK) and complement tap data value (/EQD i ) being input to AND gate 1261 to enable the sub-driver circuit 1260 to output an equalizing signal during the alternate half cycle of the equalizer clock signal.
  • Figure 63 illustrates another embodiment of a sub-driver circuit 1275 that may be used within an equalizing output driver.
  • the sub-driver circuit 1275 includes a differential transistor pair 1277 having control terminals coupled to outputs of AND gates 1261 1 and 1261 2 , respectively.
  • a tap data value (EQD i ) and an equalizer clock signal (EQCLK) are input to AND gate 1261 1
  • a complement of the tap data value (/EQD i ) and the equalizer clock signal are input to AND gate 1261 2 .
  • the tap data value and complement tap data value are applied to respective inputs of the differential pair 1277 during every other half cycle of the equalizer clock signal.
  • Output nodes of the differential pair 1277 are pulled up through respective resistive loads 1283 (R), and source terminals of the differential pair are coupled to ground via a current source 1281.
  • the resistive loads 1283 may be, for example, termination elements coupled to the signal path (not shown) rather than resistive elements included within the sub-driver circuit 1275.
  • the sub-driver circuit 1275 is enabled, during every other half cycle of the equalizer clock signal, to output a differential equalizing signal on output nodes OUT i and /OUT i in accordance with the complementary tap data values, EQD i and /EQD i .
  • a counterpart instance of sub-driver circuit 1275 may be provided to generate a differential equalizing signal during the alternate half clock cycle of the equalizer clock signal.
  • the current source 1281 is controlled by the tap weight value, EQW i , in the manner described in reference to Figure 61 , though different weighting schemes may be used in alternative embodiments (e.g., using weight-biased pull-up elements in place of resistive elements 1283).
  • Figure 64 illustrates an alternative type of equalizing circuit 1290 that may be used in embodiments of the invention. Instead of driving an equalization signal onto the signal path to affect the signal level of an incoming signal, equalization is performed in conjunction with preamplification of the incoming signal, and therefore affects the level of preamplification applied to the incoming signal. That is, the equalizing circuit 1290 affects the preamplified signal level instead of the signal level present on the signaling path.
  • Equalizing circuit 1290 includes a differential amplifier 1294 formed by differential transistor pair 1291, biasing current source 1292 and resistive loads 1293. Differential input signals are supplied to gate terminals of transistor pair 1291 such that differentially amplified output signals are generated on output lines P OUT and /P OUT .
  • output lines P OUT and /P OUT are coupled to input terminals of a differential amplifier within a sampling circuit so that amplifier 1294 effectively forms a first stage in a two-stage amplifier (i.e., amplifier 1294 is a preamplifier).
  • Equalizing circuit 1290 additionally includes a level shifting circuit 1296 coupled to the differential amplifier 1294 to provide preamplifier equalization.
  • the level shifting circuit 1296 includes a pair of sub-circuits 1298 1 and 1298 2 each coupled between a respective one of the differential amplifier outputs (P OUT and /P OUT ) and a clocking transistor 1299.
  • Each of the signal sub-circuits 1298 includes a respective plurality of tap data transistors (1295 1 -1295 N and 1297 1 -1297 N ) coupled in parallel between the differential amplifier output and the clocking transistor 1299.
  • the control terminals of the tap data transistors 1295 1 -1295 N are coupled to receive the selected tap data values, EQD 1 -EQD N , respectively, and the control terminals of the tap data transistors 1297 1 -1297 N are similarly coupled to receive complement versions of the selected tap data values, /EQD 1 -/EQD N .
  • each of the tap data transistors 1295 is sized (e.g., by width-length ratio) to achieve a respective tap weight EQW N -EQW 1 .
  • each tap data value may be coupled to the control terminal of a selected one of the tap data transistors 1295 according to the desired tap weight.
  • the transistors 1297 are similarly weighted and therefore allow coupling of the complement tap data values according to desired tap weights.
  • the clocking transistor 1299 is switched on during every other half cycle of the equalizer clock signal to enable the operation of the sub-circuits 1298.
  • the sub-circuits 1298 operate to increase or decrease the difference between the preamplified output signals (or even change the polarity of the difference) by drawing more current from one of the preamplifier output lines (P OUT or /P OUT ) than the other in accordance with the selected tap data values.
  • the sub-circuits 1298 act to differentially shift the level of the preamplified output signal generated by differential amplifier 1294.
  • An additional instance of the equalizing circuit 1290 may be provided to enable preamplifier equalization during the alternate half cycle of the equalizer clock signal.
  • Figure 65 illustrates an alternative level shifting circuit 1305 that may be substituted for circuit 1296 of Figure 64 .
  • circuit 1305 differential pairs of tap data transistors 1307 1 -1307 N are coupled to output lines P OUT and /P OUT in the same manner as in circuit 1296, but instead of sizing the tap data transistors to achieve tap weighting, tap weighted current sources 1311 1 -1311 N are coupled in series with the differential pairs of tap data transistors 1307 1 -1307 N , respectively.
  • current source 1311 1 is controlled by (i.e., draws a bias current according to) weight value EQW 1 and is coupled via clocking transistors 1309 1 to tap data transistors 1307 1 .
  • weight value EQW 2 is controlled by weight value EQW 2 and is coupled via clocking transistors 1309 2 to transistors 1307 2 , and so forth.
  • the weight values EQW 1 -EQW N may be configured (e.g., via run-time calibration or production time programming) as necessary to establish a desired equalizing signal contribution from each differential pair of tap data values 1307.
  • An additional instance of the equalizing circuit 1290 may be provided to enable preamplifier equalization during the alternate half cycle of the equalizer clock signal (i.e., by driving clocking transistors 1309 with complement equalizing clock, /EQCLK).
  • Figure 66 illustrates another type of equalizing circuit 1320 that may be used in embodiments of the invention.
  • a level shifting circuit 1330 is coupled to low impedance inputs of a differential sampling circuit 1328, and is used to affect the level of the input signal before the sampled signal is captured.
  • the sampling circuit includes differential transistor pair 1329 to precharge input nodes S IN and /S IN according to the state of a differential input (e.g., the output of a preamplifier 1294 of Figure 64 , or a differential data signal), during a first half cycle of the sampling clock (which enables clocking transistor 1331).
  • transistors 1321 and 1325 are switched on by the lowgoing sampling clock signal, thereby enabling a cross-coupled latch formed by transistors 1322, 1323, 1324 and 1326 to latch the state of the precharged signal levels on nodes S IN and /S IN .
  • the level shifting circuit 1330 is similar to the circuit 1296 of Figure 64 except that clocking transistor 1341 is enabled by the sampling clock signal (SCLK) instead of the equalizer clock signal, the equalizer clock signal being used to switch on switching transistors 1335 1 -1335 N and 1339 1 -1339 N during every other half cycle.
  • Tap data transistors 1333 1 -1333 N which are controlled by respective tap data values EQD 1 -EQD N , are coupled in series with the switching transistors 1335 1 -1335 N , respectively.
  • tap data transistors 1337 1 -1337 N are coupled in series with switching transistors 1339 1 -1339 N and are controlled by respective complement tap data values /EQD 1 -/EQD N .
  • the tap data transistors 1333, 1337 and switching transistors 1335, 1339 are sized to provide different current draws according to predetermined weights, EQW 1 -EQW N , thereby permitting different tap data values to make different level-shifting contributions.
  • the switching transistors 1335 and 1339 are binary weighted such that, when switched on, the current draw through transistor pair 1333 N /1335 N is 2 N-1 times the current through transistor pair 1333 1 /1335 1 (and the current draw through transistor pair 1337 N /1339 N is 2 N-1 times the current through transistor pair 1337 1 /1339 1 .
  • Other weighting schemes may also be used including, without limitation, thermometer coding of high-gain transistor pairs, linear weighting schemes, or any combination of exponential (e.g., binary), linear and thermometer coded weightings.
  • the equalizer clock is phase advanced relative to the sampling clock signal such that transistors 1337 and 1339 are switched on in advance of clocking transistor 1341.
  • transistors 1333 and 1337 are poised to shift the level of the sampling circuit input nodes, S IN and /S IN , when the sampling clock signal goes high.
  • sampling circuit input nodes S IN and /S IN are differentially discharged according to the tap data values EQD 1 -EQD N , /EQD 1 -/EQD N and the respective weights of transistors 1333 and 1337.
  • the signal levels at the input nodes, S IN and /S IN , of sampling circuit 1328 are differentially shifted by the level shifting circuit 1330 to reduce static offsets in the incoming data signal (applied to control terminals of differential pair 1329) caused by reflections or other distortions.
  • FIG 67 illustrates an alternative level shifting circuit 1342 that may be substituted for circuit 1330 of Figure 66 .
  • the level shifting circuit 1342 includes tap data transistors 1333, 1337 and equalizer-clock-enabled switching transistors 1335, 1339 coupled as described in reference to Figure 66 .
  • the source terminals of transistors 1335 1 -1335 N are coupled to ground via capacitive elements 1334 1 -1334 N , respectively, and the source terminals of transistors 1339 1 -1339 N are similarly coupled to ground via capacitive elements 1338 1 -1338 N .
  • respective variable capacitances are coupled to the low impedance inputs, S IN and /S IN , of the sampling circuit 1328 according to the states of the tap data values EQD 1 -EQD N and complement tap data values EQD 1 -EQD N applied to the inputs of tap data transistors 1333 and 1337.
  • different levels of capacitance are added to the sampling circuit input nodes, S IN and /S IN , according to the tap data values, effectively changing the discharge rates of the input nodes and therefore affecting the precharged signal level at the input nodes as desired to reduce signal distortions.
  • the tap data transistors 1333, 1337 and switching transistors 1335, 1339 have uniform sizes (i.e., uniform weighting), and the capacitive elements 1334, 1338 have weighted capacitive values to permit a broad range of capacitances to be coupled to the input nodes of sampling circuit 1328.
  • the capacitive elements 1334 are implemented by source-to-drain coupled transistors and are binary weighted (e.g., by adjusting transistor width-length ratios) such that capacitive element 1335 2 has twice the capacitance of capacitive element 1335 1 , and capacitive element 1335 N has 2 N-1 times the capacitance of capacitive element 1335 1 .
  • weighting relationships may also be used.
  • the tap data transistors 1333, 1337 and/or switching transistors 1335, 1339 may be weighted in alternative embodiments instead of (or in addition to) the capacitive elements 1334,1338.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Dc Digital Transmission (AREA)
  • Cable Transmission Systems, Equalization Of Radio And Reduction Of Echo (AREA)
  • Amplifiers (AREA)

Claims (14)

  1. Circuit intégré, comprenant :
    un émetteur, dans lequel l'émetteur comprend :
    un registre à décalage d'émission (203),
    une banque de pilotes de sortie (204), et
    un registre de pondération de prise (206), dans lequel
    l'émetteur (201, 531) est configuré pour transmettre une pluralité de valeurs de données d'une séquence numérique sur un chemin de signal (202, 532) externe au circuit intégré à un récepteur (209, 539),
    en utilisant un niveau de signal qui est une fonction logique d'un état binaire d'une valeur de données actuelle (D0) à transmettre et d'un état binaire d'une première autre valeur de données (D+1, D-1, D-2, D-3) de la séquence numérique, la première autre valeur de données (D+1, D-1, D-2, D-3) étant une parmi une valeur de données suivante à transmettre et/ou précédemment transmise, l'émetteur (201, 531) étant caractérisé par une contrainte de puissance de crête,
    dans lequel la banque de pilotes de sortie comprend un ou plusieurs pilotes de sortie dans lequel les sorties de tous les pilotes de sortie sont connectées au chemin de signal pour générer le niveau de signal,
    dans lequel le registre à décalage d'émission (203) est configuré pour stocker la valeur de données actuelle (D0) à transmettre et la première autre valeur de données (D+1, D-1, D-2, D-3) de la séquence numérique,
    dans lequel chaque élément du registre à décalage d'émission est couplé à l'un respectif des pilotes de sortie (2050-2054) au sein de la banque de pilotes de sortie 204,
    dans lequel le registre de pondération de prise (206) est configuré pour stocker un ensemble de pondérations de prise (WN), et dans lequel chaque pondération de prise (WN) est fournie à l'un respectif des pilotes de sortie pour commander le niveau du signal de sortie généré par le pilote de sortie,
    dans lequel le registre de pondération de prise est configuré pour recevoir des pondérations de prise mises à jour et pour mettre à jour de manière itérative les pondérations de prise avec des pondérations de prise mises à jour (WN+1),
    et dans lequel le circuit intégré comprend en outre des moyens configurés pour mettre à l'échelle les pondérations de prise mises à jour pour assurer que la puissance totale indiquée par les grandeurs agrégées des pondérations de prise ne dépasse pas la contrainte de puissance de crête du circuit d'émission.
  2. Circuit intégré selon la revendication 1, dans lequel la banque de pilotes de sortie (204, 534) comprend une pluralité de pilotes de sortie (205, 535), chacun étant fourni avec l'une respective d'une pluralité de pondérations de prise ;
    et le niveau de signal est généré en tant que fonction de la pluralité de pondérations de prise (WN(0),..WN(4)) par la pluralité de pilotes de sortie (205, 535).
  3. Circuit intégré selon la revendication 2, dans lequel la première autre valeur de données de la séquence numérique est une première valeur de données post-prise (D-1) qui doit être transmise au récepteur (209, 539) avant la valeur de données actuelle (D0) de la séquence numérique ; et
    la banque de pilotes de sortie (204) comprend un premier pilote de sortie (2052, 5352) de la pluralité de pilotes de sortie (205, 535) à piloter en fonction de la première valeur de données post-prise (D-1) ; et un deuxième pilote de sortie (2051, 5351) de la pluralité de pilotes de sortie (535) à piloter en fonction de la valeur de données actuelle (D0),
    dans lequel le premier pilote de sortie (2052, 5352) et le deuxième pilote de sortie (2051, 5351) contribuent chacun au niveau de signal.
  4. Circuit intégré selon la revendication 3, dans lequel la fonction logique dépend également d'une deuxième autre valeur de données (D+1) de la séquence numérique qui est une valeur de données pré-prise (D+1) qui doit être transmise au récepteur (209, 539) après la valeur de données actuelle (D0) de la séquence numérique ; et un troisième pilote (2050, 5350) est piloté en fonction de la valeur de données pré-prise (D+1).
  5. Circuit intégré selon la revendication 4, dans lequel le niveau de signal est généré en utilisant une pondération de prise (WN(1)) associée à la valeur de données actuelle (D0) ; et une pondération de prise (WN(0)) associée à la valeur de données pré-prise (D+1).
  6. Circuit intégré selon l'une quelconque des revendications 4 à 5, dans lequel le niveau de signal est généré en utilisant une pondération de prise (WN(1)) associée à la valeur de données actuelle (D0) ; et une pondération de prise (WN(2)) associée à la valeur de données post-prise (D-1).
  7. Circuit intégré selon l'une quelconque des revendications 4 à 6, dans lequel chacune de la valeur de données post-prise (D-1), de la valeur de données actuelle (D0) et de la valeur de données pré-prise (D+1) sont trois valeurs de données consécutives dans la séquence numérique.
  8. Circuit intégré selon l'une quelconque des revendications 2 à 7, comprenant en outre au moins un registre (206, 536) pour stocker la pluralité de pondérations de prise (WN(0), ... WN(4)) associées à des valeurs de données (D+1, D0, D-1, D-2, D-3) respectives, dans lequel les pondérations de prise (WN(0), ... WN(4)) ont une composante de signe et une composante de grandeur.
  9. Circuit intégré selon l'une quelconque des revendications 1 à 8, dans lequel l'émetteur (201, 531) comprend la banque de pilotes de sortie (204) comprenant un pilote de sortie (205, 535) pour chacune de la valeur de données actuelle (D0) et chacune des autres valeurs de données de la pluralité de valeurs de données (D+1, D-1, D-2, D-3), et
    la circuiterie pour additionner par fil des sorties des pilotes de sortie (205, 535) respectifs pour générer le niveau de signal.
  10. Circuit intégré selon l'une quelconque des revendications 1 à 9, dans lequel
    le chemin de signal (202, 532) comprend un chemin de signal différentiel (202, 532) ; et
    l'émetteur (201, 531) comprend un émetteur différentiel (201, 531).
  11. Circuit intégré selon l'une quelconque des revendications 1 à 10, dans lequel le registre de pondération de prise (206) est configuré pour recevoir les pondérations de prise mises à jour du récepteur (209, 539) via un canal de retour (225).
  12. Circuit intégré selon l'une quelconque des revendications 1 à 11, dans lequel les pondérations de prise mises à jour spécifient un ensemble de valeurs de mise à jour à un ensemble précédent de pondérations de prise (WN(0), ... WN(4)) ou
    un ensemble mis à jour de pondérations de prise (WN(0), ... WN(4)).
  13. Circuit intégré selon l'une quelconque des revendications 1 à 12, dans lequel
    l'émetteur (201, 531) peut être utilisé pour transmettre un motif prédéterminé au récepteur (209, 539).
  14. Circuit intégré selon l'une quelconque des revendications 2 à 13, dans lequel l'émetteur (201, 531) est configuré pour transmettre des valeurs de données d'une séquence numérique en tant que série de niveaux de signal.
EP16190717.5A 2003-12-17 2004-12-16 Système de signalisation à vitesse elevée à préaccentuation, annulation de réflexion et annulation de décalage du niveau continu adaptives Active EP3190758B1 (fr)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US10/740,087 US7126378B2 (en) 2003-12-17 2003-12-17 High speed signaling system with adaptive transmit pre-emphasis
US10/851,505 US7030657B2 (en) 2003-12-17 2004-05-21 High speed signaling system with adaptive transmit pre-emphasis and reflection cancellation
US10/875,086 US7233164B2 (en) 2003-12-17 2004-06-23 Offset cancellation in a multi-level signaling system
PCT/US2004/042195 WO2005060193A2 (fr) 2003-12-17 2004-12-16 Systeme de signalisation a vitesse elevee a preaccentuation de transmission, effacement de reflexion et effacement de decalage adaptatifs
EP04814386A EP1698131A1 (fr) 2003-12-17 2004-12-16 Systeme de signalisation a vitesse elevee a preaccentuation de transmission, effacement de reflexion et effacement de decalage adaptatifs
EP09165754.4A EP2107746B1 (fr) 2003-12-17 2004-12-16 Systeme de signalisation à vitesse elevée à preaccentuation, annulation de réflexion et annulation de décalage du niveau continu adaptives

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
EP04814386A Division EP1698131A1 (fr) 2003-12-17 2004-12-16 Systeme de signalisation a vitesse elevee a preaccentuation de transmission, effacement de reflexion et effacement de decalage adaptatifs
EP09165754.4A Division EP2107746B1 (fr) 2003-12-17 2004-12-16 Systeme de signalisation à vitesse elevée à preaccentuation, annulation de réflexion et annulation de décalage du niveau continu adaptives

Publications (2)

Publication Number Publication Date
EP3190758A1 EP3190758A1 (fr) 2017-07-12
EP3190758B1 true EP3190758B1 (fr) 2023-06-07

Family

ID=44798125

Family Applications (1)

Application Number Title Priority Date Filing Date
EP16190717.5A Active EP3190758B1 (fr) 2003-12-17 2004-12-16 Système de signalisation à vitesse elevée à préaccentuation, annulation de réflexion et annulation de décalage du niveau continu adaptives

Country Status (3)

Country Link
EP (1) EP3190758B1 (fr)
JP (1) JP4776548B2 (fr)
DE (1) DE09165754T1 (fr)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5114293B2 (ja) 2008-05-30 2013-01-09 株式会社日立製作所 波形等化回路
JP2010278720A (ja) 2009-05-28 2010-12-09 Renesas Electronics Corp 信号処理装置、信号処理方法、及び信号処理プログラム
WO2013013407A1 (fr) * 2011-07-28 2013-01-31 Empire Technology Development Llc Technique de focalisation par utilisateur pour systèmes de communication sans fil
US9225371B2 (en) * 2014-02-28 2015-12-29 Fujitsu Limited Offset compensation for serial links
EP3329593B1 (fr) * 2015-07-28 2021-03-03 Rambus Inc. Égalisation à décision rétroactive insensible aux rafales
WO2017221427A1 (fr) * 2016-06-24 2017-12-28 株式会社ソシオネクスト Circuit d'égalisation, circuit de réception et dispositif de circuit intégré
US10425260B2 (en) 2017-08-07 2019-09-24 Micron Technology, Inc. Multi-level signaling in memory with wide system interface
US10530617B2 (en) * 2017-08-07 2020-01-07 Micron Technology, Inc. Programmable channel equalization for multi-level signaling
US10447512B2 (en) 2017-08-07 2019-10-15 Micron Technology, Inc. Channel equalization for multi-level signaling
US11171584B1 (en) * 2020-05-11 2021-11-09 Pix Art Imaging Inc. Interpolation circuit and motor driving circuit

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NO853772L (no) * 1984-11-02 1986-05-05 Universal Data Systems Inc Fremgangsmaate for etablering av en kommunikasjonskanal, modem-kommunikasjonssystem og mikroprossesorstyrt modem.
US5254883A (en) * 1992-04-22 1993-10-19 Rambus, Inc. Electrical current source circuitry for a bus
US6377076B1 (en) * 2000-02-15 2002-04-23 Sun Microsystems, Inc. Circuitry to support a power/area efficient method for high-frequency pre-emphasis for chip to chip signaling
US6570406B2 (en) * 2000-11-13 2003-05-27 Primarion, Inc. Method and circuit for pre-emphasis equalization in high speed data communications
US7397848B2 (en) * 2003-04-09 2008-07-08 Rambus Inc. Partial response receiver

Also Published As

Publication number Publication date
DE09165754T1 (de) 2014-07-03
EP3190758A1 (fr) 2017-07-12
JP4776548B2 (ja) 2011-09-21
JP2007515130A (ja) 2007-06-07

Similar Documents

Publication Publication Date Title
EP2107746B1 (fr) Systeme de signalisation à vitesse elevée à preaccentuation, annulation de réflexion et annulation de décalage du niveau continu adaptives
US7233164B2 (en) Offset cancellation in a multi-level signaling system
EP2259519B1 (fr) Récepteur à réponse partielle
EP3190758B1 (fr) Système de signalisation à vitesse elevée à préaccentuation, annulation de réflexion et annulation de décalage du niveau continu adaptives

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION HAS BEEN PUBLISHED

AC Divisional application: reference to earlier application

Ref document number: 1698131

Country of ref document: EP

Kind code of ref document: P

Ref document number: 2107746

Country of ref document: EP

Kind code of ref document: P

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): DE FR GB IT

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: REQUEST FOR EXAMINATION WAS MADE

17P Request for examination filed

Effective date: 20180111

RBV Designated contracting states (corrected)

Designated state(s): DE FR GB IT

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: EXAMINATION IS IN PROGRESS

17Q First examination report despatched

Effective date: 20200709

RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: RAMBUS INC.

RIN1 Information on inventor provided before grant (corrected)

Inventor name: STOJANOVIS, VLADIMIR, M.

Inventor name: ALON, ELAD

Inventor name: BESSIOS, ANTHONY

Inventor name: CHEN, FRED, F.

Inventor name: HOROWITZ, MARK, A.

Inventor name: GARLEPP, BRUNO, W.

Inventor name: HO, ANDREW

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: EXAMINATION IS IN PROGRESS

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: GRANT OF PATENT IS INTENDED

INTG Intention to grant announced

Effective date: 20221212

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE PATENT HAS BEEN GRANTED

AC Divisional application: reference to earlier application

Ref document number: 1698131

Country of ref document: EP

Kind code of ref document: P

Ref document number: 2107746

Country of ref document: EP

Kind code of ref document: P

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): DE FR GB IT

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: DE

Ref legal event code: R096

Ref document number: 602004055145

Country of ref document: DE

U01 Request for unitary effect filed

Effective date: 20230628

U12 Withdrawal of the request for unitary effect

Effective date: 20231010

REG Reference to a national code

Ref country code: DE

Ref legal event code: R082

Ref document number: 602004055145

Country of ref document: DE

Representative=s name: BOSCH JEHLE PATENTANWALTSGESELLSCHAFT MBH, DE

Ref country code: DE

Ref legal event code: R081

Ref document number: 602004055145

Country of ref document: DE

Owner name: K.MIZRA LLC, MIAMI, US

Free format text: FORMER OWNER: RAMBUS INC., SAN JOSE, CA, US

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: GB

Payment date: 20231228

Year of fee payment: 20

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: FR

Payment date: 20231228

Year of fee payment: 20

REG Reference to a national code

Ref country code: DE

Ref legal event code: R097

Ref document number: 602004055145

Country of ref document: DE

REG Reference to a national code

Ref country code: GB

Ref legal event code: 732E

Free format text: REGISTERED BETWEEN 20240215 AND 20240221

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed

Effective date: 20240308