EP1128420A3 - Trenchfilling method of a semiconductor device - Google Patents

Trenchfilling method of a semiconductor device Download PDF

Info

Publication number
EP1128420A3
EP1128420A3 EP01103453A EP01103453A EP1128420A3 EP 1128420 A3 EP1128420 A3 EP 1128420A3 EP 01103453 A EP01103453 A EP 01103453A EP 01103453 A EP01103453 A EP 01103453A EP 1128420 A3 EP1128420 A3 EP 1128420A3
Authority
EP
European Patent Office
Prior art keywords
trenchfilling
semiconductor device
plasma
barrier layer
diffusion barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP01103453A
Other languages
German (de)
French (fr)
Other versions
EP1128420A2 (en
Inventor
Markus Dr. Kirchhoff
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of EP1128420A2 publication Critical patent/EP1128420A2/en
Publication of EP1128420A3 publication Critical patent/EP1128420A3/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Auf einer Halbleiterstruktur wird in Vertiefungen auf der Oberfläche, insbesondere unterhalb der ersten Metallstrukturebene, eine Diffusionsbarriereschicht, vorzugsweise mit Hilfe einer plasmaunterstützten Gasphasenabscheidung, bei der die im Plasma enthaltenen Ionen senkrecht zur Oberfläche beschleunigt werden, abgeschieden, so dass sich eine nicht konforme Abscheidung der Diffusionsbarriereschicht ergibt.

Figure imgaf001
On a semiconductor structure, in depressions on the surface, in particular below the first metal structure plane, a diffusion barrier layer, preferably by means of a plasma-assisted vapor deposition, in which the ions contained in the plasma are accelerated perpendicular to the surface, deposited, so that a non-conformal deposition of the diffusion barrier layer results.
Figure imgaf001

EP01103453A 2000-02-25 2001-02-14 Trenchfilling method of a semiconductor device Withdrawn EP1128420A3 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10010286A DE10010286A1 (en) 2000-02-25 2000-02-25 Method for filling depressions in a surface of a semiconductor structure and a semiconductor structure filled in this way
DE10010286 2000-02-25

Publications (2)

Publication Number Publication Date
EP1128420A2 EP1128420A2 (en) 2001-08-29
EP1128420A3 true EP1128420A3 (en) 2006-06-07

Family

ID=7633317

Family Applications (1)

Application Number Title Priority Date Filing Date
EP01103453A Withdrawn EP1128420A3 (en) 2000-02-25 2001-02-14 Trenchfilling method of a semiconductor device

Country Status (4)

Country Link
US (1) US6759323B2 (en)
EP (1) EP1128420A3 (en)
DE (1) DE10010286A1 (en)
TW (1) TW518672B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10153200B4 (en) * 2001-10-27 2005-08-04 Infineon Technologies Ag Process for BPSG glass filling of openings in gate electrode layers
EP1570525B1 (en) * 2002-12-09 2015-12-02 Imec Method for forming a dielectric stack
US20150118832A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Methods for patterning a hardmask layer for an ion implantation process
JP6587384B2 (en) * 2014-11-14 2019-10-09 東レエンジニアリング株式会社 Method for forming sealing film and sealing film
JP6690496B2 (en) * 2016-03-17 2020-04-28 東京エレクトロン株式会社 Film forming method and film forming apparatus

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998028465A1 (en) * 1996-12-23 1998-07-02 Lam Research Corporation Inductively coupled plasma cvd
JPH11154673A (en) * 1997-11-20 1999-06-08 Nec Corp Manufacture of semiconductor device
EP0928015A2 (en) * 1997-12-31 1999-07-07 Texas Instruments Incorporated Method of preventing boron penetration
US5943599A (en) * 1997-08-27 1999-08-24 Vanguard International Semiconductor Corporation Method of fabricating a passivation layer for integrated circuits
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
KR970007116B1 (en) * 1993-08-31 1997-05-02 삼성전자 주식회사 Insulating film forming method of semiconductor device and apparatus therefor
JP2899600B2 (en) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 Film formation method
DE19505268C2 (en) * 1995-02-16 1999-02-18 Fraunhofer Ges Forschung CVD process for coating substrate surfaces
US5821621A (en) * 1995-10-12 1998-10-13 Texas Instruments Incorporated Low capacitance interconnect structure for integrated circuits
US5792681A (en) * 1997-01-15 1998-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication process for MOSFET devices and a reproducible capacitor structure
US5872058A (en) * 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6221792B1 (en) * 1997-06-24 2001-04-24 Lam Research Corporation Metal and metal silicide nitridization in a high density, low pressure plasma reactor
US5858848A (en) 1997-10-24 1999-01-12 Advanced Micro Devices, Inc. Semiconductor fabrication employing self-aligned sidewall spacers laterally adjacent to a transistor gate
US6100202A (en) * 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US6180530B1 (en) * 1998-04-01 2001-01-30 Taiwan Semiconductor Manufacturing Company Self-aligned contact structure
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6028013A (en) * 1999-05-06 2000-02-22 Vlsi Technology, Inc. Moisture repellant integrated circuit dielectric material combination

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
WO1998028465A1 (en) * 1996-12-23 1998-07-02 Lam Research Corporation Inductively coupled plasma cvd
US5943599A (en) * 1997-08-27 1999-08-24 Vanguard International Semiconductor Corporation Method of fabricating a passivation layer for integrated circuits
JPH11154673A (en) * 1997-11-20 1999-06-08 Nec Corp Manufacture of semiconductor device
EP0928015A2 (en) * 1997-12-31 1999-07-07 Texas Instruments Incorporated Method of preventing boron penetration

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
JENGYI YU ET AL: "Comparative study of PE-BPSG and HDP-PSG as PMD for 0.25 /spl mu/m memory device", INTERCONNECT TECHNOLOGY, 1999. IEEE INTERNATIONAL CONFERENCE SAN FRANCISCO, CA, USA 24-26 MAY 1999, PISCATAWAY, NJ, USA,IEEE, US, 24 May 1999 (1999-05-24), pages 35 - 37, XP010347426, ISBN: 0-7803-5174-6 *
NGUYEN S V: "HIGH-DENSITY PLASMA CHEMICAL VAPOR DEPOSITION OF SILICON-BASED DIELECTRIC FILMS FOR INTEGRATED CIRCUITS", IBM JOURNAL OF RESEARCH AND DEVELOPMENT, INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW YORK, NY, US, vol. 43, no. 1/2, January 1999 (1999-01-01), pages 109 - 126, XP001199695, ISSN: 0018-8646 *
PATENT ABSTRACTS OF JAPAN vol. 1999, no. 11 30 September 1999 (1999-09-30) *

Also Published As

Publication number Publication date
US6759323B2 (en) 2004-07-06
DE10010286A1 (en) 2001-09-13
EP1128420A2 (en) 2001-08-29
TW518672B (en) 2003-01-21
US20010054749A1 (en) 2001-12-27

Similar Documents

Publication Publication Date Title
EP1345275B1 (en) Method for roughening semiconductor surface
EP0954016A3 (en) Diffusion barrier for metal conductors
EP1696477A3 (en) Process of fabrication of sub-lithographic structures
EP1684368A3 (en) Organic light-emitting device and method of fabricating the same
EP1154467A3 (en) Bi-layer etch stop for inter-level via
WO2006072871A3 (en) Method for producing mixed stacked structures, different insulating areas and/or localised vertical electrical conducting areas
EP1575087A3 (en) Semiconductor wafer processing to increase the usable planar surface area
EP1211715A3 (en) A method for fabricating a IIIV nitride film
EP1128420A3 (en) Trenchfilling method of a semiconductor device
CN1716549A (en) In contact hole, form the method for metal-nitride layers and the layer that so forms
EP0981155A3 (en) Method for manufacturing a semiconductor isolation layer and semiconductor device comprising said semiconductor isolation layer
WO2007034391A3 (en) A method of fabricating a structure for a semiconductor device
EP0818817A3 (en) Aluminium hole filling using ionized metal adhesion layer
EP1168433A3 (en) A method of manufacturing a wiring structure in a semiconductor device
US8211793B2 (en) Structures electrically connecting aluminum and copper interconnections and methods of forming the same
ATE543190T1 (en) MATERIAL DEPOSITION FROM A LIQUEFIED GAS SOLUTION
AR016859A1 (en) AN ORIENTED MULTI-PATH FILM.
WO2004099459A8 (en) Enhanced metal ion release rate for anti-microbial applications
EP1026726A3 (en) Semiconductor device having an insulating film with voides and method for manufacturing the same
EP1309000A3 (en) Via formation in polymers
US9330914B2 (en) Methods of forming line patterns in substrates
WO2004111296A3 (en) Stress reduction of sioc low k film by addition of alkylenes to omcts based processes
EP1022769A3 (en) Passive ceramic device
EP1273356A3 (en) A method of creating and coating a material
EP1863103A3 (en) Superconducting device and method of manufacturing the same

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

AX Request for extension of the european patent

Free format text: AL;LT;LV;MK;RO;SI

PUAL Search report despatched

Free format text: ORIGINAL CODE: 0009013

AK Designated contracting states

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

AX Request for extension of the european patent

Extension state: AL LT LV MK RO SI

AKX Designation fees paid
STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20061208

REG Reference to a national code

Ref country code: DE

Ref legal event code: 8566