DE4447848B4 - Clock signal distribution and interrupt system for microprocessor integrated circuit device - has number of global drivers uniformly disposed along periphery of integrated circuit and number of feeders for supply clock signals to circuit components of integrated circuit - Google Patents

Clock signal distribution and interrupt system for microprocessor integrated circuit device - has number of global drivers uniformly disposed along periphery of integrated circuit and number of feeders for supply clock signals to circuit components of integrated circuit Download PDF

Info

Publication number
DE4447848B4
DE4447848B4 DE4447848A DE4447848A DE4447848B4 DE 4447848 B4 DE4447848 B4 DE 4447848B4 DE 4447848 A DE4447848 A DE 4447848A DE 4447848 A DE4447848 A DE 4447848A DE 4447848 B4 DE4447848 B4 DE 4447848B4
Authority
DE
Germany
Prior art keywords
clock
microprocessor
drivers
lines
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE4447848A
Other languages
German (de)
Inventor
Keng L. Portland Wong
Kelly J. Beaverton Fitzpatrick
Jeffrey E. Aloha Smith
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/086,044 external-priority patent/US5586307A/en
Application filed by Intel Corp filed Critical Intel Corp
Priority to DE4422456A priority Critical patent/DE4422456B4/en
Priority claimed from DE4422456A external-priority patent/DE4422456B4/en
Application granted granted Critical
Publication of DE4447848B4 publication Critical patent/DE4447848B4/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

The clock distribution system and clock interrupt system provides clock signals with less than (100) picoseconds of skew to various components of the integrated circuit (200) (ignoring effects associated with the matched stages), by using several stages of drivers (301,310-314, 30a-30l) to evenly supply the distributed clock signals. Each stage has RC matched input lines (e.g. 340-344). The matched stages and clock drivers are located within the power supply ring of the integrated circuit located on the periphery of the microprocessor topology. This is done in order to better predict the topology surrounding these lines to match the capacitance of these lines. Further, this metal level offers a larger width dimension line (since as a top layer it may be thicker) having less resistance per unit area and also generally avoids spatial competition with other integrated circuit components and circuitry. The drivers can be selectively powered down in groups by a power management unit.

Description

Die Erfindung bezieht sich auf eine integrierte Schaltung mit einer Einrichtung zum Leistungsmanagement mehrerer Schaltungskomponenten der integrierten Schaltung mit mehreren Globaltakttreibern, die die Schaltungskomponenten mit synchronen Taktsignalen versorgen.The The invention relates to an integrated circuit with a Device for power management of several circuit components the integrated circuit with multiple global clock drivers, the supply the circuit components with synchronous clock signals.

Komponenten einer integrierten Schaltung arbeiten auf der Basis der Zeitgabe und Pulsung von Taktsignalen, welche einen Referenzpunkt oder ein Aktivierungssignal für die Schaltungsaktivität und Verarbeitung bilden. Das Taktsignal stellt auch eine Zeitgabe oder Ausrichtreferenz zur Verfügung, die verschiedene Schaltungen annehmen, wenn sie ihre zugehörigen Verarbeitungsaufgaben durchlaufen. Es ist wichtig, daß die Taktsignale vorhersehbar und unverzögert sind, damit die Verarbeitung und Ausführung durch Schaltungskomponenten in wechselseitiger Synchronisation stattfinden. Integrierte Mikroprozessor-Bauelemente verwenden einen Systemtakt, der die Zeitgabe und Pulsung zur Ansteuerung verschiedener Elemente und für die Verarbeitung durch den Mikroprozessor liefert. Es ist von vitaler Bedeutung für den Betrieb eines Mikroprozessors, daß der Systemtakt allen Komponenten des Mikroprozessors bei minimaler Taktverzerrung und minimaler Taktverzögerung zugeführt wird. Jede Systemkomponente sollte das gleiche Taktsignal in Synchronisation mit allen anderen Komponenten erhalten.components an integrated circuit operate on the basis of timing and pulsing of clock signals indicative of a reference point or a Activation signal for the circuit activity and processing. The clock signal also provides a timing or alignment reference available, which accept different circuits when performing their related processing tasks run through. It is important that the Clock signals are predictable and instantaneous, thus processing and execution take place by circuit components in mutual synchronization. Integrated microprocessor devices use a system clock, the timing and pulsation for driving various elements and for the processing by the microprocessor supplies. It is more vital Meaning of the operation of a microprocessor that the system clock all components of the microprocessor with minimal clock distortion and minimum clock delay. Each system component should have the same clock signal in synchronization obtained with all other components.

In der folgenden Beschreibung wird auf Taktverzögerung und Taktverzerrung bezug genommen. Taktverzögerung bezieht sich auf eine Zeitgabeverzögerung zwischen einem Taktsignal innerhalb einer integrierten Schaltung und dem Systemtakt. Andererseits bezieht sich eine Taktverzerrung auf Schwankungen bzw. Änderungen zwischen Taktverzögerungen, wie sie verschiedenen Punkten einer integrierten Schaltung zugeordnet sind. Wenn es auch physikalisch unmöglich, die Taktverzöge rung total zu elimineren, ist es keineswegs unmöglich, diese Verzögerung über die gesamte integrierte Schaltung (IC) in Übereinstimmung zu bringen, um so eine Taktverzerrung für verschiedene Schaltungskomponenten zu eliminieren. Zu diesem Zweck können zwei Punkte innerhalb einer integrierten Schaltung gleiche Taktverzögerungen, jedoch untereinander keine Taktverzerrung haben. Es wäre daher vorteilhaft, Taktverzögerungen für alle Schaltungskomponenten in Übereinstimmung zu bringen und so eine Taktverzerrung innerhalb einem IC zu eliminieren.In In the following description, reference will be made to clock delay and clock distortion taken. clock delay refers to a timing delay between a clock signal within an integrated circuit and the system clock. on the other hand Clock distortion refers to variations between clock delays, as they are associated with different points of an integrated circuit. If it's physically impossible the Taktverzöge tion To totally eliminate, it is by no means impossible to delay this to match the entire integrated circuit (IC), so a clock distortion for eliminate various circuit components. To this end can two Points within an integrated circuit have equal clock delays, however, they do not have clock distortion among each other. It would therefore be advantageous, clock delays for all Circuit components in accordance to bring and thus eliminate clock distortion within an IC.

Da integrierte Mikroprozessor-Bauelemente zunehmend höhere Taktgeschwindigkeiten benutzen, können Änderungen in der Topologie des Mikroprozessor-Bauelements Verzögerungen oder Fehlerfaktoren innerhalb der das Taktsignal führenden und übertragenden Metalleitungen einführen. Da der Taktsignalimpuls schmaler wird, werden diese Taktsignalveränderungen bei der modernen Mikroprozessorkonstruktion wesentlich. Diese Faktoren tragen zu Übertragungsfehlern innerhalb der Taktsignale bei und wirken sich dadurch aus, daß die Taktsignale verzögert werden, wenn sie an die verschiedenen Komponenten des Mikroprozessors verteilt bzw. übertragen werden. Der Widerstand innerhalb der Taktleitung und die Kapazität an der Taktleitung erzeugen RC-Verzerrungen bei der Ausbreitung bzw. Übertragung des Taktsignals. Auch andere Diskontinuitäten innerhalb der Schaltungstopologie des Mikroprozessors tragen zu dem Ausbreitungsfehler des Taktsignals bei; zu solchen Diskontinuitäten gehören beispielsweise Unterschiede in der Dicke der Komponenten, welche die Taktleitung umgeben und unterschiedliche dielektrische Werte in die Signalleitungen einführen (beispielsweise dielektrische Dickenänderungen innerhalb der Isolierschichten). Diese dielektrischen Änderungen tragen zur Kapazität der Taktsignalleitungen bei. Erwünscht ist ein Schema, durch das alle Komponenten des Mikroprozessors mit einem synchronisierten und identischen Taktsignal versehen werden selbst bei einer Mi kroprozessor-Architektur mit komplexen Topologien und komplexen dielektrischen Änderungen über die Topologien.There integrated microprocessor components increasingly higher clock speeds can use changes in the topology of the microprocessor device delays or error factors within the clock signal leading and transferring Insert metal cables. As the clock signal pulse narrows, these clock signal changes become essential in modern microprocessor design. These factors contribute to transmission errors within the clock signals and affect by the fact that the clock signals delayed when they are connected to the various components of the microprocessor distributed or transferred become. The resistance within the clock line and the capacity at the Clock line generate RC distortions during propagation or transmission of the clock signal. Also other discontinuities within the circuit topology of the microprocessor contribute to the propagation error of the clock signal at; to such discontinuities belong For example, differences in the thickness of the components, which surround the clock line and different dielectric values insert into the signal lines (For example, dielectric changes in thickness within the insulating layers). Wear these dielectric changes to the capacity at the clock signal lines. He wishes is a scheme through which all the components of the microprocessor are using a synchronized and identical clock signal even with a microprocessor architecture with complex topologies and complex dielectric changes over the Topologies.

Einige bekannte Verfahren wurden implementiert, um Komponenten eines integrierten Mikroprozessor-Bauelements mit einem Takt zu versehen.Some Known methods have been implemented to make components of an integrated Microprocessor device to provide a clock.

1A zeigt ein derartiges bekanntes Verfahren, wobei ein Signaltreiber zur Lieferung eines Taktsignals für alle Komponenten eines Mikroprozessor-Bauelements benutzt wird. Ein integriertes Mikroprozessorschaltungsbauelement 10 ist so dargestellt, daß seine metallische Oberschicht nach oben weist. Innerhalb dieser metallischen Oberschicht befindet sich ein Verbindungspunkt von einem Takttreiber 12, der den Mikroprozessortakt treibt. Der Systemtakt wird dem Chip gewöhnlich von außen her durch ein Oszillatornetzwerk oder eine Oszillatorschaltung eingegeben. Der Taktoszillator wird dann vom Takttreiber 12 getrieben. Der Treiber ist mit einer sehr breiten Leitung 14a gekoppelt, die danach über das Mikroprozessor-Bauelement in einem Baum- oder Verzweigungsschema in der dargestellten Weise verschaltet ist. Verschiedene Komponenten des Mikroprozessors koppeln dann an verschiedenen Punkten zur Verzweigungsstruktur, wie es zur Gewinnung von Zugriff auf das zugeführte Taktsignal benötigt wird. Wie dargestellt, haben die Zweige 14b und 14c des Baums eine geringere Breite als die Anfangsleitung 14a, welche direkt mit dem Treiber 12 gekoppelt ist. Die direkt mit dem Treiber gekoppelte Leitung muß breiter sein, um das gesamte Taktsignal durch die Komponenten des Mikroprozessor-Bauelements 10 zu führen. 1A shows such a known method wherein a signal driver is used to provide a clock signal to all components of a microprocessor device. An integrated microprocessor circuit device 10 is shown with its metallic top layer facing upward. Within this metallic upper layer is a connection point of a clock driver 12 that drives the microprocessor clock. The system clock is usually input to the chip from the outside through an oscillator network or an oscillator circuit. The clock oscillator then becomes the clock driver 12 driven. The driver is with a very wide line 14a which is then interconnected via the microprocessor device in a tree or branch scheme as shown. Different components of the microprocessor then couple at different points to the branching structure as needed to gain access to the supplied clock signal. As shown, the branches have 14b and 14c the tree has a smaller width than the initial line 14a which directly with the driver 12 is coupled. The directly with The driver coupled line must be wider to pass the entire clock signal through the components of the microprocessor device 10 respectively.

Weil ein einziger Takttreiber 12 nach der Methode gemäß 1A zur Versorgung des Gesamtchips verwendet wird, muß er sehr leistungsstark sein; hierin liegt jedoch nicht der einzige Grund, warum diese bekannte Technik einen Treiber hohen Stroms benutzt. Es ist erwünscht, den Widerstand der Leitung 14a durch Erhöhung ihrer Breite zu reduzieren, um die gesamte RC-Komponente der Leitung zu verringern. Der Widerstand innerhalb der Takttreiberleitungen 14a, 14b und 14c ist eine Funktion der Länge der Signalleitung zwischen einem Punkt und dem Treiber. Signalverzerrung ist eine Funktion des Widerstands und der Kapazität (RC) der Leitung. Wenn die Signalleitungen relativ schmal sind, gleicht der proportionale Anstieg der Leitungskapazität nicht die proportionale Verringerung des Widerstands bei Verbreiterung der Leitung 14a aus, so daß das gesamte RC-Produkt bei Verbreiterung von 14a abnimmt. Durch Verringerung des Widerstands muß jedoch die Takttreibergröße zunehmen, um der Taktleitung zusätzlichen Strom zu liefern. Eine Leistungserhöhung wird zur Verminderung der Taktverzerrung verwendet. Dieser leistungsstarke Treiber kann einen übermäßigen Rauschpegel in Zuordnung zum Taktsignal erzeugen. Unter diesem System werden die Signalleitungen 14a auf einen niedrigeren Widerstand verbreitert, was relativ leistungsstarke Takttreiber bedingt. Alle genannten Veränderungen wurde im Bemühen zum Verringern der Signalverzerrung gemacht.Because a single clock driver 12 according to the method according to 1A used to power the overall chip, it must be very powerful; however, this is not the only reason why this known technique uses a high current driver. It is desirable to have the resistance of the line 14a by increasing their width to reduce the overall RC component of the line. The resistance within the clock driver lines 14a . 14b and 14c is a function of the length of the signal line between a point and the driver. Signal distortion is a function of the resistance and capacitance (RC) of the line. If the signal lines are relatively narrow, the proportional increase in line capacitance does not equal the proportional reduction in resistance as the line widen 14a so that the entire RC product widened by 14a decreases. However, by reducing the resistance, the clock driver size must increase to provide additional power to the clock line. An increase in power is used to reduce the clock distortion. This powerful driver can produce excessive noise level associated with the clock signal. Under this system are the signal lines 14a broadened to a lower resistance, which requires relatively powerful clock drivers. All of these changes have been made in an effort to reduce signal distortion.

Da die Länge der Signalleitungen bei der bekannten Methode gemäß 1A groß ist, sind die mit dem Taktsignal bei dieser Methode verbunden Verzerrungen sehr hoch und von Komponente zu Komponente unvorhersehbar. Bei größeren Mikroprozessoren kann diese Verzerrung 1,0 Nanosekunden in Grad erreichen. Dies ist ein unannehmbar hoher Verzerrungspegel bei modernen Computern, die bei Geschwindigkeiten deutlich oberhalb von 50 MHz arbeiten. Auch die variable Breite der Signalleitung (d.h. von sehr breit bei 14a bis relativ schmal bei 14c) trägt zu stärker veränderlichen Verzerrungen in dem durch den Mikroprozessor 10 gelieferten Signal in Abhängigkeit von der Länge der Signalleitung bei. Daher bedingt diese bekannte Technik einen Takttreiber hoher Leistung (und damit starken Rauschens), und sie hat eine dem Taktsignal zugeordnete entsprechend stark schwankende Verzerrung. Benötigt wird ein Taktverteilungssystem, das das Ausmaß der Verzerrung innerhalb des durch den Mikroprozessor geleitenden Taktsignals auch ohne Unterstützung durch leistungsstarke Treiber reduziert.Since the length of the signal lines in the known method according to 1A is large, the distortions associated with the clock signal in this method are very high and unpredictable from component to component. For larger microprocessors, this distortion can reach 1.0 nanoseconds in degrees. This is an unacceptably high level of distortion in modern computers that operate at speeds well above 50 MHz. Also, the variable width of the signal line (ie of very wide at 14a until relatively narrow at 14c ) contributes to more variable distortions in the microprocessor 10 delivered signal depending on the length of the signal line at. Therefore, this prior art technique requires a high power clock driver (and thus heavy noise) and has a correspondingly large amount of distortion associated with the clock signal. What is needed is a clock distribution system which reduces the amount of distortion within the clock signal passing through the microprocessor even without the assistance of powerful drivers.

1B zeigt eine andere bekannte Taktversorgungsimplementierung, bei der mehrere unterschiedliche Stromtreiber 17a17d Verwendung finden, die jeweils das gleiche Takt-Eingangssignal empfangen. Die Ausgangssignale aller Treiber werden dann zu separaten Schaltungsblöcken innerhalb des Mikroprozessors übertragen. Beispielsweise ist der Treiber 17a mit dem Block 15a und der Treiber 17b mit dem Block 15b usw. gekoppelt, so daß jeder Block 15a15d sein Taktsignal von einem separaten Treiber 17a17d erhält. Die Breite jeder dieser Leitungen sind konstant eingestellt. Auch die Längen aller Leitungen sind gleich gemacht. Da die Komponenten 15a15d in unterschiedlichen Abständen zu den ihnen zugeordnenten Taktgeneratoren angeordnet sind, sind die Leitungen 18, 19, 20 und 21 in einigen Bereichen zurückgefaltet, um die konstante Länge zu erreichen. Beispielsweise hat die Leitung 18 wenige hin- und zurücklaufende Leitungen, so daß ihre Länge gleich der Länge der Leitung 19 ist usw.. Die längste Leitung 20 hat keine Rückfaltung und bestimmt die Länge aller anderen Taktleitungen. Auf diese Weise liefert das Mikroprozessor-Bauelement 10 nach dieser Konstruktion ein Taktsignal an jede Komponente. Dieses System ist in der Lage, Treiber 17a17d geringerer Leistung zu benutzen, da die Leitungen schmaler sind und mehr separate Leitungen zur Verteilung des Taktsignals vorhanden sind. 1B Figure 11 shows another known clock supply implementation in which several different power drivers 17a - 17d Find use, each receiving the same clock input signal. The output signals of all drivers are then transferred to separate circuit blocks within the microprocessor. For example, the driver is 17a with the block 15a and the driver 17b with the block 15b etc. coupled so that each block 15a - 15d its clock signal from a separate driver 17a - 17d receives. The width of each of these lines are set constant. The lengths of all lines are made equal. Because the components 15a - 15d are arranged at different distances to their associated clock generators, the lines 18 . 19 . 20 and 21 folded back in some areas to reach the constant length. For example, the line has 18 a few reciprocating lines, so that their length is equal to the length of the line 19 is etc. The longest lead 20 has no refolding and determines the length of all other clock lines. In this way, the microprocessor component delivers 10 according to this construction, a clock signal to each component. This system is capable of drivers 17a - 17d lower power because the lines are narrower and there are more separate lines for distributing the clock signal.

Theoretisch scheint diese bekannte Konstruktion gemäß 1B zu funktionieren; sie hat jedoch eine Reihe von Nachteilen. Zunächst ist es nicht ohne weiteres möglich, eine konstante Breite der Leitungen 1821 über die gesamte Signalleitung von den Takttreibern zu den Komponenten aufrechtzuerhalten. Außerdem kreuzt jede der Leitungen. über und unter unterschiedliche Schaltungstopologien des Mikroprozessors. Daraus ergeben sich unterschiedliche effektive Kapazitäten der Gesamtleitungen. Unkontrollierbare Unterschiede bei der Herstellung der Signalleitungen in den Verarbeitungsstufen des Mikroprozessors führen zu Dickenänderungen des Dielektrikums dieser Leitungen von bis zu 20 %, wodurch die Kapazität dieser Leitungen entsprechend Änderungen erfährt und damit zur topologischen Fehlanpassung beiträgt. Obwohl diese Schwankungen in allen Konstruktionen existieren können, kann man bei diesem bekannten System derartigen Schwankungen nicht in vorteilhafter Weise Rechnung tragen.Theoretically, this known construction seems to be correct 1B to work; However, it has a number of disadvantages. First, it is not readily possible, a constant width of the lines 18 - 21 over the entire signal line from the clock drivers to the components. Besides, each of the wires crosses. above and below different circuit topologies of the microprocessor. This results in different effective capacities of the total lines. Uncontrollable differences in the production of the signal lines in the processing stages of the microprocessor lead to changes in the thickness of the dielectric of these lines of up to 20%, whereby the capacity of these lines undergoes corresponding changes and thus contributes to the topological mismatch. Although these variations may exist in all constructions, such variations can not be accommodated advantageously in this known system.

Zusammenfassend ist festzuhalten, daß man möglicherweise die Kapazitäts- und Widerstandswerte der Taktsignalleitungen nicht über die gesamte Topologie des integrierten Mikroprozessor-Bauelements 10 übereinstimmend machen kann. Die Änderungen des Widerstands und der Kapazität der Taktsignalleitungen 1821 rufen unerwünschte Signalverzerrungen innerhalb der den Komponenten des Mikroprozessors zugeführten Taktsignale hervor. Daher machen Unterschiede in den dielektrischen Werten und Herstellungsunregelmäßigkeiten diese bekannte Methode nicht ohne weiteres realisierbar.In summary, it may be noted that one may not have the capacitance and resistance values of the clock signal lines over the entire topology of the integrated microprocessor device 10 can make coincidental. The changes in the resistance and the capacity of the clock signal lines 18 - 21 Call unwanted signal distortions within the components of the microprocessor produced clock signals. Therefore, differences in dielectric values and manufacturing irregularities do not make this known method readily feasible.

Eine dritte bekannte Konstruktion ist in 1C dargestellt. Bei diesem System sind viele Takttreiber 21 im Mittelstreifen des Mikroprozessors 10 angeordnet und liefern Taktsignale horizontal auswärts nach den linken und rechten Seiten über die Topologie des Chips unter Verwendung einer horizontalen Signalleitung für jeden Treiber. Die Treiber 21 sind über die gesamte Breite des Mikroprozessorchips verteilt. Die maximale Länge jeder horizontalen Treiberleitung ist die Hälfte der Länge des Mikroprozessorchips. Zahlreiche Schaltungskomponenten 24 und 25 zapfen diese Taktsignale dort an, wo die Treiber die dargestellten horizontalen Taktsignalleitungen versorgen. Jeder der Takttreiber wird über eine Versorgungsleitung 23 mit Strom versorgt, welche mit dem Außenabschnitt des Chips gekoppelt ist, auf dem die Stromversorgungsanschlüsse 28 angeordnet sind. Jeder der Treiber 21 muß mit Strom versorgt werden. Das anfängliche Taktsignal wird über den Mittelstreifen des Mikroprozessor-Bauelements 10 den Treibern 21 zugeführt. Dieses bekannte System ist ein verteiltes Taktschema.A third known construction is in 1C shown. There are many clock drivers in this system 21 in the median strip of the microprocessor 10 and provide clock signals horizontally outward to the left and right sides over the topology of the chip using a horizontal signal line for each driver. The drivers 21 are distributed over the entire width of the microprocessor chip. The maximum length of each horizontal drive line is half the length of the microprocessor chip. Numerous circuit components 24 and 25 tap these clock signals where the drivers supply the illustrated horizontal clock signal lines. Each of the clock drivers is via a utility line 23 powered, which is coupled to the outer portion of the chip, on which the power supply terminals 28 are arranged. Each of the drivers 21 must be powered. The initial clock signal is passed over the median strip of the microprocessor device 10 the drivers 21 fed. This known system is a distributed clock scheme.

Betrachtet man das bekannte Taktverteilungssystem gemäßconsidered one the known clock distribution system according to

1C, wäre es vorteilhaft, zur Energieeinsparung das das Mikroprozessor-Bauelement versorgende Taktsignal unterbrechen zu können. Bei einigen Anwendungen, so insbesondere in Laptop-Systemen, ist es vorteilhaft, Energie durch Reduzierung der dem Mikroprozessor zugeführten Taktimpulse einzusparen. Bekannte Systeme wurden entwickelt, welche das den gesamten Mikroprozessor versorgende Taktsignal steuern. Aus der EP 0 451 661 A2 ist ein Mikroprozessor mit mehreren Operationseinheiten bekannt, die jeweils über einen Taktwähler mit einem von mehreren verschieden schnellen Taktsignalen versorgt werden können, wobei die Taktversorgungsfrequenz in Abhängigkeit von der Aktivität der zugeordneten Operationseinheit gewählt wird. 1C For example, it would be advantageous to be able to interrupt the clock signal supplying the microprocessor component in order to save energy. In some applications, especially in laptop systems, it is advantageous to save energy by reducing the clock pulses applied to the microprocessor. Known systems have been developed which control the clock signal supplying the entire microprocessor. From the EP 0 451 661 A2 a microprocessor with a plurality of operation units is known, each of which can be supplied via a clock selector with one of a plurality of different fast clock signals, wherein the clock supply frequency is selected in dependence on the activity of the associated operation unit.

Aufgabe der Erfindung ist es, bei einer integrierten Schaltung mit mehreren Schaltungskomponenten, die durch mehrere Takttreiber versorgt werden, zugleich eine synchrone Taktversorgung und ein Wirksames Management des Energieverbrauchs zur Verfügung zu stellen.task The invention is in an integrated circuit with several Circuit components powered by multiple clock drivers at the same time a synchronous clock supply and effective management of energy consumption available to deliver.

Diese Aufgabe wird erfindungsgemäß durch eine integrierte Schaltung den Merkmalen des Anspruchs 1 gelöst.These Task is achieved by an integrated circuit solved the features of claim 1.

Die Erfindung verbindet die dezentrale Bereitstellung eines synchronen Taktes über eine Mehrzahl verteilt angeordneter globaler Takttreiber und zugeordneter Zuführeinrichtungen mit einer einfach in den Takttreibern zu implementierenden Möglichkeit der Leistungsreduktion, nämlich mit einer Takt-Deaktivierung für zugeordnete Komponenten des Chips.The Invention combines the decentralized provision of a synchronous Tact over a plurality of distributed global clock drivers and associated ones feeding with a simple way to implement in the clock drivers the power reduction, namely with a clock deactivation for associated components of the chip.

Diese verteilte Implementierung der Leistungssteuerung gestattet Taktversorgungsnetzwerke mit minimalem Versatz der Taktsignale.These Distributed power control implementation allows clock supply networks with minimal offset of the clock signals.

Eine Ausführungsform der Erfindung ist eine in einem Mikroprozessor mit einer Vielzahl von Schaltungskomponenten vorgesehene Einrichtung zum Leistungsmanagement des Mikroprozessors. Diese Einrichtung enthält eine Vielzahl von im Mikroprozessor angeordneten globalen Takttreibermitteln zur Erzeugung einer Vielzahl synchroner Taktsignale, eine Viel zahl von Zuführmitteln, die die individuellen Schaltungskomponenten des Mikroprozessors mit Taktsignalen versorgen und jeweils mit einem globalen Takttreibermittel verbunden sind, innerhalb jedes globalen Takttreibermittels angeordnete Entaktivierungsmittel zum Unterbrechen der den individuellen Schaltungskomponenten zugeführten Taktsignale und Leistungsmanagementmittel, die mit den Entaktivierungsmitteln und dem Mikroprozessor gekoppelt sind und die Entaktivierungsmittel zum selektiven Unterbrechen oder Wiederherstellen des synchronen Taktsignals an verschiedenen Schaltungskomponenten des Mikroprozessors steuern.A embodiment The invention is one in a multiprocessor microprocessor power management device provided by circuit components of the microprocessor. This device contains a variety of in the microprocessor arranged global clock driver means for generating a plurality Synchronous clock signals, a lot of number of feeding means, the individual Supply circuit components of the microprocessor with clock signals and each connected to a global clock driver means, deactivation means located within each global clock driver means for interrupting the clock signals supplied to the individual circuit components and performance management agents using the deactivating agents and the microprocessor and the deactivating means to selectively pause or restore the synchronous Clock signal to various circuit components of the microprocessor Taxes.

Vorteilhafte Weiterbildungen der Erfindung sind in den Unteransprüchen gekennzeichnet.advantageous Further developments of the invention are characterized in the subclaims.

Im folgenden wird die Erfindung anhand von in der Zeichnung dargestellten Ausführungsbeispielen näher erläutert. Innerhalb der Zeichnung zeigen:in the Following is the invention with reference to shown in the drawing embodiments explained in more detail. Within show the drawing:

1A ein bekanntes System für eine Taktsignalverteilung unter Verwendung nur eines einzigen Signaltreibers hoher Leistung; 1A a known system for a clock signal distribution using only a single high-power signal driver;

1B ein bekanntes System der Taktverteilung, welches eine separate und angepaßte Signalleitung für jede Schaltungskomponente verwendet; 1B a known clock distribution system which uses a separate and matched signal line for each circuit component;

1C ein anderes bekanntes System der Taktverteilung unter Verwendung einer ein verteiltes Netzwerk bildenden Treibermatrix, welche Rauschen erzeugt und Schaltungsplatz des Mikroprozessors benötigt; 1C another known system of clock distribution using a distributed network forming driver matrix which generates noise and requires circuit space of the microprocessor;

2 eine Darstellung eines integrierten Schaltungsbauelements gemäß einem Ausführungsbeispiel der Erfindung unter Verwendung eines Taktverteilungsnetzwerkes aus zwei Reihen globaler Treiber und zugehöriger Zuführleitungen, die an entgegengesetzten Rändern des integrierten Schaltungsbauelements mit nach innen gerichteter Versorgung angeordnet sind; 2 a representation of an integrated circuit device according to an embodiment of the invention using a clock distribution network of two rows of global drivers and associated supply lines, which are arranged on opposite edges of the integrated circuit device with inward supply;

3 eine Darstellung des neuen Taktverteilungsnetzwerkes mit den den Zwischentreibern und den globalen Treibern zugeordneten angepaßten Stufen; 3 a representation of the new clock distribution network with the adapted stages associated with the intermediate drivers and the global drivers;

4 das Taktverteilungsnetzwerk mit den Ausrichtleitungen, die zur Kopplung der globalen Treiber mit den integrierten Schaltungskomponenten dienen; 4 the clock distribution network with the alignment lines used to couple the global drivers to the integrated circuit components;

5 eine Darstellung eines globalen Treibers zur genaueren Beschreibung der Aktivierungs- und Entaktivierungsfunktionen des globalen Treibers; 5 a representation of a global driver to more fully describe the activation and deactivation functions of the global driver;

6A eine genauere Darstellung der Leistungsmanagementfunktionen bei dem beschriebenen Ausführungsbeispiel, einschließlich des mit den globalen Treibern gekoppelten Aktivierungsnetzwerkes; 6A a more detailed illustration of the power management functions in the described embodiment, including the activation network coupled to the global drivers;

6B eine Darstellung eines Mikroprozessors und eines vollständigen Taktverteilungsnetzwerkes gemäß dem Ausführungsbeispiel der Erfindung, einschließlich der 60 globalen Treiber und des Leistungsmanagementnetzwerkes und der Einheit; 6B an illustration of a microprocessor and a complete clock distribution network according to the embodiment of the invention, including the 60 global drivers and the power management network and the unit;

7 ein Ablaufdiagramm zur Veranschaulichung der Hauptverfahrensschritte, wie sie bei der vorliegenden Erfindung zur Ausführung der Leistungsmanagementfunktionen verwendet werden; 7 a flow chart illustrating the main process steps, as used in the present invention for performing the power management functions;

8 ein universales Computersystem unter Verwendung der integrierten Schaltung nach der vorliegenden Erfindung; 8th a universal computer system using the integrated circuit of the present invention;

9 die Stromversorgungsanschlüsse, wie sie bei dem beschriebenen Taktversorgungsnetzwerkes verwendet werden. 9 the power supply terminals, as used in the described clock supply network.

Die Erfindung befaßt sich mit einer Einrichtung und einem Verfahren zum Verteilen eines Taktsignals durch die Komponenten eines Mikroprozessorsbauelements derart, daß die Signalverzerrung an jedem gegebenen Versorgungspunkt des Taktsignals vorhersehbar und minimal ist (kleiner als 100 Picosekunden). Unter Verwendung des erfindungsgemäßen Verteilungssystem erreicht das Taktsignal jede Komponente des Mikroprozessors in praktisch der gleichen Zeit, unabhängig von dem speziellen von der Komponente ausgewählten Anschluß-Abzweigpunkt. Entlang der Außenränder (Peripherie) der Mikroprozessortopologie sind die Takttreiber angeordnet, welche Taktsignale in Richtung des Zentrums oder der Innenzonen des Mikroprozessors liefern. Die Taktsignaltreiber sind in der Umgebung eines Versorgungsanschlusses des Mikroprozessors angeordnet. Diese umgebenden Räume des Versorgungsanschlusses sind bei typischer Ausbildung von Mikroprozessoren andernfalls unbenutzt, so daß die Signaltreiber bei der erfindungsgemäßen Ausbildung keinen für andere Zwecke nutzbaren Schaltungsraum belegen. Da die Signaltreiber am Rande des Mikroprozessorsbauelements in integrierter Schaltungstechnik angeordnet sind, liegen sie nahe den Stromversorgungspins. Daher ist der Widerstand innerhalb der die Takttreiber speisenden Leitungen wegen der Kürze der Versorgungsleitungen sehr niedrig.The Invention concerned with a device and a method for distributing a Clock signal through the components of a microprocessor device such that the Signal distortion at any given supply point of the clock signal predictable and minimal (less than 100 picoseconds). Under use the distribution system according to the invention The clock signal reaches virtually every component of the microprocessor the same time, independently from the particular port branch point selected by the component. Along the outer edges (periphery) the microprocessor topology are arranged the clock drivers, which Clock signals toward the center or inner zones of the microprocessor deliver. The clock drivers are in the vicinity of a supply connection arranged of the microprocessor. These surrounding spaces of the Supply connections are typical for microprocessors otherwise unused, so that the Signal driver in the inventive training not for others Purpose usable circuit space occupy. Since the signal drivers on Edge of the microprocessor device in integrated circuit technology are arranged, they are close to the power supply pins. thats why the resistance within the lines driving the clock drivers because of the brevity the supply lines very low.

Außerdem beinhaltet das beschriebene Ausführungsbeispiel der Erfindung für jeden Takttreiber eine Aktivierungsfunktion, damit jeder Takttreiber entaktiviert werden kann, um die Taktsignalzuführ zu verschiedenen Komponenten des Mikroprozessors zeitweilig zu unterbrechen. Dies kann durch selektives Abschal ten gewisser Komponenten des Mikroprozessors während der Leistungsmanagementfunktionen geschehen. Diese Funktion ist besonders nützlich in Mikroprozessoren für Laptop-Computersysteme oder batteriebetriebene Systeme.It also includes the described embodiment the invention for Each clock driver has an activation function, hence each clock driver can be deactivated to the Taktsignalzuführ to various components of the microprocessor to interrupt temporarily. This can be done by selective switching off of certain components of the microprocessor during the Performance management functions happen. This feature is special useful in microprocessors for Laptop computer systems or battery powered systems.

In der folgenden Detailbeschreibung der Erfindung sind zahlreiche Einzelheiten angegeben, um das Verständis für die vorliegende Erfindung zu vertiefen. Es ist jedoch für den Fachmann klar, daß die Erfindung auch ohne diese speziellen Einzelheiten realisiert werden kann. In anderen Fällen sind bekannte Verfahren, Komponenten, Systeme und Elektronikanordnungen nicht im einzelnen beschriebenen, um die Erfindung nicht mit unnötigen Einzelheiten zu belasten. Es ist außerdem zu beachten, daß Komponenten der im folgenden beschriebenen Figuren der Erfindungen nicht notwendigerweise maßstabsgerecht und räumlich richtig dargestellt sind. Die erfindungswesentlichen Komponenten sind in diesen Figuren zum Zwecke der Darstellung und Klarheit, aber nicht nach ihrem Maßstab gezeigt.In the following detailed description of the invention, numerous details are set forth to further the understanding of the present invention. However, it will be clear to those skilled in the art that the invention even without these special details can be realized. In other instances, well-known methods, components, systems, and electronics are not described in detail so as not to unnecessarily obscure the invention. It should also be noted that components of the figures of the inventions described below are not necessarily drawn to scale and spatially correct. The components essential to the invention are shown in these figures for the purpose of illustration and clarity, but not according to their scale.

Generell enthält das Computersystem 130 des bewußten Ausführungsbeispiels der Erfindung gemäß Blockdarstellung in 8 einen Bus 100 zur Informationsübertragung, einen mit dem Bus zur Informations- und Befehlverarbeitung gekoppelten zentralen Prozessor 101, einen mit dem Bus 100 zur Speicherung von Informationen und Befehlen für den zentralen Prozessor 101 gekoppelten Dirketzugriffsspeicher 102, einen mit dem Bus 100 gekoppelten, der Speicherung statischer Informationen und Befehle für den Prozessor 101 dienenden Nur-Lese-Speicher 103, einen Datenspeicher 104, z.B. eine magnetische oder optische Platte und ein mit dem Bus 100 gekoppeltes Plattenlaufwerk zur Speicherung von Informationen und Befehlen, ein mit dem Bus 100 gekoppeltes Display 105 zur Anzeige von Informationen für den Computerbenutzer, ein mit alphanumerischen Tasten und Funktionstasten versehenes alphanumersiches Eingabegerät 106, das mit dem Bus 100 zum Austauschen von Informationen und Befehlsselektionen an den zentralen Prozessor 101, ein mit dem Bus gekoppeltes Cur sor-Steuergerät 107 zum Übertragen von Benutzer-Eingangsinformationen und Befehlsauswahlen an den zentralen Prozessor 101, ein mit dem Bus 100 gekoppeltes Signalerzeugungsgerät 108, zur Übertragung von Befehlsauswahlen an den Prozessor 101. Mit dem Mikroprozessor des beschriebenen Ausführungsbeispiels ist ein Quarzoszillator 110 gekoppelt, der zusammen mit anderen bekannten Takterzeugungsschaltungen verwendet wird, um einen den Mikroprozessor zugeführten Systemtakt zu erzeugen. Ein gemeinsamer Takttreiber 301 (in 8 nicht gezeigt) ist so angeordnet, daß er das von der Oszillatorschaltung 110 erzeugte Taktsignal aufnehmen und verstärken kann. Es ist klar, daß bei den Ausführungsbeispielen gemäß der Erfindung einen Phaseverriegelte Schleifenschaltungen (PLL) verwendet werden kann, welche zwischen der Schaltung 110 und dem Treiber 301 angeordnet wird; eine solche PLL wird für die Taktversorgungsfunktionen des beschriebenen Ausführungsbeispiels verwendet, um die tatsächliche Taktsignalverzögerung zu verringern.Generally, the computer system contains 130 the deliberate embodiment of the invention according to block diagram in 8th a bus 100 for information transmission, a central processor coupled to the bus for information and command processing 101 , one by bus 100 for storing information and commands for the central processor 101 coupled random access memory 102 , one by bus 100 coupled, storing static information and instructions for the processor 101 serving read-only memory 103 , a data store 104 eg a magnetic or optical disk and one with the bus 100 coupled disk drive for storing information and commands, one with the bus 100 coupled display 105 for displaying information to the computer user, an alphanumeric input device provided with alphanumeric keys and function keys 106 that by bus 100 for exchanging information and command selections to the central processor 101 , a cur sor control unit coupled to the bus 107 for transmitting user input information and command selections to the central processor 101 , one by bus 100 coupled signal generating device 108 , for transmitting command selections to the processor 101 , With the microprocessor of the described embodiment is a quartz oscillator 110 which is used in conjunction with other known clock generating circuits to generate a system clock supplied to the microprocessor. A common clock driver 301 (in 8th not shown) is arranged to receive the signal from the oscillator circuit 110 record and amplify generated clock signal. It is clear that in the embodiments according to the invention, a phase-locked loop circuits (PLL) can be used, which between the circuit 110 and the driver 301 is arranged; such a PLL is used for the clock supply functions of the described embodiment to reduce the actual clock signal delay.

Das Anzeigegerät 105 der 8 kann bei Verwendung mit einem Computersystem gemäß der Erfindung ein Flüssigkristallgerät, eine Kathodenstrahlröhre oder ein anderes Anzeigegerät sein, das zum Erzeugen graphischer Bilder und alphanumerischer, für den Benutzer erkennbarer Zeichen geeignet ist. Das Cursor-Steuergerät 107 ermöglicht dem Computer-Benutzer, die zweidimensionale Bewegung eines sichtbaren Cursorsymbols (Zeiger) auf einem Bildschirm des Displays dynamisch zu signalisieren. Viele Ausführungsformen des Cursor-Steuergeräts sind im Stande der Technik bekannt, einschließlich eines Trackballs, einer Maus, eines Joysticks oder spezieller Tasten auf dem alphanumerischen Eingabegerät 105, mit deren Hilfe die Bewegung einer vorgegebenen Richtung oder die Art der Verschiebung signalisiert werden kann. Es ist klar, daß das Cursor-Steuergerät 107 auch durch Eingabe von der Tastatur aus unter Verwendung spezieller Tasten und spezieller Tastenfolgebefehlen gesteuert und/oder aktiviert werden kann.The display device 105 of the 8th For example, when used with a computer system according to the invention, may be a liquid crystal device, a CRT, or other display device suitable for generating graphic images and alphanumeric characters recognizable to the user. The cursor control device 107 allows the computer user to dynamically signal the two-dimensional movement of a visible cursor icon (pointer) on a screen of the display. Many embodiments of the cursor controller are known in the art, including a trackball, mouse, joystick, or special buttons on the alphanumeric input device 105 , with the help of which the movement of a given direction or the type of displacement can be signaled. It is clear that the cursor control device 107 can also be controlled and / or activated by input from the keyboard using special keys and special key sequence commands.

Die folgende Detailbeschreibung bezieht sich auf die Taktverteiung und das Interrupt-System innerhalb einer integrierten Mikroprozessorschaltung. Es ist jedoch klar, daß die Vorteile, Konstruktionen und Komponenten der Erfindung auch in anderen, Taktsignal verwendenden integrierten Schaltungen implementiert werden können, und daß der Mikroprozessor als Darstellung der Funktionalität der Erfindung beschrieben worden ist. Die Erfindung ist daher nicht beschränkt auf die Technologie und die Umgebung eines Mikroprozessorgeräts; sie gilt vielmehr für alle taktgetriebenen integrierten Schaltungen.The The following detailed description refers to the Taktverteiung and the interrupt system within an integrated microprocessor circuit. However, it is clear that the Advantages, constructions and components of the invention also in other, Clock signal using integrated circuits can be implemented can, and that the Microprocessor described as an illustration of the functionality of the invention has been. The invention is therefore not limited to the technology and the environment of a microprocessor device; Rather, it applies to all clock-driven integrated circuits.

Taktverteilungsnetzwerk des beschriebenen AusführungsbeispielsClock distribution network of the described embodiment

Im folgenden wird auf 2 bezug genommen, welche das bevorzugte Ausführungsbeispiel der Erfindung darstellt. Die oberste Verarbeitungsschicht einer integrierten Mikroprozessorschaltung 200 ist gezeigt. Bei diesem beschriebenen Ausführungsbeispiel sind globale Takttreiber 30 entlang der linken und rechten Ränder des Mikroprozessor-Bauelements 200 angeordnet. Jeder der globalen Takttreiber 30 wird mit einem Taktsignal über angepaßte Eingangsleitungen (nicht gezeigt) versorgt. Jeder Takttreiber 30 liefert durch Zuführleitungen 31 ein Taktsignal in die Mittelabschnitte des Mikroprozessor-Bauelements 200. Die Zuführleitungen 31 sind jeweils mit einem separaten globalen Treiber 30 gekoppelt, der das Systemtaktsignal an die Zuführleitung 31 liefert. Durch Anordnung der globalen Treiber entlang der linken und rechten Peripherie des Mikroprozessors und die Anordnung von einwärts verlaufenden Zuführleitungen stellt die Erfindung den Systemtakt an vielen Stellen über die Topologie des Mikroprozessor-Bauelements 200 verteilt zur Verfügung. Das Taktsignal kann jeder Zuführleitung abgegriffen werden, um eine beliebige Komponente oder Teilschaltung des Mikroprozessor-Bauelements mit einem Taktsignal zu versorgen.The following will be on 2 which is the preferred embodiment of the invention. The topmost processing layer of a microprocessor integrated circuit 200 is shown. In this described embodiment, global clock drivers are 30 along the left and right edges of the microprocessor device 200 arranged. Each of the global clock drivers 30 is supplied with a clock signal via matched input lines (not shown). Every clock driver 30 supplies through supply lines 31 a clock signal in the middle sections of the microprocessor device 200 , The supply lines 31 are each with a separate global driver 30 coupled to the system clock signal to the feed line 31 supplies. By placing the global drivers along the left and right peripherals of the microprocessor and the array of inbound feedlines, the invention places the system clock at many locations across the topology of the microprocessor device 200 distributed. The clock signal can be tapped from each supply line to any component or subcircuit of the Microprocessor device to provide a clock signal.

Die Zuführleitungen 31 bestehen aus M4-Metall, das während eines typischen Halbleiter-Herstellungsverfahrens als Metallschicht in der vierten Ebene verwendet wird. Das Metall M4 (Metall der oberen Ebene) besteht hauptsächlich aus Aluminium (über 90 %) und ist eines der bei der Halbleiterherstellung verwendeten Metalle mit dem niedrigsten Widerstand. Die Erfindung benutzt das M4-Metall, da sein Herstellungsniveau eine sehr dicke Ausbildung der Zuführleitungen und damit die Verringerung des Widerstands der Zuführleitungen ermöglicht. Das M4-Niveau wurde außerdem gewählt, da dieses Niveau der Erstellung der Versorgungsleitungen zugeordnet ist, die räumlich relativ entfernt von dem Rest der Schaltungsstopologie des Mikroprozessors angeordnet sind. Da diese Leitungen getrennt von der Schaltungstopologie sind, stören sie diese Topologie nicht und nehmen keinen für andere Zwecke nutzbaren Raum der Schaltungstopologie in Anspruch. Durch Anordnung der Haupttaktzuführleitungen (d.h. 340, 341, 342 usw.) und anderer Taktsignalleitungen in dem M4-Niveau ist die Erfindung in der Lage, diese Leitungen leichter RC-anzupassen, da das Versorgungsleitungniveau eine gut vorhersehbare niedrige Niveautopologie sieht, der ein relativ konstantes Dielektrikum zugeordnet ist, während der Rest der Mikroprozessortopologie veränderlich und hinsichtlich der RC-Anpassung unvorhersehbar ist.The supply lines 31 consist of M 4 metal, which is used as a metal layer in the fourth level during a typical semiconductor manufacturing process. The metal M 4 (upper level metal) consists mainly of aluminum (over 90%) and is one of the lowest resistance metals used in semiconductor manufacturing. The invention uses the M 4 metal, since its manufacturing level allows a very thick formation of the supply lines and thus the reduction of the resistance of the supply lines. The M 4 level has also been chosen because this level is assigned to the creation of the supply lines that are spatially located relatively remotely from the rest of the circuit topology of the microprocessor. Because these lines are separate from the circuit topology, they do not interfere with this topology and do not utilize any circuit-topology space that can be used for other purposes. By arranging the main clock feed lines (ie, 340, 341, 342, etc.) and other clock signal lines at the M 4 level, the invention is able to more easily RC-adapt these lines, since the supply line level sees a well-predictable low level topology which is a relatively low while the rest of the microprocessor topology is variable and unpredictable in RC matching.

Die von dem beschriebenen Ausführungsbeispiel der Erfindung für die Takttreiber benutzten Transistoren gehören der CMOS-Technologie an. Dies liegt daran, daß CMOS-Treiber im Vergleich zu den BiCMOS- und NMOS-Technologien unempflindlicher gegen Stromversorgungsschwankungen sind und daher gleichmäßigere Tastverhältnisse in den resultierenden Taktsignalen erzeugen. Die Erfindung benutzt ein Taktsignal mit einem 50%igen Tastverhälntis. Die CMOS-Treiber haben äquivalentere Signalanstiegs- und -abfallzeiten und erzeugen ein gleichmäßigeres und abgeglicheneres Taktsignal.The from the described embodiment the invention for the clock drivers used transistors belong to the CMOS technology. This is because of CMOS driver less sensitive compared to BiCMOS and NMOS technologies are against power supply fluctuations and therefore more uniform duty cycles in the resulting clock signals. The invention uses a clock signal with a 50% Tastverhälntis. The CMOS drivers have more equivalent ones Signal rise and waste times and produce a smoother and more balanced Clock signal.

Im folgenden wird die Betriebstheorie der Erfindung beschrieben. Angepaßte Signalleitungen, d.h. Signalleitungen mit angepaßten Widerständen und Kapazitäten für jede Leitung, tragen zur Verringerung der Signalverzögerungsschwankungen über die Komponenten des Mikroprozessor-Bauelements bei. Eine Signalverzerrung als Folge einer Fehlanpassung oder eine RC-Verzerrung (Änderungen der Taktverzögerungen) kann (innerhalb der Zuführleitungen) durch Verringerung des effektiven Widerstandes und der effektiven Kapazität innerhalb einer Taktzuführleitung reduziert werden. Im Hinblick auf die Herstellungsschwankungen bei integrierten Schaltungen gelingt ist es aber nicht, vollständig abgeglichene Signalleitungen über das gesamte Mikroprozessor-Bauelement herzustellen. Weitere Einflüsse resultieren aus Änderungen innerhalb der Topologie des Mikroprozessors, die die Signalleitung umgibt, und aus anderen vom Schaltungskonstrukteur nicht kontrollierbare Variablen. Statt die Signalleitungen an allen Stellen oder Abgriffen innerhalb der Taktsignal-Versorgungsleitungen abzugleichen, versucht die Erfindung, viele globale Takttreiber zur Verfügung zu stellen, welche viele Zuführleitungen innerhalb des Mikroprozessor-Bauelements 200 versorgen. Auf diese Weise stellt die Erfindung zahlreiche Stellen zur Verfügung, an denen ein Taktsignal erreicht oder "abgegriffen" werden kann. Daher ist zwischen jedem beliebigen Punkt innerhalb des Mikroprozessor-Bauelements und der nächsten Taktzuführleitung eine relativ kurze Distanz. Durch Verringerung der Distanz zwischen Schaltungsblöcken und den Taktversorgungsleitungen 31 reduziert die Erfindung die mit dem Taktsignal-Versorgungsnetzwerk verbundenen Fehler und Verzerrungen. Bei der Erfindung sollte dem Taktsignal an einem beliebigen Punkt entlang einer Zuführleitung nur eine maximale Verzerrung von 100 Picosekunden zugeordnet sein.The following describes the operating theory of the invention. Matched signal lines, ie matched resistor signal lines and capacitances for each line, help to reduce signal delay variations across the components of the microprocessor device. Signal distortion due to mismatch or RC distortion (changes in clock delays) can be reduced (within the feed lines) by reducing the effective resistance and the effective capacitance within a clock feed line. With regard to manufacturing variations in integrated circuits, however, it is not possible to produce completely balanced signal lines over the entire microprocessor component. Other influences result from changes within the topology of the microprocessor surrounding the signal line and from other variables uncontrollable by the circuit designer. Rather than matching the signal lines at all locations or taps within the clock signal supply lines, the invention seeks to provide many global clock drivers having many supply lines within the microprocessor device 200 supply. In this way, the invention provides numerous locations at which a clock signal can be reached or "tapped". Therefore, there is a relatively short distance between any point within the microprocessor device and the next clock supply line. By reducing the distance between circuit blocks and the clock supply lines 31 The invention reduces the errors and distortions associated with the clock signal supply network. In the invention, only a maximum distortion of 100 picoseconds should be associated with the clock signal at any point along a feed line.

Eine von der Erfindung gelöste Hauptaufgabe besteht darin, jeder Zuführleitung ein synchrones Taktsignal, und zwar in Synchronisation mit jeder anderen Zuführleitung, zur Verfügung zu stellen. Dies geschieht unter Verwendung verschiedener Stufen von angepaßten Taktnetzwerken, welche dazwischenliegende Takttreiber in einer baumartigen Anordnung zur Versorgung jeder Zuführleitung mit einem Taktsignal in Synchronisation mit den anderen Zuführleitungen versorgen. Daher gibt es an jeder Abgriffstelle entlang einer Zuführleitung eine diesem Ort zugeordnete gewisse Taktsignalverzerrung. Die Erfindung sucht diese Größe dadurch zu reduzieren, daß zahlreiche globale Treiber im gleichmäßigem gegenseitigen Abstand über das Mikroprozessor-Bauelement in der in 2 dargestellten Weise angeordnet sind, um den Abstand zwischen einem Takttreiber und der Abgriffstelle zu reduzieren. Erfindungsgemäß kann eine Komponente innerhalb des Mikroprozessors auf einen Ort innerhalb einer beliebigen Zuführleitung 31 zugreifen und ein Taktsignal aufnehmen, welches nur 100 Picosekunden (0,1 Nanosekunden) Verzerrungen (in Zuordnung zu der letzten M4-Schicht) gegenüber dem von der Schaltung 110 erzeugten Hauptsysteme Taktsignal aufweist. Es gibt zusätzlich ein den fehlangepaßten Komponenten der angepaßten Eingangsstufen in der Gesamtanalyse zugeordnete Verzerrung von etwa 200 Picosekunden oder weniger.One main object solved by the invention is to provide each feed line with a synchronous clock signal in synchronization with each other feed line. This is done using various stages of matched clock networks which provide intermediate clock drivers in a tree-like arrangement for supplying each supply line with a clock signal in synchronization with the other supply lines. Therefore, at each tap along a feed line there is a certain clock signal distortion associated with that location. The invention seeks to reduce this size by having multiple global drivers equidistant across the microprocessor device in the FIG 2 are arranged to reduce the distance between a clock driver and the tap. According to the invention, a component within the microprocessor can be moved to a location within an arbitrary feed line 31 and record a clock signal which is only 100 picoseconds (0.1 nanoseconds) distortions (associated with the last M 4 layer) from that of the circuit 110 generated main systems clock signal has. In addition, there is a distortion of about 200 picoseconds or less associated with the mismatched components of the matched input stages in the overall analysis.

Die Erfindung erreicht eine maximale Signalverzerrung von 100 Picosekunden dadurch, daß eine Anzahl von globaler Treiber (angenährt 30) entlang der rechten und linken Ränder des Mikroprozessors 200 vorgesehen sind. Von jedem dieser globalen Treiber aus verläuft eine Zuführleitung in die zentralen Zonen des Mikroprozessor-Bauelements. Insgesamt enthält die Taktverteilungsschaltung des beschriebenen Ausführungsbeispiels etwa 60 globale Treiber (30 auf jeder Seite) und 60 Zuführleitungen zur Lieferung der Taktsignale an die Komponenten des Mikroprozessors. Die größte Länge einer der Zuführleitungen 31 ist etwa die Hälfte der Längenerstreckung des Mikroprozessors in integrierter Schaltungstechnik. Obwohl 30 globale Treiber auf jeder Seite des Mikroprozessors 200 bei dem Ausführungsbeispiel gemäß 2 implementiert sind, ist es einzusehen, daß eine belie bige Anzahl globaler Treiber im Rahmen des Erfindungsgedankens vorgesehen werden kann. Für die Erfindung wesentlich ist eine einheitliche Verteilung der Treiber zur Versorgung der inneren Schaltungsabschnitte des Mikroprozessors. Diese Verteilung kann unter Verwendung mehr oder weniger globaler Treiber erreicht werden.The invention achieves a maximum signal distortion of 100 picoseconds by having a number of global drivers (approached 30) along the right and left edges of the microprocessor 200 in front are seen. From each of these global drivers, a feed line extends into the central zones of the microprocessor device. Overall, the clock distribution circuit of the described embodiment includes about 60 global drivers (30 on each side) and 60 supply lines for providing the clock signals to the components of the microprocessor. The largest length of one of the supply lines 31 is about half the length of the microprocessor in integrated circuit technology. Even though 30 global drivers on each side of the microprocessor 200 in the embodiment according to 2 are implemented, it will be appreciated that any number of global drivers may be provided within the scope of the inventive concept. Essential to the invention is a uniform distribution of the drivers for the supply of the internal circuit sections of the microprocessor. This distribution can be achieved using more or less global drivers.

Bei dem bevorzugten Ausführungsbeispiel der Erfindung sind die globalen Treiber 30 vorteilhafterweise an der Peripherie des Mikroprozessor-Bauelements mit den Versorgungsanschlußzonen des integrierten Bauelements angeordnet. Strom wird dem Mikroprozessor 200 über verschiedene Stromanschlußzellen zugeführt, welche entlang der Peripherie des Mikroprozessor-Bauelements angeordnet sind. Jede Stromanschlußzelle umgibt eine Zone des integrierten Bauelements, die typischerweise von sonstigen Schaltungskomponenten frei ist. Dies liegt daran, daß anders als bei einer Nachrichten-Anschlußfahne eine Stromversorgungszelle keine zugehörige und komplexe Eingabe- und Ausgabeschaltung und auch keine ESD-Schutzschaltung (zur elektrostatischen Entladung) zur Verknüpfung des Mikroprozessors mit dem äußeren System hat. Daher ist die einen Stromanschluß umgebende Zone (Zelle) generell frei von Schaltungskomponenten und kann vorteilhaft durch die Erfindung zur Anordnung der globalen Treiber genutzt werden. Durch Anordnung der Takttreiber innerhalb der Stromversorgungszelle erhalten sie außerdem eine starke Stromversorgung, um kapazitive Ladung der Taktleitungen auf Grund ihrer relativ großen Breite bzw. Dicke zu kompensieren. Durch Anordnung der globalen Treiber in der Nähe der Stromversorgung sind auch die Stromversorgungsleitungen kürzer (und haben niedrigeren Widerstand) und erzeugen dementsprechend weniger Schaltungsrauschen.In the preferred embodiment of the invention, the global drivers are 30 advantageously arranged at the periphery of the microprocessor device with the supply connection zones of the integrated component. Power is the microprocessor 200 supplied via various power connection cells which are arranged along the periphery of the microprocessor device. Each power connection cell surrounds a zone of the integrated device that is typically free of other circuit components. This is because, unlike a message pin, a power supply cell has no associated and complex input and output circuit nor ESD (electrostatic discharge) protection circuit for coupling the microprocessor to the external system. Therefore, the area (cell) surrounding a power connection is generally free of circuit components and can be advantageously used by the invention to arrange the global drivers. By arranging the clock drivers within the power supply cell, they also receive a strong power supply to compensate for capacitive loading of the clock lines due to their relatively large width or thickness. By placing the global drivers near the power supply, the power supply lines are also shorter (and have lower resistance) and accordingly produce less circuit noise.

Im folgenden wird auf 3 bezug genommen, welche die Komponenten des beschriebenen Ausführungsbeispiels der Erfindung genauer darstellt. Es ist klar, daß 3 die Schaltung des beschriebenen Ausführungsbeispiels auf einer (der linken Seite) des Mikroprozessorchips zeigt, und daß sich diese Schaltung auf der entgegengesetzten (rechten) Seite wiederholt. Es ist dementsprechend klar, daß Erläuterungen bzgl. der linken Seite des Chips analog und in gleicher Weise für die andere Seite des Mikroprozessors 200 gelten. Die globalen Treiber 30 und zugehörige Versorgungsschaltung (d.h., Treiber 314) sind in einer Zone des umrandeten Blocks 350 der Stromversorgungszelle angeordnet, die vom aktuellen Stromanschluß selbst nicht belegt ist. Wie oben gesagt, wird diese Zone typischerweise von der Mikorprozessortopologie nicht benutzt. Es ist einzusehen, daß jeder der globalen Treiber und die zugehörige Versorgungsschaltung innerhalb von Stromversorgungszellen angeordnet sind und daß die dargestellte Zelle 350 eine von vielen Zellen des Mikroprozessors ist.The following will be on 3 which more particularly illustrates the components of the described embodiment of the invention. It is clear that 3 the circuit of the described embodiment on one (the left side) of the microprocessor chip shows, and that this circuit repeats on the opposite (right) side. It is accordingly clear that explanations regarding the left side of the chip analog and in the same way for the other side of the microprocessor 200 be valid. The global drivers 30 and associated supply circuitry (ie, drivers 314 ) are in a zone of the bordered block 350 arranged the power supply cell, which is not occupied by the current power supply itself. As stated above, this zone is typically not used by the microprocessor topology. It will be appreciated that each of the global drivers and associated power circuitry are located within power supply cells and that the illustrated cell 350 one of many cells of the microprocessor is.

Um sicherzustellen, daß jeder der globalen Treiber 30 ein synchrones Taktsignal erzeugt, werden bei dem beschriebenen Ausführungsbeispiel zwei Versorgungsstufen mit speziell angepaßten Netzwerken vorgesehen, jeweils bestehend aus einem gemeinsamen Treiber und einigen Zwischentreibern. Der gemeinsame Treiber versorgt die Zwischentreiber, die jeweils eine Gruppe von globalen Treibern versorgen. Die Zwischentreiber sind mit den globalen Treibern über Zwischennetzwerke 361365 gekoppelt. Wie in 3 gezeigt ist, gibt es einen gemeinsamen Signaltreiber 301, der im oberen Zentralbereich des Mikroprozessors 200 angeordnet ist. Dieser zentrale Treiber kann an irgendeiner Stelle innerhalb des Mikroprozessors oder an der Mikroprozessorperipherie angeordnet sein. Bei dem beschriebenen Ausführungsbeispiel der Erfindung ist dieser Treiber an der oberen zentralen Stelle im gleichen Abstand von den beiden einander gegenüberliegenden Chipkanten gelegen, damit er beide Seiten des Mikroprozessors 200 mit einem Taktsignal versorgen kann. Der gemeinsame Signaltreiber 301 liefert ein Taktsignal an eine Anzahl von Zwischentakttreibern 310, 311, 312, 313 und 314. Fünf derartige Zwischentakttreiber sind bei dem dargestellten Ausführungsbeispiel gezeigt; die tatsächliche Anzahl von Zwischentreibern ist jedoch bei den beschriebenen Ausführungsbeispiel beliebig. Es gibt fünf Signalleitungen 340344, welche das Taktsignal vom gemeinsamen Treiber 301 zu jedem der Zwischentreiber 310314 übertragen.To make sure each of the global drivers 30 generates a synchronous clock signal, two supply stages are provided with specially adapted networks in the described embodiment, each consisting of a common driver and some intermediate drivers. The common driver powers the intermediate drivers, each serving a group of global drivers. The intermediate drivers are with the global drivers via intermediate networks 361 - 365 coupled. As in 3 is shown, there is a common signal driver 301 in the upper central area of the microprocessor 200 is arranged. This central driver may be located anywhere within the microprocessor or at the microprocessor peripheral. In the described embodiment of the invention, this driver is located at the upper central location equidistant from the two opposite chip edges so as to be both sides of the microprocessor 200 can supply with a clock signal. The common signal driver 301 provides a clock signal to a number of intermediate clock drivers 310 . 311 . 312 . 313 and 314 , Five such intermediate clock drivers are shown in the illustrated embodiment; however, the actual number of intermediate drivers is arbitrary in the described embodiments. There are five signal lines 340 - 344 which receives the clock signal from the common driver 301 to each of the intermediate drivers 310 - 314 transfer.

Alle diese fünf Leitungen 340344 des gemeinsamen Netzwerkes sind bzgl. ihrer Widerstands- und Kapazitätswerte derart angepaßt, daß jede Leitung ähnliche RC-Werte und daher ähnliche Verzerrungen hat. Die Widerstandswerte dieser fünf Leitungen sind bei dem beschriebenen Ausführungsbeispiel dadurch angepaßt, daß jeweils die gleiche Länge der Signalleitung vorgesehen ist. Dies gelingt durch doppelte Rückfalttechnik, wobei kurze Leitungen mehrfach hin und her geleitet sind, bis sie gleich den längeren Versorgungsleitungen sind. So ist der Zwischentreiber 314 am weitesten entfernt vom gemeinsamen Treiber 301, so daß die Verbindungsleitung 344 keine Rückfaltung hat. Treiber 310 ist dagegen der dem gemeinsamen Treiber 301 nächstgelegene, und daher hat dessen Leitung 340 wenigstens drei Mäanderfaltungen. In ähnlicher Weise haben die Leitungen 341, 342 und 343 des gemeinsamen Netzwerkes unterschiedliche Rückfaltungen bzw. Schleifen, bezogen auf den Abstand zwischen dem gemeinsamen Treiber 301 und dem den Leitungen zugeordneten Treiber 311, 312 bzw. 313. Alle fünf Leitungen haben die gleiche Breite entlang der obersten Herstellungsschicht des Mikroprozessors. Daher haben alle Leitungen 340344 des gemeinsamen Netzwerkes gleiche Längen und Breiten, um ihren effektiven Widerstand in Übereinstimmung zu bringen. Es ist klar, daß jede der Leitungen 340344 und die gemeinsame Versorgungsleitung 303 aus den obengenannten Gründen aus einer M4-Metallschicht besteht.All these five lines 340 - 344 of the common network are matched in their resistance and capacitance values such that each line has similar RC values and therefore similar distortions. The resistance values of these five lines are adapted in the described embodiment in that in each case the same length of the signal line is provided. This is achieved by double Rückfalttechnik, with short lines are routed back and forth several times until they are equal to the longer supply lines. Such is the intermediate driver 314 farthest from the common driver 301 so that the Ver connecting line 344 has no refolding. driver 310 is, however, the common driver 301 nearest, and therefore has its lead 340 at least three meander folds. Similarly, the wires have 341 . 342 and 343 of the common network different refolds or loops, based on the distance between the common driver 301 and the driver associated with the lines 311 . 312 respectively. 313 , All five lines are the same width along the top manufacturing layer of the microprocessor. Therefore all lines have 340 - 344 of the common network of equal lengths and widths to match their effective resistance. It is clear that each of the wires 340 - 344 and the common supply line 303 For the above reasons, consists of an M 4 metal layer.

Die gemeinsame Versorgungsleitung 303 und jede der Leitungen 340344 des gemeinsamen Netzwerkes sind entlang der Peripherie des Mikroprozessors in einem als Stromversorgungsring oder Ausgleichsring bezeichneten Bereich geführt. Dies ge schieht, da die Topologie des Mikroprozessors in diesem Ring nahezu konstant ist, so daß die Elektrizitätskonstanten in Zuordnung zu den fünf Leitungen auch konstant werden. Daher sollte die Kapazität jeder Leitung nahezu konstant und vorhersehbar sein. Außerdem können die Leitungswege und Rückfaltungslängen dadurch besser kontrolliert werden, daß diese Signalleitungen innerhalb des Stromversorgungsrings des Mikroprozessors angeordnet sind. Außerdem ist jede der fünf Leitungen mit der gleichen Last abgeschlossen. All dies geschieht in dem Bemühen, die Widerstands-Kapazitäta (RC)-Charakteristiken der Versorgungsleitungen 340344 anzupassen. Wenn dies geschieht, sind die Versorgungsleitungen in die Zwischentakttreiber 310314 "angepaßt", und ein angepaßtes Netzwerk ist realisiert.The common supply line 303 and each of the wires 340 - 344 of the common network are routed along the periphery of the microprocessor in an area referred to as a power supply ring or balance ring. This happens because the topology of the microprocessor in this ring is nearly constant, so that the electrical constants associated with the five lines also become constant. Therefore, the capacity of each line should be nearly constant and predictable. In addition, the conduction paths and refolding lengths can be better controlled by arranging these signal lines within the power supply ring of the microprocessor. In addition, each of the five lines is terminated with the same load. All of this is done in an effort to increase the resistance capacitance (RC) characteristics of the supply lines 340 - 344 adapt. When this happens, the supply lines are in the intermediate clock drivers 310 - 314 "adapted", and a customized network is realized.

Jeder Zwischentreiber der 3 versorgt eine separate Gruppe von sechs globalen Treibern. Der Zwischentreiber 310 versorgt daher die Gruppe 320 über das Zwischennetzwerk 361. Die Gruppe 320 besteht aus sechs globalen Treibern, die mit 30a30f bezeichnet sind. In ähnlicher Weise versorgt der Zwischentreiber 311 die sechs globalen Treiber der Gruppe 321 über das Zwischennetzwerk 362. Auch der Treiber 314 versorgt eine Gruppe 324 über ein Zwischennetzwerk 365. In ähnlicher Weise versorgen Treiber 312 und 313 jeweils eine separate Gruppe von sechs globalen Treiber, die aus Gründen der Übersichtlichkeit nicht dargestellt sind. Es ist verständlich, daß jede Gruppe der von den Treibern 312 und 313 versorgten globalen Treiber den hier beschriebenen und dargestellten Treibergruppen analog ist. Das Versorgungsnetzwerk 361 ist derart RC-angepaßt, daß jede der Versorgungsleitungen zwischen dem Zwischentreiber 310 und jedem globalen 30a30f die gleiche Länge und Breite haben. Da das Netzwerk 361 außerdem im Stromzuführring ausgebildet ist, enthält jede Leitung angenäherte konstante kapazitive Belastung, so daß das gesamte Netzwerk 361 RC-abgeglichen ist. Das gleiche gilt für alle Netzwerke 362, 365 und die nicht dargestellten Netzwerke in Zuordnung zu den Treibern 312 und 313.Every intermediate driver of the 3 supplies a separate group of six global drivers. The intermediate driver 310 therefore supplies the group 320 over the intermediate network 361 , The group 320 consists of six global drivers with 30a - 30f are designated. Similarly, the intermediate driver supplies 311 the six global drivers of the group 321 over the intermediate network 362 , Also the driver 314 supplies a group 324 via an intermediary network 365 , Similarly, drivers feed 312 and 313 each a separate group of six global drivers, which are not shown for reasons of clarity. It is understandable that each group of the drivers 312 and 313 supplied global driver is analogous to the driver groups described and illustrated here. The supply network 361 is RC adapted so that each of the supply lines between the intermediate driver 310 and every global 30a - 30f have the same length and width. Because the network 361 In addition, formed in the power supply ring, each line contains approximately constant capacitive load, so that the entire network 361 RC-matched. The same goes for all networks 362 . 365 and the networks, not shown, in association with the drivers 312 and 313 ,

Sie sind alle RC-abgeglichen. Bei der erfindungsgemäßen Ausbildung sind daher für die Versorgung der globalen Treiber zwei Stufen vorgesehen. Die erste Stufe besteht aus einem einzelnen gemeinsamen Treiber 310, der ein Taktsignal über ein abgeglichenes Netzwerk (Leitungen 340344) an eine Gruppe von Zwischentreibern 310314 liefert. Die zweite Stufe besteht aus den Zwischentreibern, von denen jeder ein Taktsignal über ein abgeglichenes Netzwerk (361, 362, 365 usw.) an eine separate Gruppe von sechs globalen Takttreibern liefert. Durch Ausbildung des Versorgungsschemas auf diese Weise ermöglicht die Erfindung, daß jeder der globalen Takttreiber ein synchronisiertes Taktsignal erhält und erzeugt, welches weniger als 100 Picosekunden Verzerrung (skew) (in Zuordnung zu den Zuführleitungen, da die abgeglichenen Stufen zusätzliche Verzerrung erzeugen können) in bezug auf das am gemeinsamen Treiber 301 erzeugte Signal enthält.They are all RC-matched. In the embodiment according to the invention, two stages are therefore provided for the supply of the global driver. The first stage consists of a single common driver 310 which sends a clock signal over a balanced network (lines 340 - 344 ) to a group of intermediate drivers 310 - 314 supplies. The second stage consists of the intermediate drivers, each of which provides a clock signal through a balanced network ( 361 . 362 . 365 etc.) to a separate group of six global clock drivers. By forming the supply scheme in this manner, the invention enables each of the global clock drivers to receive and generate a synchronized clock signal which has less than 100 picoseconds skew (associated with the feed lines since the matched stages can produce additional distortion) on the common driver 301 contains generated signal.

Außerdem sind die Zwischen- und Global-Treiber in vorteilhafter Weise nahe den Energieanschlüssen des Mikroprozessors 200 vorgesehen, die entlang der Peripherie des Mikroprozessors angeordnet sind. Dies geschieht, da die Takttreiber zum Treiben der Taktsignale an alle getakteten Komponenten der Mikroprozessortopologie eine hohe Leistung erforderlich machen. Es ist außerdem erwüscht, die Takttreiber (sowohl die intermediären als auch die globalen Treiber) nahe den Energieanschlüssen vorzusehen, damit die Energieleitungen, welche die Treiber versorgen, relativ kurz gemacht werden können. Kürzere Leitungen haben niedrigere Widerstände. Die kürzeren widerstandsbehafteten Energieleitungen erzeugen auch geringere Rauschpegel. Durch Verringern des Widerstands und des zugehörigen Rauschens innerhalb der Energieleitungen erhalten die Takttreiber kurze Anstiegs- und Abfallzeiten und erzeugen geringere Rauschpegel in Zuordnung zu den Taktsignalen. Es ist einzusehen, daß der gemeinsame Treiber 301 auch die rechten globalen Treiber (nicht gezeigt) versorgt. Die rechte Seite des Mikroprozessors enthält die gleichen beiden abgeglichenen Stufen, wie sie zuvor unter Bezugnahme auf die linken Treiber beschrieben worden sind. Der gemeinsame Treiber 301 kann von einem externen Pin oder einem Taktgenerator oder einer Oszuillatorschaltung versorgt werden, wie sie in der integrierten Schaltungstechnik bekannt sind.In addition, the intermediate and global drivers are advantageously near the power terminals of the microprocessor 200 provided, which are arranged along the periphery of the microprocessor. This happens because the clock drivers require a high power to drive the clock signals to all the clocked components of the microprocessor topology. It is also desirable to provide the clock drivers (both the intermediate and the global drivers) near the power terminals so that the power lines which supply the drivers can be made relatively short. Shorter lines have lower resistances. The shorter resistive power lines also produce lower noise levels. By reducing the resistance and associated noise within the power lines, the clock drivers receive short rise and fall times and produce lower levels of noise associated with the clock signals. It can be seen that the common driver 301 also supplied the right global driver (not shown). The right side of the microprocessor contains the same two matched stages as previously described with reference to the left hand drivers. The common driver 301 can be powered by an external pin or clock generator or oscillator circuit, as known in integrated circuit technology.

Wie oben gesagt, kann eine phasenverriegelte Schleifenschaltung (PLL) verwendet werden, welche zwischen der Taktschaltung 110 (8) und dem Treiber 301 angeordnet ist. Die PLL-Schaltung nimmt das Signal der Taktschaltung 110 als ein Eingangssignal sowie ein Rückkopplungssignal als zweites Eingangssignal auf, welches aus irgendeiner Zuführleitung (d.h., Leitung 31b) ausgewählt sein kann. Das Ausgangssignal der PLL-Schaltung (nicht gezeigt) wird dann zum Eingang des Treibers 301 gekoppelt. Es ist klar, daß die Details einer derartigen PLL-Tatkversorgungsschaltung nicht wesentlich für das Verständnis der vorliegenden Erfindung sind.As stated above, a phase-locked loop circuit (PLL) can be used, which is used between the clock circuit 110 ( 8th ) and the driver 301 is arranged. The PLL circuit takes the signal of the clock circuit 110 as an input signal and a feedback signal as a second input signal coming from any supply line (ie, line 31b ) can be selected. The output of the PLL circuit (not shown) then becomes the input of the driver 301 coupled. It will be understood that the details of such a PLL clock supply circuit are not essential to the understanding of the present invention.

Jede der den globalen Treiberschaltungen zugeordneten Zuführleitungen ist relativ breit und hat daher eine hohe Kapazität. Da sie jedoch aus M4-Metall hergestellt sind, haben sie einen niedrigen spezifischen Widerstand und damit eine niedrige RC-Verzerrung entlang ihrer Leitungslängen. Die Zuführleitungen 31a311 sind den globalen Treibern der Gruppe 320 und der Gruppe 321 zugeordnet. Da es 30 globale Treiber entlang des Randes des Mikroprozessors gibt, sind 30 Zuführleitungen 31 dem dargestellten Rand des Mikroprozessors 200 gemäß 3 zugeordnet. Bei dem beschriebenen Ausführungsbeispiel muß die gesamte Breite (Höhe) aller Zuführleitungen jeder der fünf Gruppen 320324 für beide Seiten (d.h., 60 Zuführleitungen insgesamt) gleich oder kleiner als 5 % der gesamten Höhenabmessung des Mikroprozessors sein. Da die Abmessung 335 des Mikroprozessors 200 etwa 12000 μ m beträgt, sind 5 % dieser Abmessung etwa 600 μ m. Jede der Zuführleitungen ist daher bei diesem Beispiel etwa 600/60 oder 10 μ m breit bzw. hoch. Als generelle Regel können die Zuführleitungen eine maximale Breite von 10 bis 20 μ m haben. Da das Mikroprozessor-Bauelement eine Abmessung von etwa 12000 μ m × 12000 μ m hat, ist die Hälfte dieser Länge 6000 μ m gleich der Länge jeder Zuführleitung. Die Zuführleitungsdimensionen sind daher bei dem beschriebenen Ausführungsbeispiel 6000 μ m × 10 μ m. Da es 60 Zuführleitungen gibt, ist die gesamte Fläche an Zuführleitungen 600 μm × 6000 μ m, was 10 Quadrate an Widerstand in Zuordnung zu den Taktversorgungsleitungen der globalen Treiber auf beiden Vorsorgungsseiten des Mikroprozessors 200 hervorruft. Es ist einzusehen, daß bei dieser Breite eine Zuführleitung eine relativ größere Kapazität als dünnere Taktleitungen hat. Da jede Zuführleitung jedoch aus M4-Metall besteht, hat die Zuführleitung einen extremen niedrigen Widerstand, so daß die RC-Verzerrung (RC-skew) in Zuordnung zu der Zuführleitung gering ist.Each of the feed lines associated with the global drive circuits is relatively wide and therefore has a high capacity. However, since they are made of M 4 metal, they have low resistivity and thus low RC distortion along their line lengths. The supply lines 31a - 311 are the global drivers of the group 320 and the group 321 assigned. Since there are 30 global drivers along the edge of the microprocessor, there are 30 feed lines 31 the illustrated edge of the microprocessor 200 according to 3 assigned. In the described embodiment, the total width (height) of all feed lines must be each of the five groups 320 - 324 for both sides (ie, 60 feed lines in total) should be equal to or less than 5% of the total height dimension of the microprocessor. Because the dimension 335 of the microprocessor 200 is about 12000 μ m, 5% of this dimension is about 600 μ m. Each of the feed lines is therefore about 600/60 or 10 μ m wide or high in this example. As a general rule, the supply lines may have a maximum width of 10 to 20 μ m. Since the microprocessor device has a dimension of about 12000 μ m x 12000 μ m, half of this length is 6000 μ m equal to the length of each feed line. The feed line dimensions are therefore 6000 μ m × 10 μm in the described embodiment. Since there are 60 feed lines, the total area of feed lines is 600 μm x 6000 μm, which is 10 squares of resistance associated with the clock lines of the global drivers on both supply sides of the microprocessor 200 causes. It will be appreciated that at this width a feed line has a relatively larger capacity than thinner clock lines. However, since each feed line is made of M 4 metal, the feed line has an extremely low resistance, so that the RC distortion (RC skew) associated with the feed line is small.

Im folgenden wird auf 4 bezug genommen. Bei dem beschriebenen Ausführungsbeispiel gibt es 60 Zuführleitungen 31, welche Taktsignale über die obere Prozessorschicht des Mikroprozessors leiten. Diese Zuführleitungen müssen mit Eingangstaktleitungen der verschiedenen Schaltungskomponenten des Mikroprozessors gekoppelt sein. Es ist jedoch schwierig, die Eingangstaktsignalleitungen der Komponentenblöcke mit den Zuführleitungsverläufen auszurichten. Daher sind bei dem beschriebenen Ausführungsbeispiel vertikale Ausrichtleitungen den Zuführleitungen zugeordnet, um eine Verbindung zwischen einer benachbarten Zuführleitung und einem eine Taktverbindung benötigenden Mikroprozessor-Schaltungsblock zu bilden. 4 zeigt diese vertikalen Ausrichtleitungen, die jeweils eine Breite bzw. Dicke von 10 – 15 μ m haben. Es gibt vier Mikroprozessor-Blöcke 420, 425, 430 und 440. Diese Blöcke benötigen Takteingangssignale; die Takteingangsleitungen jedes Blockes können, aber müssen nicht, vertikal mit der nächsten Zuführleitung ausgerichtet sein. Die vertikale Ausrichtleitung 450 schließt daher die Takteingangsleitungen des Komponentenblocks 425 mit den benachbarten Zuführleitungen 31a, 31b und 31c kurz. Diese Leitun gen und die zugehörigen globalen Treiber 30a, 30b und 30c werden als Gruppe 460 bezeichnet. Diese Gruppe 460 liefert Taktsignale an den Schaltungsblock 425. In ähnlicher Weise besteht die Gruppe 461 aus Zuführleitungen 30d, 30e und 30f und versorgt den Schaltungsblock 420 über die Ausrichtleitung 451. Gruppe 462 versorgt den Schaltungsblock 430 über eine Ausrichtleitung 452 mit einem Taktsignal, und Gruppe 463 versorgt den Schaltungsblock 440 über eine Ausrichtleitung 453. Durch Anordnung dieser Ausrichtleitungen wird ein Taktverteilungssystem gebildet, wodurch es für die Konstrukteure jedes einzelnen Schaltungsblocks 420, 425, 430, 435 und 440 überflüssig wird, Eingangstaktleitungen vorzusehen, welche mit einer speziellen Zuführleitung ausgerichtet sind. Es ist einzig notwendig, daß die Takteingangsleitung über eine vertikale Ausrichtleitung an einer Stelle in der Topologie der Schaltungskomponente verläuft.The following will be on 4 with reference. In the described embodiment, there are 60 feed lines 31 which conduct clock signals over the upper processor layer of the microprocessor. These feed lines must be coupled to input clock lines of the various circuit components of the microprocessor. However, it is difficult to align the input clock signal lines of the component blocks with the feed line waveforms. Therefore, in the described embodiment, vertical alignment lines are associated with the feed lines to form a connection between an adjacent feed line and a clock conditioning-requiring microprocessor circuit block. 4 shows these vertical alignment lines, each having a width or thickness of 10 - 15 μ m. There are four microprocessor blocks 420 . 425 . 430 and 440 , These blocks require clock input signals; the clock input lines of each block may, but need not, be vertically aligned with the next supply line. The vertical alignment line 450 therefore, closes the clock input lines of the component block 425 with the adjacent supply lines 31a . 31b and 31c short. These lines and their associated global drivers 30a . 30b and 30c be as a group 460 designated. This group 460 supplies clock signals to the circuit block 425 , Similarly, the group exists 461 from supply lines 30d . 30e and 30f and supplies the circuit block 420 over the alignment line 451 , group 462 supplies the circuit block 430 via an alignment line 452 with a clock signal, and group 463 supplies the circuit block 440 via an alignment line 453 , By arranging these alignment lines, a clock distribution system is formed which makes it possible for the designers of each individual circuit block 420 . 425 . 430 . 435 and 440 becomes unnecessary to provide input clock lines which are aligned with a special supply line. It is only necessary that the clock input line extend through a vertical alignment line at a location in the topology of the circuit component.

Es ist einzusehen, daß die vertikalen Ausrichtleitungen auch zur Kopplung verschiedener Segmente einer Zuführleitung dienen, welche nicht perfekt horizontal ausgerichtet ist. So sind beispielsweise die Zuführleitungen 31d, 31e und 31f nicht perfekt auf den linken und rechten Seiten der Ausrichtleitung 451 ausgerichtet. Die Leitung 31d fällt leicht nach rechts, und die Leitungen 31e und 31f verspringen leicht nach der entgegengesetzten Seite. Die Ausrichtleitung 415 dient der Verbindung der geringen Fehlausrichtungen und koppelt verschieden Segmente dieser Zuführleitungen zusammen. Die Größe der Fehlausrichtung liegt in typischer Ausführung in der Größenordnung von wenigen μ m zwischen Segmenten einer Ausrichtleitung.It will be appreciated that the vertical alignment conduits also serve to couple different segments of a supply conduit which is not perfectly horizontal. For example, the supply lines 31d . 31e and 31f not perfect on the left and right sides of the alignment line 451 aligned. The administration 31d falls slightly to the right, and the wires 31e and 31f Jump slightly to the opposite side. The alignment line 415 serves to connect the small misalignments and couple different segments of these feed lines together. The size of the misalignment is typically on the order of a few μm between segments of an alignment line.

Wiederum unter Bezugnahme auf 4 ist jede der vertikalen Ausrichtleitungen aus M3-Metall gebildete, das ein Metall niedrigeren Niveaus als das M4-Metall ist. Die Erfindung benutzt bei dem beschriebenen Ausführungsbeispiel M3-Metall, das sowohl die Energie- als auch die Taktsignale im M4-Niveau parallelverlaufen und vertikale Kopplungsleitungen diese beiden unterschiedlichen Leitungen in unerwünschter Weise kurzschließen würden. Um diese Folge zu vermeiden, wird ein anderes Niveau, M3, gewählt, um die vertikalen Ausrichtleitungen zu betreiben. Es kann nur zwei Ausrichtleitungen für jede gegebene Gruppe von Zuführleitungen geben. Dies liegt am Aufbau eines zusätzlichen Widerstands bei zusätzlicher Anordnung von Ausrichtleitungen. Wie in 4 gezeigt, ist die Ausrichtleitung 455 die zweite, der Gruppe 463 zugeordnete Ausrichtleitung. Diese Leitung 455 ist dem Stromversorgungsschaltungsblock 435 zugeordnet. Da es zwei vertikale Ausrichtleitungen 453 und 455 gibt, die mit Zuführleitungen der Gruppe 463 gekoppelt sind, kann keine zusätzliche vertikale Ausrichtleitung an die Gruppe 463 angeschlossen werden. Zu beachten ist, daß der Widerstandsbeitrag der M3-Ausrichtleitungen um so niedriger ist, je gleichmässiger die M4-Zuführleitungen 31 über den Mikroprozessor verlaufen. Mit anderen Worten, wenn vertikale Ausrichtleitungen benachbarte Zuführleitungen kurzschließen, welche weit über die Ausrichtleitung gestreut sind, so ergibt sich ein relativ höherer Widerstand- und Signalverzerrungsbeitrag zum Taktversorgungsnetzwerk als im Falle eng benachbarter Zuführleitungen. Es ist daher bei der beschriebenen Ausführung der Erfindung vorteilhafter, die Zuführleitungen 31a311 gleichmäßig über die Mikroprozessorseite anzuordnen. Es ist einzusehen, daß vertikale Ausrichtleitungen typischerweise von zwei bis acht Zuführleitungen innerhalb des beschriebenen Ausführungsbeispiels kurzschließen.Again with reference to 4 For example, each of the vertical alignment lines is formed of M 3 metal, which is a lower level metal than the M 4 metal. The invention uses in the described Embodiment M 3 -Metall, which run parallel both the energy and the clock signals in the M 4 level and vertical coupling lines would short-circuit these two different lines in an undesirable manner. To avoid this consequence, another level, M 3 , is chosen to operate the vertical alignment lines. There can be only two alignment lines for any given group of feeders. This is due to the construction of an additional resistor with additional arrangement of Ausrichtleitungen. As in 4 shown is the alignment line 455 the second, the group 463 associated alignment line. This line 455 is the power supply circuit block 435 assigned. Because there are two vertical alignment lines 453 and 455 There are those with feeders of the group 463 coupled, no additional vertical alignment line can be connected to the group 463 be connected. It should be noted that the more uniform the M 4 feed lines, the lower the resistance contribution of the M 3 shunt lines 31 pass over the microprocessor. In other words, if vertical alignment lines short-circuit adjacent supply lines that are widely spread over the alignment line, there will be a relatively higher resistance and signal distortion contribution to the clocking network than in the case of closely adjacent supply lines. It is therefore more advantageous in the described embodiment of the invention, the supply lines 31a - 311 evenly across the microprocessor side. It will be appreciated that vertical alignment lines typically short from two to eight supply lines within the described embodiment.

Es ist zu sehen, daß 4 nur zwei Gruppen 320 und 321 von den fünf Gruppen der linken Seite des beschriebenen Taktverteilungsnetzwerkes darstellt. Es ist klar, daß die anderen drei Gruppen in analoger Weise gekoppelt sind, um Taktschaltungen mit verschiedenen anderen Komponentenblöcken des Mikroprozessors 200 zu koppeln. Es ist außerdem einzusehen, daß die rechte Seite des Mikroprozessors auch analog geschaltet ist. Nur die globalen Treibergruppen 320 und 321 des beschriebenen Ausführungsbeispiels sind in 4 der Übersichtlichkeit halber gezeigt; es ist jedoch klar, daß das gesamte Tatkverteilungsnetzwerk des beschriebenen Ausführungsbeispiels partielle ähnliche Strukturen und Funktionen hat. Nach der obigen Beschreibung sind bei dem Ausführungsbeispiel im Taktverteilungssystem vorteilhafterweise 60 Zuführleitungen vorgesehen, mit denen verschiedenen Komponentenblöcke einer Mikroprozessortopologie gekoppelt werden können. An jedem Punkt entlang einer der 60 Zuführleitungen kann ein Komponentenblock den Erhalt eines Taktsignals mit weniger als 100 Picosekunden Taktverzerrung erwarten, wenn das beschriebene Taktverteilungssystem in der erfindungsgemäßen besonderen und vorteilhaften Weise ausgebildet ist.It can be seen that 4 only two groups 320 and 321 of the five groups on the left side of the described clock distribution network. It will be understood that the other three groups are coupled in an analogous manner to clocking circuits with various other component blocks of the microprocessor 200 to pair. It will also be appreciated that the right side of the microprocessor is also connected in an analogue manner. Only the global driver groups 320 and 321 of the described embodiment are in 4 for the sake of clarity; however, it will be understood that the entire takt distribution network of the described embodiment has partially similar structures and functions. According to the above description, in the embodiment in the clock distribution system advantageously 60 supply lines are provided, with which different component blocks of a microprocessor topology can be coupled. At any point along any of the 60 feed lines, a component block may expect to receive a clock signal of less than 100 picoseconds clock distortion when the described clock distribution system is formed in the particular and advantageous manner of the present invention.

Es ist klar, daß die Auswahl der 30 globalen Treiber für jeden vertikalen Rand des Mikroprozessors 200 eine rein willkürliche Zahl ist und daß mehr oder weniger globale Treiber im Rahmen des Erfindungsgedankens möglich sind. Die einzige Beschränkung ist die Größe des freien Raums innerhalb der Mikroprozessortopologie, wo die Taktsignalerzeugung und Verteilung erfolgen kann. Die Schaltungskomponenten des Mikroprozessors 200 sind die zur Bildung des gesamten Mikroprozessors benutzten Komponenten. Diese Komponenten können in typischer Ausführung eine arithmetische Logikeinheit (ALU), die Befehlsabrufeinheit (IFU), die Ausführungseinheiten, die Cache Einheiten und die Bussteuereinheiten u.a. enthalten.It is clear that the selection of 30 global drivers for each vertical edge of the microprocessor 200 is a purely arbitrary number and that more or less global drivers are possible within the scope of the inventive concept. The only limitation is the amount of free space within the microprocessor topology where the clock generation and distribution can occur. The circuit components of the microprocessor 200 are the components used to form the entire microprocessor. These components may typically include an arithmetic logic unit (ALU), the instruction fetch unit (IFU), the execution units, the cache units, and the bus control units, among others.

Taktunterbrechungsmerkmal der vorliegenden Erfindung:Timer interrupt feature of the present invention:

Das beschriebene Ausführungsbeispiel der Erfindung bietet auch die Möglichkeit, das Taktsignal an irgendeine Gruppe von Zuführleitungen (d.h., alle Zuführleitungen, die über eine gemeinsame vertikale Ausrichtleitung kurzgeschlossen sind) zu entaktivieren. Dies geschieht über eine jedem globalen Takttreiber und dem Verteilungsnetzwerk zugeordnete weitere Schaltung.The described embodiment the invention also offers the possibility the clock signal to any group of feeders (i.e., all feeders, the above a common vertical alignment line are shorted) to deactivate. This is done via every global clock driver and the distribution network associated further circuit.

5 zeigt den globalen Treiber, der die zum Entaktivieren des Taktsignals erforderliche Ativierungslogik enthält. Ein globaler Treiber 30a ist genauer dargestellt. Ein Eingang 520 führt das Taktsignal in den Treiber, und ein Eingang 525 führt ein Aktivierungssignal in den Treiber. Sowohl die Aktivierungsleitung als auch das Taktsignal werden in eine Latchschaltung 511 eingegeben. Das Taktsignal wird in den auf einen niedrigen Pegel gelegten Takteingang eingegeben, und das Aktivierungssignal wird dem Latch-D-Eingang zugeführt. Der Q-Ausgang des Ltsch 511 wird ebenso wie das Taktsignal einem NAND-Gatter 510 zugeführt. Wenn daher das Eingangssignal des Aktivierungspins 525 auf den niedrigen Pegel geht, so gibt das NAND-Gatter stets ein hohes Signal aus. Wenn der Eingang des Aktivierungspins 525 auf einen hohen Pegel (angelegt) geht, so wird das Ausgangssignal des NAND-Gatters zum Kehrwert des Taktsignals 520. Das Ausgangsignal des NAND-Gatters 510 wird dann an den Eingang eines Inverters 515 angelegt, und dessen Ausgang wird auf die Leitung 31a des Treibers 30a gegeben. Wenn die Aktivierungsleitung nicht an den globalen Treiber 30a angelegt ist, so wird das Taktsignal nicht auf die zugehörige Zuführleitung 31a getrieben. Wenn die Aktivierungsleitung anliegt, so wirkt der globale Treiber in der zuvor beschriebenen Weise und steuert seine zugehörige Zuführleitung mit einem Taktsignal an. 5 Figure 12 shows the global driver containing the activation logic required to deactivate the clock signal. A global driver 30a is shown in more detail. An entrance 520 passes the clock signal to the driver, and an input 525 introduces an activation signal into the driver. Both the activation line and the clock signal are latched 511 entered. The clock signal is input to the low input clock input, and the enable signal is supplied to the latch D input. The Q output of Ltsch 511 as well as the clock signal becomes a NAND gate 510 fed. Therefore, if the input signal of the activation pin 525 goes to the low level, so the NAND gate always outputs a high signal. If the input of the activation pin 525 goes high (applied), the output of the NAND gate becomes the inverse of the clock signal 520 , The output signal of the NAND gate 510 then goes to the input of an inverter 515 created, and whose output is on the line 31a of the driver 30a given. If the activation line is not connected to the global driver 30a is applied, the clock signal is not on the associated feed line 31a driven. When the enable line is asserted, the global driver operates in the manner previously described and drives its associated supply line with a clock signal.

Das Latch 511 ist so ausgebildet, daß keine Aktivierungsaktion stattfindet, während das Taktsignal als hohes Signal ansteht. D.h., alle Aktivierungszustandsänderungen finden einen Takt nach dem Taktzyklus statt, bei dem das Aktivierungssignal seinen Zustand ändert. Wenn der Takt hoch ist, ist es unerwünscht, daß der Mikroprozessor die Aktivierungszustände ändert; daher verhindert das Latch 511, daß das Aktivierungssignal das NAND-Gatter 510 erreicht, bevor das Taktisignal auf seinen niedrigen Pegel übergeht, da ein niedriges Takt-Eingangssignal am Latch 511 ansteht.The latch 511 is designed so that no activation action takes place while the clock signal is pending as a high signal. That is, all activation state changes occur one clock after the clock cycle at which the enable signal changes state. When the clock is high, it is undesirable for the microprocessor to change the activation states; therefore, the latch prevents 511 in that the activation signal is the NAND gate 510 reached before the Taktisignal goes to its low level, since a low clock input signal at the latch 511 pending.

6A zeigt – nicht maßstabsgerecht- einen Mikroprozessor 200 mit einem Aktivierungsnetzwerkdesign, das bei einer erfindungsgemäßen Anordnung die globalen Treiber gemäß 5 verwenden kann. 6A zeigt die Gruppe 320 und einen Teil der Gruppe 321 mit den Taktunterbrechungsmerkmalen der Erfindung. Gruppe 320 wird vom Hochleistungszwischentreiber 310 getrieben. Jeder der sechs globalen Treiber 30a...30f ist über ein angepaßtes Netzwerk 361 mit Eingangsleitungen gleicher Länge und Breite und angepaßter Kapazität innerhalb des Energiezuführrings mit dem Zwischentreiber 310 gekoppelt. Ebenfalls mit jedem der globalen Treiber 30a, 30b und 30c ist eine Aktivierungs-0-Leitung auf der Leitung 670 verbunden. Die Aktivierungs-0-Leitung ist auch mit der Leistungsmanagementeinheit 610 gekoppelt. Mit dem Rest der globalen Treiber der Gruppe 320 (30d, 30f, 30g) sowie dem globalen Treiber 30g der Gruppe 321 ist eine andere Aktivierungsleitung 667, nämlich Aktivierung-1, verbunden. Aktivierungsleitung 667 ist auch mit der Leistungsmanagementeinheit 610 gekoppelt. Eine weitere Aktivierungsleitung 670, Aktivierung-3, ist mit den globalen Treibern 30h und 30i der Gruppe 321 und außerdem mit der Leistungsmangagementeinheit 610 gekoppelt. Eine Taktversorgung liegt über eine Leitung 681 auch an der Leistungsmanagementeinheit an. Eine Aktivierungs/Entaktivierungs-Anforderungsleitung 661 wird der Leistungsmanagementeinheit 610 zugeführt. Die Aktivierungs/Entaktivierungs-Anforderungsleitung 661 bezeichnet diejenigen Aktivierungsleitungen 665, 667 und 670, welche angelegt sind oder nicht. Es ist klar, daß jeder Zwischentreiber 310 und 311 mit einem Eingang jedes NAND-Gatters jedes globalen Treibers gekoppelt ist, während jede Aktivierungsleitung mit dem anderen Eingang jedes NAND-Gatters jedes globalen Treibers gekoppelt ist. 6A shows - not to scale - a microprocessor 200 with an activation network design which, in an arrangement according to the invention, the global drivers according to 5 can use. 6A shows the group 320 and part of the group 321 with the clock break features of the invention. group 320 is from the high performance intermediate driver 310 driven. Each of the six global drivers 30a ... 30f is over a customized network 361 with input lines of equal length and width and adapted capacitance within the power supply ring with the intermediate driver 310 coupled. Also with each of the global drivers 30a . 30b and 30c is an activation 0 line on the line 670 connected. The Activation 0 line is also with the power management unit 610 coupled. With the rest of the group's global drivers 320 ( 30d . 30f . 30g ) as well as the global driver 30g the group 321 is another activation line 667 , Activation-1, connected. activation line 667 is also with the performance management unit 610 coupled. Another activation line 670 , Activation-3, is with the global drivers 30h and 30i the group 321 and also with the performance management unit 610 coupled. A clock supply is over a line 681 also at the performance management unit. An activation / deactivation request line 661 becomes the performance management unit 610 fed. The activation / deactivation request line 661 refers to those activation lines 665 . 667 and 670 which are created or not. It is clear that every intermediate driver 310 and 311 while each activation line is coupled to the other input of each NAND gate of each global driver.

Eine vertikale Ausrichtleitung 626 schließt die Zuführleitungen 31a31c kurz und liefert ein Taktsignal an den Schaltungsblock 612. Außerdem schließt eine vertikale Ausrichtlei tung 623 die Leitungen 31d31g kurz und liefert ein Taktsignal an den Schaltungsblock 613. Schließlich schließt eine vertiakale Ausrichtleitung 624 die Zuführleitungen 31h und 31i kurz, um ein Taktsignal an den Schaltungsblock 614 zu liefern. Die Zuführleitungen 31a31c und zugehörigen globalen Treiber bilden eine Gruppe, welche von der Aktivierungs-0-Leitung 640 gesteuert wird. Die Zuführleitungen 31d, 31g und die zugehörigen globalen Treiber bilden eine Gruppe, welche von der Aktivierungs-1-Leitung 667 gesteuert wird. Die Zuführleitungen 31h31i und zugehörige globale Treiber bilden eine Gruppe, welche von der Aktivierungs-2-Leitung 665 gesteuert wird. Wenn bei dieser Konfiguration die Leistungsmanagementeinheit 610 die Leitung 670 entaktiviert, ist der Aktivierungs-0-Pin nicht angesteuert, und das Taktsignal zum Schaltungsblock 612 ist zeitweilig unterbrochen, bis der Aktivierungs-0-Pin 670 erneut angesteuert ist. Auf diese Weise bietet die Erfindung ein System, mit dessen Hilfe der Schaltungsblock 612 während bestimmter Perioden des Leistungsmanagements abgeschaltet werden kann. Es ist einzusehen, daß jede individuelle Gruppe von Zuführleitungen (definiert durch die vertikale Ausrichtleitung) dieselbe Aktivierungsleitung gemeinsam benutzen. Wie in 6A gezeigt ist, liefert der Zwischentakttreiber 311 das Taktsignal an die globalen Treiber 30g, 30h und 30i der Gruppe 321.A vertical alignment line 626 closes the supply lines 31a - 31c short and supplies a clock signal to the circuit block 612 , In addition, includes a vertical Ausrichtlei device 623 the wires 31d - 31g short and supplies a clock signal to the circuit block 613 , Finally, closes a vertiakale Ausrichtleitung 624 the supply lines 31h and 31i short, to send a clock signal to the circuit block 614 to deliver. The supply lines 31a - 31c and its associated global driver form a group which is the activation 0 line 640 is controlled. The supply lines 31d . 31g and the associated global drivers form a group which is the activation 1 line 667 is controlled. The supply lines 31h - 31i and associated global drivers form a group which is the activation 2 line 665 is controlled. If in this configuration the power management unit 610 The administration 670 deactivated, the activation 0 pin is not driven, and the clock signal to the circuit block 612 is temporarily interrupted until the activation 0 pin 670 is driven again. In this way, the invention provides a system by means of which the circuit block 612 during certain periods of power management can be turned off. It will be appreciated that each individual group of feed lines (defined by the vertical alignment line) share the same activation line. As in 6A is shown, the intermediate clock driver provides 311 the clock signal to the global drivers 30g . 30h and 30i the group 321 ,

In ähnlicher Weise kann der Leistungsmanagementmodul 610 die Versorgung der Schaltung 613 durch Entaktivieren der Aktivierung-1 über die Leitung 667 entaktivieren oder das Taktsignal zur Schaltung 613 durch Beaufschlagung der Leitung 667 aktivieren. Die Leistungsmanagementeinheit 610 kann die Versorgung der Schaltung 614 mit dem Taktsignal durch Entaktivieren von Entaktivierung-2 über die Leitung 665 entaktivieren oder durch Ansteuerung der Leitung 665 aktivieren. Die Aktivierung-Entaktivierungs-Anforderungsleitung 661 ist eine parallele Eingangsleitung, welche eine Flagbit für jeden Schaltungsblock der Mikroprozessortopologie führt. Wenn ein spezieller Schaltungs block abgeschaltet werden soll, so wird das diesem Schaltungsblock zugeordnete Bit auf "0" abgeschaltet. Tabelle I zeigt die verschiedenen Werte, die über die Aktivierungs-Entaktivierungsleitung 661 zur Steuerung der Arbeitszustände der Schaltungsblöcke 612, 613 und 614 geschickt werden.Similarly, the power management module 610 the supply of the circuit 613 by deactivating Activation-1 over the line 667 deactivate or the clock signal to the circuit 613 by applying the line 667 activate. The performance management unit 610 can supply the circuit 614 with the clock signal by deactivating deactivation-2 over the line 665 deactivate or by controlling the line 665 activate. The activation-deactivation request line 661 is a parallel input line which carries a flag bit for each circuit block of the microprocessor topology. When a special circuit block is to be turned off, the bit associated with that circuit block is turned off to "0". Table I shows the various values obtained via the activation-deactivation line 661 for controlling the operating states of the circuit blocks 612 . 613 and 614 sent.

TABELLE I

Figure 00300001
TABLE I
Figure 00300001

Nach der obigen Beschreibung kann die Erfindung die Zuführ des Taktsignals an beliebige der Schaltungsblöcke der Mikroprozessortopologie selektiv unterbrechen. Dies ist in solchen Umfeldern vorteilhaft, wo die Energieaufnahme gesteuert und der Energieverbrauch begrenzt werden muß, so beispielsweise innerhalb eines Laptop oder eines batteriebetriebenen Computersystems. Durch Verwendung des beschriebenen Leistungsmanagementmoduls kann ein Mikroprozessor- oder Betriebssystem bestimmen, daß bestimmte Blöcke innerhalb des Mikroprozessors zum Zwecke der Energieeinsparung abgeschaltet werden sollen, und der Mikroprozessor des Computersystem kann in einen Warte- oder Schlafmodus eintreten. Der Mikroprozessor gibt dann ein "0" Bitflag aus, welches den zu suspendierenden zugehörigen Schaltungblock darstellt. Die zugehörige Aktivierungsleitung schaltet dann die der Treibergruppe und den Zuführleitungen zugeordneten Takttreiber aus oder herunter, welche den speziellen Schaltungsblock (über eine gemeinsame vertikale Ausrichtleitung) mit Energie versorgt.To In the above description, the invention can provide the supply of the clock signal to any of the circuit blocks selectively interrupt the microprocessor topology. This is in such Favorable environments where the energy intake controlled and the Energy consumption must be limited, such as within a Laptop or a battery powered computer system. By using described power management module can be a microprocessor or OS determine that certain blocks within of the microprocessor for the purpose of saving energy should be, and the microprocessor of the computer system can be in enter a wait or sleep mode. The microprocessor then gives a "0" bit flag, which the associated one to suspend Circuit block represents. The associated activation line switches then the clock driver associated with the driver group and the supply lines or down which the special circuit block (via a common vertical Ausrichtleitung) supplied with energy.

Es ist einzusehen, daß bei einem alternativen Ausführungsbeispiel jede der Aktivierungsleitung alternativ zur Ansteuerung der Zwischentakttreiber 310314 verwendet werden könnte, um die Taktsignalversorgung zu dem die Gruppen von globalen Treibern versorgenden angepaßten Netzwerk zu entaktivieren. Bei Verwendung einer solchen Konstruktion würden ganze Sätze (d.h. 320324) von globalen Treibern von einer Signal-Aktivierungsleitung gesteuert. Diese Ausführungsform ist weniger komplex im Vergleich zu dem oben beschriebenen Ausführungsbeispiel. Diese Alternative ist jedoch weniger flexibel, da die Aktivierungsleitungen eine gesamte Gruppe von globalen Treibern durch Entaktivierung der Zwischentreiber gleichzeitig abschalten. Wenn mehrere Komponenten von der gleichen globalen Treibergruppe betrieben werden, werden sie alle gleichzeitig abgeschaltet und können nicht individuell gesteuert werden. Wenn eine einzige Komponente mehrere Gruppen überspannt, so ist diese alternative Ausführung nicht so flexible in der Abschaltung dieser Komponente wie das zuvor beschriebene bevorzugte Ausführungsbeispiel der Erfindung.It will be appreciated that in an alternative embodiment, each of the activation lines may alternatively be used to drive the intermediate clock drivers 310 - 314 could be used to disable the clock signal supply to the matched network serving the groups of global drivers. When using such a construction, whole sentences (ie 320 - 324 ) controlled by global drivers from a signal activation line. This embodiment is less complex compared to the embodiment described above. However, this alternative is less flexible because the activation lines simultaneously disable an entire group of global drivers by deactivating the intermediate drivers. If several components are operated by the same global driver group, they are all shut down at the same time and can not be individually controlled. If a single component spans multiple groups, this alternative embodiment is not as flexible in disabling that component as the previously described preferred embodiment of the invention.

Der Übersichtkeit halber sind nur zwei Gruppen 320 und 321 des Taktverteilungsnetzwerkes in 6A gezeigt. Die Beschreibung zu diesen beiden Gruppen ist jedoch auf alle Gruppen der beiden vertikalen Ränder der Mikroprozessors analog anwendbar. Es ist einzusehen, daß das Decodierungsschema gemäß Tabelle I auszudehnen ist, um weitere Schaltungsblöcke des Mikroprozessors 200 in Zuordnung zu anderen Gruppen des Taktverteilungssystems zu berücksichtigen. Analog dazu ist klar, daß die Leistungsmanagementeinheit 610 auch Aktivierungsignale erzeugt, welche die Zuführ von Taktsignalen zu den auf der rechten Seite des Mikroprozessors angeordneten globalen Treiber steuert.For the sake of clarity, there are only two groups 320 and 321 of the clock distribution network in 6A shown. However, the description of these two groups is analogously applicable to all groups of the two vertical edges of the microprocessor. It will be appreciated that the decoding scheme of Table I is to be extended to include more circuit blocks of the microprocessor 200 to be considered in association with other groups of the clock distribution system. Similarly, it is clear that the performance management unit 610 also generates enable signals which control the supply of clock signals to the global drivers located on the right hand side of the microprocessor.

Taktversorgungs- und UnterbrechungsgesamtnetzwerkClock supply and interruption network

6B zeigt – nicht maßstabsgerecht – einen Mikroprozessor 200 mit einer Aktivierungsnetzwerkkonstruktion, die in der erfindungsgemäßen Anordnung unter Verwendung der globalen Treiber gemäß 5 vorgesehen sein kann. 6B zeigt eine typische Taktverteilungssystemkonfiguration gemäß dem beschriebenen Ausführungsbeispiel der Erfindung mit allen globalen Treibern. Es gibt 30 globale Treiber auf jeder Seite der integrierten Mikroprozessorschaltung. Wie auf der linken Seite gezeigt ist, gibt es dort fünf Gruppen 320, 321, 322, 323 und 324 von jeweils sechs Treibern. Diese fünf Gruppen werden von Zwischentreibern 310, 311, 312, 313 bzw. 314 getrieben. Der gemeinsame Takttreiber 301 ist mit einem Taktgenerator oder -oszillator eingangsseitig gekoppelt und treibt ein RC-abgeglichenes Netzwerk, das die auf der linken Seite angeordneten fünf Zwischentreiber 310314 und die auf der rechten Seite angeordneten fünf Zwischentreiber 691695 versorgt. Jede der Gruppen 320324 wird von ihrem zugehörigen Zwischentreiber über ein RC-angepaßtes Netzwerk getrieben. Durch Verwendung dieses gestuften Verteilungssystems mit mehreren angepaßten Netzwerken pro Stufe ist die Erfindung in der Lage, auf die linken Zuführleitungen jedes globalen Treibers synchronisierte Taktsignale zu geben. Auf der rechten Seite gibt es, wie zu sehen ist, fünf Gruppen 325, 326, 327, 328 und 329 mit jeweils sechs Treibern. Diese fünf Gruppen werden von Zwischentreibern 691, 692, 693, 694 bzw. 695 getrieben. Der gemeinsame Takttreiber 301 versorgt die fünf Zwischentreiber 691695 jeweils über ein getrenntes RC-abgestimmtes Netzwerk. Jede der Gruppen 325329 wird über ein RC-angepaßtes Netzwerk von ihrem zugehörigen Zwischentreiber getrieben. Durch Verwendung dieses gestuften Verteilungssystems mit mehreren anepaßten Netzwerken pro Stufe ist die Erfindung in der Lage, in Synchronisation befindliche Taktsignale auf die Zuführleitungen aller globalen Treiber auf der rechten Seite zu geben. Im Mikroprozessor sind 60 Zuführleitungen, eine für jeden glo balen Treiber, vorgesehen, um die Mikroprozessorkomponenten mit einem synchronisierten Taktsignal zu versorgen. Es ist klar, daß das angepaßte Netzwerk, welches alle Zwischentreiber auf der linken und rechten Seite versorgt, innerhalb des Stromzuführrings auf der Peripherie des Mikroprozessors vorgesehen ist. Es ist außerdem klar, daß die Zwischentreiber, die angepaßten Netzwerke, welche die globalen Treiber versorgen und die globalen Treiber alle innerhalb der Stromversorgungszellen des Mikroprozessors angeordnet sind. 6B shows - not to scale - a microprocessor 200 with an activation network design, which in the inventive arrangement using the global driver according to 5 can be provided. 6B shows a typical clock distribution system configuration according to the described embodiment of the invention with all global drivers. There are 30 global drivers on each side of the integrated microprocessor circuit. As shown on the left, there are five groups there 320 . 321 . 322 . 323 and 324 of six drivers each. These five groups are from intermediate drivers 310 . 311 . 312 . 313 respectively. 314 driven. The common clock driver 301 is coupled to the input side of a clock generator or oscillator and drives an RC matched network including the five intermediate drivers located on the left 310 - 314 and the five intermediate drivers arranged on the right side 691 - 695 provided. Each of the groups 320 - 324 is driven by its associated intermediate driver over an RC adapted network. By using this tiered distribution system with multiple matched networks ken per stage, the invention is capable of giving synchronized clock signals to the left feeders of each global driver. As you can see, there are five groups on the right 325 . 326 . 327 . 328 and 329 with six drivers each. These five groups are from intermediate drivers 691 . 692 . 693 . 694 respectively. 695 driven. The common clock driver 301 supplies the five intermediate drivers 691 - 695 each via a separate RC-tuned network. Each of the groups 325 - 329 is driven via an RC adapted network from its associated intermediate driver. By using this tiered distribution system with multiple adapted networks per stage, the invention is capable of providing synchronized clock signals to the supply lines of all global drivers on the right side. The microprocessor has 60 supply lines, one for each global driver, to provide the microprocessor components with a synchronized clock signal. It will be understood that the matched network, which powers all intermediate drivers on the left and right sides, is provided within the power supply ring on the periphery of the microprocessor. It will also be understood that the intermediate drivers, the matched networks that provide the global drivers, and the global drivers are all located within the power supply cells of the microprocessor.

Im folgenden wird auf 6B Bezug genommen. Dort sind fünf Mikroprozessorkomponenten 651, 652, 653, 654 und 655 dargestellt. Es ist klar, daß verschiedene andere Schaltungskomponenten an anderen Stellen innerhalb des Mikroprozessors in Zuordnung zu dem beschriebenen Taktverteilungs- und Unterbrechungsnetzwerk angeordnet sein können. Die folgenden Komponenten sind zur Veranschaulichung der Elemente der Erfindung gezeigt. Die Schaltungskomponente 651 wird über die Ausrichtleitung 651a mit einem Taktsignal versorgt, welche die Zuführleitungen der Gruppe 320 kurzschließt. Die Schaltungskomponente 652 wird mit einem Taktsignal über die Ausrichtleitung 652a versorgt, welche die Zuführleitungen der Gruppen 325 und 326 kurzschließt. Die Schaltungskomponente 653 wird mit einem Taktsignal über die Ausrichtleitungen 653a und 653b versorgt, welche die Zuführleitungen der Gruppen 321 und 322 kurzschließt. Die Schaltungskomponente 654 wird mit einem Taktsignal über die Ausrichtleitung 654a versorgt, welche die Zuführleitungen der Gruppe 327 und der Gruppe 328 kurzschließt. Die Schaltungskomponente 655 wird mit einem Taktsignal über eine Ausrichtleitung 655a versorgt, welche die Zuführleitungen der Gruppe 324 kurzschließt. Durch Anzapfen der Zuführleitungen über zugeordnete Ausrichtleitungen wird bei dem erfindungsgemäßen Taktverteilungsnetzwerk gewährleistet, daß ein Taktsignal mit weniger als 100 Pikosekunden Taktverzerrung den Zuführleitungen zugeordnet ist.The following will be on 6B Referenced. There are five microprocessor components 651 . 652 . 653 . 654 and 655 shown. It is understood that various other circuit components may be located elsewhere in the microprocessor in association with the described clock distribution and interrupt network. The following components are shown to illustrate the elements of the invention. The circuit component 651 is via the alignment line 651a supplied with a clock signal representing the feed lines of the group 320 shorts. The circuit component 652 comes with a clock signal through the alignment line 652a supplied, which the supply lines of the groups 325 and 326 shorts. The circuit component 653 comes with a clock signal through the alignment lines 653 and 653b supplied, which the supply lines of the groups 321 and 322 shorts. The circuit component 654 comes with a clock signal through the alignment line 654a supplied, which the supply lines of the group 327 and the group 328 shorts. The circuit component 655 is delivered with a clock signal via an alignment line 655a supplied, which the supply lines of the group 324 shorts. By tapping the supply lines via associated alignment lines is ensured in the clock distribution network according to the invention that a clock signal with less than 100 picoseconds clock distortion is assigned to the feed lines.

6B zeigt auch die Stromversorgungsleitungen 699, welche mit jedem der 10 Zwischentakttreiber 310314 und 691695 gekoppelt sind. Die Stromversorgungsleitungen 699 sind auch mit jedem der den Zwischentakttreibern nachgeschalteten globalen Treiber gekoppelt. Die Stromzuführleitungen 699 sind auch mit den (nicht gezeigten) Stromanschlüssen der Stromversorgungszellen des Mikroprozessors zum Anschluß von Vcc und Vss gekoppelt. Durch Anordnung der Zwischentreiber und der globalen Takttreiber nahe der Peripherie der Prozessoren sind die Stromversorgungsleitungen 699 in vorteilhafter Weise kurz und erzeugen daher einen geringen Rauschpegel über das Taktnetzwerk und den beschriebenen Mikroprozessor. 6B also shows the power supply lines 699 which with each of the 10 intermediate clock drivers 310 - 314 and 691 - 695 are coupled. The power supply lines 699 are also coupled to each of the global drivers downstream of the intermediate clock drivers. The power supply lines 699 are also coupled to the power terminals of the microprocessor power supply cells (not shown) for connection to Vcc and Vss. By arranging the intermediate drivers and the global clock drivers near the periphery of the processors are the power supply lines 699 advantageously short and therefore produce a low noise level over the clock network and the described microprocessor.

Eine Leistungsmanagementeinheit 610 ist in der Mikroprozessoranordnung 200 der 6B vorgesehen. Eine Aktivierungs/Entaktivierungs-Anforderungsleitung 661 wird vom Mikroprozessor in die Energiemanagementeinheit eingeführt. Ist sie aktiv, so zeigt diese Leitung 661 der Leistungsmangagementeinheit an, welche der fünf Komponenten 651655 zu aktivieren oder zu entaktivieren ist. Es gibt ein Flag innerhalb der Leistungsmanagementeinheit für jede Komponente, und wenn dieses Flag ansteht, so wird die Komponente mit einem Taktsignal versorgt. Wenn dieses Flag auf 0 zurückgesetzt wird, so wird die Komponente nicht mit einem Taktsignal versorgt. Das Taktsignal wird über eine Leitung 681 auch der Leistungsmanagementeinheit 610 zugeführt. Letztere gibt ein Ausgangssignal auf einen Aktivierungsbus 671, der fünf separate Aktivierungsleitungen enthält, und zwar eine für jede Komponente. Jede Aktivierungsleitung ist für die Kopplung der eine Komponente mit einem Taktsignal versorgenden globalen Treiber verantwortlich. Wenn diese Komponente zur Abschaltung ausgewählt wird, so wird die zugehörige Aktivierungsleitung entaktiviert, um jeden globalen Treiber zu entaktivieren. Der Aktivierungsbus 671 ist mit jedem der Versorgungsnetzwerke für jede der 10 Gruppen 320329 gekoppelt, und eine spezielle Aktivierungsleitung ist mit jedem der NAND- Gatter jedes globalen Treibers gekoppelt. Innerhalb des Aktivierungsbusses 671 gibt es fünf Aktivierungsleitungen: Aktivierung-0, welche den Komponentenblock 651 steuert; Aktivierung-1, welche den Komponentenblock 652 steuert; Aktivierung-2, welche den Komponentenblock 653 steuert; Aktivierung-3, welche den Komponentenblock 654 steuert; und Aktivierung-4, welche den Komponentenblock 655 steuert. Die Aktivierung-0 ist zur Gruppe 320 geführt und entaktiviert die unteren drei globalen Treiber der Gruppe 320, die von der Ausrichtleitung 651a kurzgeschlossen sind. Die Aktivierung-1 ist mit der Gruppe 325 und der Gruppe 326 gekoppelt und entaktiviert die beiden unteren globalen Treiber der Gruppe 325 und die beiden oberen globalen Treiber der Gruppe 326, welche von der Ausrichtleitung 652a kurzgeschlossen sind. Die Aktivierung-2 ist mit der Gruppe 321 und der Gruppe 322 gekoppelt und entaktiviert die unteren drei globalen Treiber der Gruppe 321 und alle sechs globalen Treiber der Gruppe 322, welche von den Ausrichtleitungen 653a und 653b kurzgeschlossen sind. Die Aktivierung 3 ist mit der Gruppe 327 und der Gruppe 328 gekoppelt und entaktiviert den unteren globalen Treiber der Gruppe 327 und die oberen 3 globalen Treiber der Gruppe 328, welche durch die Ausrichtleitung 624a kurzgeschlossen sind. Die Aktivierung-4 ist mit der Gruppe 324 gekoppelt und entaktiviert die oberen vier globalen Treiber der Gruppe 324, welche von der Ausrichtleitung 655a kurzgeschlossen sind. Daher kann der beschriebene Mikroprozessor unabhängig und selektiv die Taktzuführung zu beliebigen Komponenten innerhalb der Mikroprozessoranordnung unterbrechen, um im Mikroprozessor 200 Energie zu sparen.A performance management unit 610 is in the microprocessor arrangement 200 of the 6B intended. An activation / deactivation request line 661 is introduced by the microprocessor into the power management unit. If it is active, this line shows 661 the performance management unit which of the five components 651 - 655 to activate or deactivate. There is a flag within the power management unit for each component, and when that flag is pending, the component is clocked. If this flag is reset to 0, then the component is not supplied with a clock signal. The clock signal is sent via a line 681 also the performance management unit 610 fed. The latter gives an output signal to an activation bus 671 which contains five separate activation lines, one for each component. Each activation line is responsible for coupling the global driver supplying a component to a clock signal. When this component is selected for shutdown, the associated enable line is disabled to disable each global driver. The activation bus 671 is with each of the supply networks for each of the 10 groups 320 - 329 and a dedicated enable line is coupled to each of the NAND gates of each global driver. Inside the activation bus 671 There are five activation lines: Activation-0, which is the component block 651 controls; Activation-1, which is the component block 652 controls; Activation-2, which is the component block 653 controls; Activation-3, which is the component block 654 controls; and Activation-4, which is the component block 655 controls. The activation-0 is to the group 320 Guides and deactivates the bottom three global drivers of the group 320 by the alignment line 651a are shorted. Activation-1 is with the group 325 and the group 326 couples and deactivates the two lower global drivers of the group 325 and the top two global drivers of the group 326 which of the alignment line 652a are shorted. Activation-2 is with the group 321 and the group pe 322 couples and deactivates the bottom three global drivers of the group 321 and all six global drivers of the group 322 which of the alignment lines 653 and 653b are shorted. The activation 3 is with the group 327 and the group 328 couples and deactivates the lower global driver of the group 327 and the top 3 global drivers of the group 328 passing through the alignment line 624a are shorted. Activation-4 is with the group 324 couples and deactivates the top four global drivers of the group 324 which of the alignment line 655a are shorted. Therefore, the described microprocessor can independently and selectively interrupt the clock supply to any components within the microprocessor arrangement to be stored in the microprocessor 200 Save energy.

Leistungsmanagementprozeß beim beschriebenen AusführungsbeispielPerformance management process when described embodiment

Unter Bezugnahme auf 7 wird das erfindungsgemäß zum Leistungsmanagement der einzelnen Komponenten der integrierten Schaltung 200 verwendete Verfahren beschrieben. Das Verfahren gemäß Ablaufdiagramm 700 beginnt im Block 710 und setzt sich fort im Block 715, wo vom Mikroprozessor festgestellt wird, daß eine Leistungsmanagementmaßnahme getroffen werden muß. Diese Anzeige kann auf ein externes Ausgangssignal vom Gesamtsystem 130 zurückgehen oder aus dem Mikroprozessor 200 selbst entstehen. In beiden Fällen wird eine Feststellung getroffen, daß das System oder der Mikroprozessor oder einzelne Komponenten innerhalb des Mikroprozessors 200 in einem Ruhezustand sind und daher abgeschaltet werden sollen. Alternativ kann am Block 715 festgestellt werden, daß der Mikroprozessor und/oder System 130 nach einem Abschaltzustand aktiviert worden ist oder sind und daß daher Komponenten des Mikroprozessors mit dem Taktsignal aktiviert werden sollen. Sobald festgestellt worden ist, daß eine Leistungsmanagementaktion erwünscht ist, stellt der Mikroprozessor am Block 720 fest, welche Komponenten und Komponentenblöcke innerhalb des Mikroprozessors abgeschaltet oder aktiviert werden sollen. Sobald die Komponenten ausgewählt worden sind, wird für jede Komponente ein Flag gesetzt und decodiert ("1" für Aktivierung und "0" für Entaktivierung) und zu einer Aktivierungs/Entaktivierungs-Datenstruktur addiert. Sobald die Aktivierungs/Entaktivierungs-Datenstruktur erzeugt worden ist, werden am Block 730 diese Daten über die Aktivierungs/Entaktivierungs-Leitung 661 zur Leistungsmanagementeinheit 610 als Aktivierungs/Entaktivierungsanforderung übertragen.With reference to 7 According to the invention, the power management of the individual components of the integrated circuit 200 used methods described. The procedure according to flowchart 700 starts in the block 710 and continue in the block 715 where it is determined by the microprocessor that a performance management measure must be taken. This display can be based on an external output signal from the entire system 130 go back or out of the microprocessor 200 itself arise. In either case, a determination is made that the system or microprocessor or individual components within the microprocessor 200 are in an idle state and therefore should be turned off. Alternatively, at the block 715 be found that the microprocessor and / or system 130 has been or are activated after a shutdown state and therefore components of the microprocessor should be activated with the clock signal. Once it has been determined that a power management action is desired, the microprocessor stops at the block 720 determine which components and component blocks within the microprocessor are to be shut down or activated. Once the components have been selected, a flag is set and decoded for each component ("1" for activation and "0" for deactivation) and added to an activation / deactivation data structure. Once the activation / deactivation data structure has been generated, the block will 730 this data via the activation / deactivation line 661 to the performance management unit 610 as the activation / deactivation request.

Die Leistungsmanagementeinheit 610 decodiert dann am Block 740 die Aktivierungs/Entaktivierungs-Anforderungsdatenstruktur, um die Signalpegel auf den Aktivierungsleitungen zu modifizieren, welche mit den globalen Treibern gekoppelt sind. Die Leistungsmanagementeinheit übersetzt den Flagsatz in der Aktivierungs/Entaktivierungs-Datenstruktur in ihrem entsprechenden Komponentenblock und lokalisiert die Aktivierungsleitung oder – leitungen, welche die spezielle Komponente aktiviert und entaktiviert. Sobald die Aktivierungsleitung gefunden ist, wird der geeignete Signalpegel (getaktet von der Leitung 661) auf der Aktivierungsleitung ausgegeben, und zwar in Abhängigkeit von dem Flag-angegebenen Wert. Im Block 750 antworten dann die NAND-Gatter der globalen Treiber, die der modifizierten Aktivierungsleitung zugeordnet sind, auf das Anlegen des Signals. Wenn die Leitung niedrig wird, so erzeugen die der Aktivierungsleitung zugeordneten globalen Treiber kein Taktsignal. Wenn die Leitung auf einen hohen Signalpegel geht, so erzeugen die globalen Treiber ein Taktsignal. Daher wird ein Komponentenblock, der von diesen globalen Zieltreibern sein Taktsignal erhält, bei der Flag-Anzeige entweder abgeschaltet oder angeschaltet. Daher kehrt das Verfahren zum Block 700 für einen anderen Leistungsmanagementzyklus zurück.The performance management unit 610 then decodes at the block 740 the enable / disable request data structure to modify the signal levels on the enable lines coupled to the global drivers. The power management unit translates the flag set in the activation / deactivation data structure in its corresponding component block and locates the activation line or lines that activate and deactivate the particular component. Once the activation line is found, the appropriate signal level (clocked from the line 661 ) on the activation line, depending on the flag-indicated value. In the block 750 Then, the NAND gates of the global drivers associated with the modified enable line respond to the application of the signal. When the line goes low, the global drivers associated with the enable line will not generate a clock signal. When the line goes to a high signal level, the global drivers generate a clock signal. Therefore, a component block that receives its clock signal from these global target drivers is either turned off or turned on in the flag display. Therefore, the method returns to the block 700 for another performance management cycle.

9 zeigt besser den Ort der Energieanschlußzellen 350 des Mikroprozessor-Bauelements 200, also die Orte, an denen die Takttreiber bei dem beschriebenen Ausührungsbeispiel angeordnet sind. Wie zu sehen ist, verlaufen die Energieanschlußzellen entlang der Peripherie des Mikroprozessors und bilden eine einheitliche Plattform, auf der das Taktversorgungsnetzwerk nach der Erfindung zugeführt wird. Es ist einzusehen, daß die genaue Anzahl der Energieversorgungszellen für das Wesen der Erfindung unkritisch ist. Wesentlich sind die oben beschriebenen Charakteristiken derartiger Energieversorgungsanschlüsse, die mit Vorteilen von der Erfindung zur RC-Anpassung der Takteingabeleitungen zu den globalen Treibern genutzt werden. 9 better shows the location of the power connection cells 350 of the microprocessor device 200 That is, the locations where the clock drivers are located in the described embodiment. As can be seen, the power connection cells run along the periphery of the microprocessor and form a unitary platform on which the clock supply network according to the invention is supplied. It will be appreciated that the exact number of power cells is not critical to the nature of the invention. What is essential are the above-described characteristics of such power supply terminals, which are used with advantages of the invention for RC adaptation of the clock input lines to the global drivers.

Claims (4)

Integrierte Schaltung (200) mit mehreren auf einem Chip verteilt angeordneten Schaltungsblöcken (420440; 612614; 651655) und einem die Schaltungsblöcke mit einem synchronen Takt versorgenden, von einer Taktversorgungsschaltung (301) ausgehenden Taktverteilungsnetzwerk, wobei das Taktverteilungsnetzwerk eine Vielzahl von Globaltakttreiberschaltungen (30a30l) aufweist, die entlang einer Peripherie der integrierten Schaltung (200) außerhalb der Schaltungsblöcke angeordnet sind und die jeweils an einem ersten Eingang ein von einem Taktsignal der Taktversorgungsschaltung (301) abgeleitetes synchronisiertes Taktsignal empfangen und die an einem Ausgang ein synchrones Taktsignal mit einem minimalen Flankenversatz an jeweils eine zugehörige Taktzuführleitung (31a31l) abgeben, wobei sich die Taktzuführleitungen (31) in das Innere des Chips hinein erstrecken, um dort die Schaltungsblöcke mit dem synchronen Takt zu versorgen, so daß jedem Schaltungsblock eine Gruppe von Globaltakttreiberschaltungen und zugehörigen Taktzuführleitungen zugeordnet ist, wobei jede Globaltakttreiberschaltung (30) an einem zweiten Eingang (525) ein Taktaktivierungssignal empfängt und eine Aktivierungslogik (510, 511) zum Unterbrechen bzw. Freigeben des synchronen Taktsignals zur Taktzuführleitung (31) enthält, wobei die Taktaktivierungssignale von einer Leistungsmanagementschaltung (610) derart an die zweiten Eingänge der Gruppen von Globaltakttreiberschaltungen angelegt werden, daß selektiv die Taktversorgung einzelner Schaltungsblöcke deaktiviert werden kann, wobei die Aktivierungslogik (510, 511) so ausgebildet ist, daß eine Deaktivierung oder Aktivierung eines synchronen Takts nur während eines niedrigen Taktsignals stattfinden kann, und wobei die Globaltakttreiberschaltungen gleichmäßig entlang zweier gegenüberliegender Ränder des Chips angeordnet sind und sich die Taktzuführleitungen parallel von diesen Rändern bis etwa zur Mitte des Chips erstrecken.Integrated circuit ( 200 ) having a plurality of circuit blocks distributed on a chip ( 420 - 440 ; 612 - 614 ; 651 - 655 ) and one supplying the circuit blocks with a synchronous clock, from a clock supply circuit ( 301 ) clock distribution network, wherein the clock distribution network comprises a plurality of global clock driver circuits ( 30a - 30l ) along a periphery of the integrated circuit ( 200 ) are arranged outside the circuit blocks and each at a first input one of a clock signal of the clock supply circuit ( 301 ) deduced Receive a synchronized clock signal and at one output a synchronous clock signal with a minimum edge offset to each associated Taktzuführleitung ( 31a - 31l ), whereby the clock supply lines ( 31 ) extend into the interior of the chip to provide the circuit blocks with the synchronous clock, so that each circuit block is associated with a group of global clock driver circuits and associated clock supply lines, each global clock driver circuit (FIG. 30 ) at a second entrance ( 525 ) receives a clock enable signal and activates an activation logic ( 510 . 511 ) for interrupting or enabling the synchronous clock signal to the clock supply line ( 31 ), wherein the clock enable signals from a power management circuit ( 610 ) can be applied to the second inputs of the groups of global clock drive circuits such that the clock supply of individual circuit blocks can be selectively deactivated, the activation logic ( 510 . 511 ) is configured such that deactivation or activation of a synchronous clock can occur only during a low clock signal, and wherein the global clock driver circuits are arranged uniformly along two opposite edges of the chip and the clock supply lines extend in parallel from these edges to about the center of the chip. Integrierte Schaltung nach Anspruch 1 dadurch gekennzeichnet, daßStromversorgungszellen (350) entlang der Ränder der integrierten Schaltung (200) angeordnet sind, wobei die Stromversorgungszellen räumliche Zonen zur Ankopplung einer Spannungsversorgung sind, und daß die Globaltakttreiberschaltungen innerhalb der Stromversorgungszellen angeordnet sind.Integrated circuit according to Claim 1, characterized in that power supply cells ( 350 ) along the edges of the integrated circuit ( 200 ), wherein the power supply cells are spatial zones for coupling a power supply, and that the global clock driver circuits are disposed within the power supply cells. Integrierte Schaltung nach einem der Ansprüche 1 bis 2, dadurch gekennzeichnet, daß die Taktzuführleitungen in einer obersten Metallisierungsebene (M4-Niveau) ausgebildet sind.Integrated circuit according to one of Claims 1 to 2, characterized in that the clock supply lines are formed in an uppermost metallization level (M 4 level). Integrierte Schaltung nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, daß das Taktverteilungsnetzwerk mehrere Zwischentakttreiberschaltungen (310314; 691695) aufweist, die ein von der Taktversogungsschaltung ausgehendes synchrones Taktsignal empfangen und synchrone Taktsignale an jeweils mehrere zugeordnete Globaltakttreiberschaltungen ausgeben.Integrated circuit according to one of Claims 1 to 3, characterized in that the clock distribution network comprises a plurality of intermediate clock driver circuits ( 310 - 314 ; 691 - 695 ) receiving a synchronous clock signal output from the clock sourcing circuit and outputting synchronous clock signals to a plurality of associated global clock driver circuits, respectively.
DE4447848A 1993-06-30 1994-06-30 Clock signal distribution and interrupt system for microprocessor integrated circuit device - has number of global drivers uniformly disposed along periphery of integrated circuit and number of feeders for supply clock signals to circuit components of integrated circuit Expired - Fee Related DE4447848B4 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE4422456A DE4422456B4 (en) 1993-06-30 1994-06-30 Clock distribution system for a microprocessor

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/086,044 US5586307A (en) 1993-06-30 1993-06-30 Method and apparatus supplying synchronous clock signals to circuit components
DE4422456A DE4422456B4 (en) 1993-06-30 1994-06-30 Clock distribution system for a microprocessor
US086044 1998-06-25

Publications (1)

Publication Number Publication Date
DE4447848B4 true DE4447848B4 (en) 2005-10-27

Family

ID=35062468

Family Applications (1)

Application Number Title Priority Date Filing Date
DE4447848A Expired - Fee Related DE4447848B4 (en) 1993-06-30 1994-06-30 Clock signal distribution and interrupt system for microprocessor integrated circuit device - has number of global drivers uniformly disposed along periphery of integrated circuit and number of feeders for supply clock signals to circuit components of integrated circuit

Country Status (1)

Country Link
DE (1) DE4447848B4 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0050844A1 (en) * 1980-10-27 1982-05-05 Hitachi, Ltd. Clock signal supply control in data processing apparatus
EP0181059A2 (en) * 1984-09-29 1986-05-14 Kabushiki Kaisha Toshiba Semiconductor integrated circuit for clock distribution
EP0451661A2 (en) * 1990-03-30 1991-10-16 Matsushita Electric Industrial Co., Ltd. Low power consumption microprocessor
US5172330A (en) * 1989-02-08 1992-12-15 Kabushiki Kaisha Toshiba Clock buffers arranged in a peripheral region of the logic circuit area

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0050844A1 (en) * 1980-10-27 1982-05-05 Hitachi, Ltd. Clock signal supply control in data processing apparatus
EP0181059A2 (en) * 1984-09-29 1986-05-14 Kabushiki Kaisha Toshiba Semiconductor integrated circuit for clock distribution
US5172330A (en) * 1989-02-08 1992-12-15 Kabushiki Kaisha Toshiba Clock buffers arranged in a peripheral region of the logic circuit area
EP0451661A2 (en) * 1990-03-30 1991-10-16 Matsushita Electric Industrial Co., Ltd. Low power consumption microprocessor

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
IBM Techn. Dis. Bull., Vol. 32, No. 4B, Sept. 1989, S. 120-122 *

Similar Documents

Publication Publication Date Title
DE69320180T2 (en) SELECTIVE SHUTDOWN FOR A HIGH-PERFORMANCE CPU SYSTEM.
DE69317758T2 (en) Microprocessor circuit with two clock signals
DE69532226T2 (en) Clock control unit
DE60132772T2 (en) MULTI-PHASE, PULSE-WIDE MODULATED VOLTAGE REGULATOR
DE69032799T2 (en) Programmable logic device and associated memory circuit
DE60007218T2 (en) FLIP-FLOP CIRCUIT
DE112007000954T5 (en) Correct power distribution for multiple voltage chips
DE102013011698A1 (en) ACTUATOR PANEL REGISTER, METHOD FOR THE OPERATION AND INTEGRATED CIRCUIT THEREFORE APPLIES
DE102010024154A1 (en) Power supply, processing system and control method
DE69132540T2 (en) Programmable logic circuit
DE69424764T2 (en) Charge pump circuit
DE102005044333A1 (en) Master-slave flip-flop for use in synchronous circuits and method for reducing current spikes when using master-slave flip-flops in synchronous circuits
DE102018115971A1 (en) Clock control in a semiconductor system
DE69828890T2 (en) CONFIGURABLE MEMORY CONTROL DEVICE AND METHOD THEREFOR
DE69833720T2 (en) Integrated semiconductor circuit with on-chip capacitors
DE4447848B4 (en) Clock signal distribution and interrupt system for microprocessor integrated circuit device - has number of global drivers uniformly disposed along periphery of integrated circuit and number of feeders for supply clock signals to circuit components of integrated circuit
DE69726233T2 (en) clocking scheme
DE4422456B4 (en) Clock distribution system for a microprocessor
DE4102718C2 (en) Integrated circuit and method for generating a layout of an integrated circuit
DE4344231C2 (en) Integrated circuit device with bit slice cells
DE68926541T2 (en) Address modification circuit
DE68929487T2 (en) Supply pin arrangement for an integrated circuit
EP0752175B1 (en) Low loss integrated circuit with reduced clock swing
DE69417809T2 (en) Emulation system for microcomputers
DE2525690C3 (en) Logical DOT connection circuit in complementary field effect transistor technology

Legal Events

Date Code Title Description
AC Divided out of

Ref document number: 4422456

Country of ref document: DE

Kind code of ref document: P

AC Divided out of

Ref document number: 4422456

Country of ref document: DE

Kind code of ref document: P

8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee