DE4422456B4 - Clock distribution system for a microprocessor - Google Patents

Clock distribution system for a microprocessor Download PDF

Info

Publication number
DE4422456B4
DE4422456B4 DE4422456A DE4422456A DE4422456B4 DE 4422456 B4 DE4422456 B4 DE 4422456B4 DE 4422456 A DE4422456 A DE 4422456A DE 4422456 A DE4422456 A DE 4422456A DE 4422456 B4 DE4422456 B4 DE 4422456B4
Authority
DE
Germany
Prior art keywords
clock
lines
integrated circuit
drivers
microprocessor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE4422456A
Other languages
German (de)
Other versions
DE4422456A1 (en
Inventor
Keng L. Portland Wong
Kelly J. Beaverton Fitzpatrick
Jeffrey E. Aloha Smith
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/086,044 external-priority patent/US5586307A/en
Application filed by Intel Corp filed Critical Intel Corp
Priority to DE4447848A priority Critical patent/DE4447848B4/en
Priority claimed from DE4447848A external-priority patent/DE4447848B4/en
Publication of DE4422456A1 publication Critical patent/DE4422456A1/en
Application granted granted Critical
Publication of DE4422456B4 publication Critical patent/DE4422456B4/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3237Power saving characterised by the action undertaken by disabling clock generation or distribution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Computing Systems (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Integrierte Schaltung (200) mit mehreren Schaltungskomponenten (420, 440) und einer mit einer Referenztakteinrichtung gekoppelten Einrichtung zur Versorgung der Schaltungskomponenten mit mehreren synchronen Taktsignalen, die von der Referenztakteinrichtung abgeleitet sind,
wobei mehrere Globaltakttreiber (30a...30l) gleichmäßig entlang der Randbereiche des Chips der integrierten Schaltung (200) angeordnet und zur Erzeugung einer Anzahl von synchronen Taktsignalen vorgesehen sind;
wobei mehrere Zuführeinrichtungen (31a...31l) jeweils mit einem Globaltakttreiber gekoppelt und so angeordnet sind, daß sie synchrone Taktsignale von den Randbereichen des Chips der integrierten Schaltung zu benachbarten Schaltungskomponenten (420, 425, 430, 435, 440) im Inneren übertragen, und
wobei Stromversorgungszellen (350) entlang der Randbereiche der integrierten Schaltung (200) angeordnet sind und räumliche Zonen innerhalb der integrierten Schaltung zur Kopplung der integrierten Schaltungskomponenten (420–440) an eine Stromversorgungsquelle bilden und wobei die Globaltakttreiber (30a...30l) innerhalb der Stromversorgungszellen (350) angeordnet sind.
Integrated circuit (200) with a plurality of circuit components (420, 440) and a device coupled to a reference clock device for supplying the circuit components with a plurality of synchronous clock signals which are derived from the reference clock device,
wherein a plurality of global clock drivers (30a ... 30l) are arranged uniformly along the edge regions of the chip of the integrated circuit (200) and are provided for generating a number of synchronous clock signals;
wherein a plurality of feed devices (31a ... 31l) are each coupled to a global clock driver and arranged such that they transmit synchronous clock signals from the edge regions of the chip of the integrated circuit to neighboring circuit components (420, 425, 430, 435, 440) inside, and
wherein power supply cells (350) are arranged along the edge regions of the integrated circuit (200) and form spatial zones within the integrated circuit for coupling the integrated circuit components (420-440) to a power supply source, and wherein the global clock drivers (30a ... 30l) within the Power supply cells (350) are arranged.

Figure 00000001
Figure 00000001

Description

Die Erfindung bezieht sich auf eine integrierte Schaltung mit mehreren Schaltungskomponenten und einer mit einer Referenztakteinrichtung gekoppelten Einrichtung zur Versorgung der Schaltungskomponenten mit mehreren synchronen Taktsignalen sowie auf ein Verfahren zu deren Entwurf.The invention relates to a integrated circuit with multiple circuit components and one with a reference clock device coupled device for supply the circuit components with several synchronous clock signals as well on a process for their design.

Komponenten einer integrierten Schaltung arbeiten auf der Basis der Zeitgabe und Pulsung von Taktsignalen, welche einen Referenzpunkt oder ein Aktivierungssignal für die Schaltungsaktivität und Verarbeitung bilden. Das Taktsignal stellt auch eine Zeitgabe oder Abgleichreferenz zur Verfügung, die verschiedene Schaltungen annehmen, wenn sie ihre zugehörigen Verarbeitungsaufgaben durchlaufen. Es ist wichtig, daß die Taktsignale vorhersehbar und unverzögert sind, damit die Verarbeitung und Ausführung durch Schaltungskomponenten in wechselseitiger Synchronisation stattfinden. Integrierte Mikroprozessor-Bauelemente verwenden einen Systemtakt, der die Zeitgabe und Pulsung zur Ansteuerung verschiedener Elemente und für die Verarbeitung durch den Mikroprozessor liefert. Es ist von vitaler Bedeutung für den Betrieb eines Mikroprozessors, daß der Systemtakt allen Komponenten des Mikroprozessors bei minimalem Taktversatz und minimaler Taktverzögerung zugeführt wird. Jede Systemkomponente sollte das gleiche Taktsignal in Synchronisation mit allen anderen Komponenten erhalten.Components of an integrated circuit work on the basis of timing and pulsing of clock signals, which form a reference point or an activation signal for circuit activity and processing. The clock signal also provides a timing or alignment reference available which various circuits adopt when performing their associated processing tasks run through. It is important that the Clock signals are predictable and instantaneous, so processing and execution by means of circuit components in mutual synchronization. Integrated microprocessor components use a system clock, the timing and pulsing to control various elements and for provides processing by the microprocessor. It is more vital Meaning of the operation of a microprocessor that the system clock all components of the microprocessor with a minimal clock offset and minimal clock delay. Every system component should have the same clock signal in synchronization received with all other components.

In der folgenden Beschreibung wird auf Taktverzögerung und Taktversatz Bezug genommen. Taktverzögerung bezieht sich auf eine zeitliche Verzögerung zwischen einem Taktsignal innerhalb einer integrierten Schaltung und dem Systemtakt. Andererseits bezieht sich ein Taktversatz auf Schwankungen bzw. Änderungen zwischen Taktverzögerungen, wie sie verschiedenen Punkten einer integrierten Schaltung zugeordnet sind. Wenn es auch physikalisch unmöglich ist, die Taktverzöge rung total zu elimineren, ist es keineswegs unmöglich, diese Verzögerung über die gesamte integrierte Schaltung (IC) in Übereinstimmung zu bringen, um so den Taktversatz für die verschiedenen Schaltungskomponenten zu eliminieren. Zu diesem Zweck können zwei Punkte innerhalb einer integrierten Schaltung gleiche Taktverzögerungen, jedoch untereinander keinen Taktversatz haben. Es wäre daher vorteilhaft, die Taktverzögerungen für alle Schaltungskomponenten in Übereinstimmung zu bringen und so einen Taktversatz innerhalb einer IS zu eliminieren.The following description will on clock delay and clock offset referenced. Clock delay refers to one delay between a clock signal within an integrated circuit and the system clock. On the other hand, a clock skew refers to Fluctuations or changes between clock delays, how they are assigned to different points of an integrated circuit. Even if it's physically impossible is, the clock delay to totally eliminate it, it is by no means impossible to put this delay over to align entire integrated circuit (IC) so the clock offset for to eliminate the various circuit components. To this Purpose can be two Points within an integrated circuit equal clock delays, but do not have a clock offset between them. So it would be advantageous, the clock delays for all Circuit components in accordance to bring and thus eliminate a clock offset within an IS.

Da integrierte Mikroprozessor-Bauelemente zunehmend höhere Taktgeschwindigkeiten benutzen, können Änderungen in der Topologie des Mikroprozessor-Bauelements Verzögerungen oder Fehlerfaktoren innerhalb der das Taktsignal führenden und übertragenden Metalleitungen einführen. Da der Taktsignalimpuls schmaler wird, werden diese Taktsignalveränderungen bei der modernen Mikroprozessorkonstruktion wesentlich. Diese Faktoren tragen zu Übertragungsfehlern innerhalb der Taktsignale bei und wirken sich dadurch aus, daß die Taktsignale verzögert werden, wenn sie an die verschiedenen Komponenten des Mikroprozessors verteilt bzw. übertragen werden. Der Widerstand innerhalb der Taktleitung und die Kapazität an der Taktleitung erzeugen RC-Verzerrungen bei der Ausbreitung bzw. Übertragung des Taktsignals. Auch andere Diskontinuitäten innerhalb der Schaltungstopologie des Mikroprozessors tragen zu dem Ausbreitungsfehler des Taktsignals bei; zu solchen Diskontinuitäten gehören beispielsweise Unterschiede in der Dicke der Komponenten, welche die Taktleitung umgeben und unterschiedliche dielektrische Werte in die Signalleitungen einführen (beispielsweise dielektrische Dickenänderungen innerhalb der Isolierschichten). Diese dielektrischen Änderungen tragen zur Kapazität der Taktsignalleitungen bei. Erwünscht ist ein Schema, durch das alle Komponenten des Mikroprozessors mit einem synchronisierten und identischen Taktsignal versehen werden selbst bei einer Mikroprozessor-Architektur mit komplexen Topologien und komplexen dielektrischen Änderungen über die Topologien.Because integrated microprocessor components increasingly higher Using clock speeds can change the topology of the microprocessor device delays or error factors within the leading the clock signal and transmitting Introduce metal lines. As the clock signal pulse becomes narrower, these clock signal changes essential in modern microprocessor design. These factors contribute to transmission errors within the clock signals and affect the fact that the clock signals delayed when connected to the various components of the microprocessor distributed or transferred become. The resistance within the clock line and the capacitance at the Clock lines create RC distortions during propagation or transmission of the clock signal. Other discontinuities within the circuit topology of the microprocessor contribute to the propagation error of the clock signal at; to such discontinuities belong for example differences in the thickness of the components which surround the clock line and different dielectric values insert into the signal lines (e.g. dielectric thickness changes within the insulating layers). These dielectric changes bear to capacity of the clock signal lines. He wishes is a schematic through which all components of the microprocessor are used be provided with a synchronized and identical clock signal even with a microprocessor architecture with complex topologies and complex dielectric changes across the Topologies.

Einige bekannte Verfahren wurden implementiert, um Komponenten eines integrierten Mikroprozessor-Bauelements mit einem Takt zu versehen.Some known methods have been used implemented to components of an integrated microprocessor device to be provided with a beat.

1A zeigt ein derartiges bekanntes Verfahren, bei dem ein Signaltreiber zur Lieferung eines Taktsignals für alle Komponenten eines Mikroprozessor-Bauelements benutzt wird. Ein integriertes Mikroprozessorschaltungsbauelement 10 ist so dargestellt, daß seine oberste Metallschicht nach oben weist. Innerhalb dieser obersten Metallschicht befindet sich ein Verbindungspunkt zu einem Takttreiber 12, der den Mikroprozessortakt treibt. Der Systemtakt wird dem Chip gewöhnlich von außen her durch ein Oszillatornetzwerk oder eine Oszillatorschaltung eingegeben. Der Taktoszillator wird dann vom Takttreiber 12 getrieben. Der Treiber ist mit einer sehr breiten Leitung 14a gekoppelt, die danach über das Mikroprozessor-Bauelement in einem Baum- oder Verzweigungsschema in der dargestellten Weise verschaltet ist. Verschiedene Komponenten des Mikroprozessors koppeln dann an verschiedenen Punkten zur Verzweigungsstruktur, wie es zur Gewinnung eines Zugriffs auf das zugeführte Taktsignal benötigt wird. Wie dargestellt, haben die Zweige 14b und 14c des Baums eine geringere Breite als die Anfangsleitung 14a, welche direkt mit dem Treiber 12 gekoppelt ist. Die direkt mit dem Treiber gekoppelte Leitung muß breiter sein, um das gesamte Taktsignal durch die Komponenten des Mikroprozessor-Bauelements 10 zu führen. 1A shows such a known method in which a signal driver is used to supply a clock signal for all components of a microprocessor component. An integrated microprocessor circuit device 10 is shown with its top metal layer facing up. A connection point to a clock driver is located within this uppermost metal layer 12 that drives the microprocessor clock. The system clock is usually input to the chip from the outside through an oscillator network or circuit. The clock oscillator is then used by the clock driver 12 driven. The driver is with a very wide wire 14a coupled, which is then connected via the microprocessor component in a tree or branching scheme in the manner shown. Different components of the microprocessor then couple at different points to the branching structure, as is required to gain access to the supplied clock signal. As shown, the branches have 14b and 14c the width of the tree is less than the initial line 14a which directly with the driver 12 is coupled. The line coupled directly to the driver must be wider in order for the entire clock signal to pass through the components of the microprocessor component 10 respectively.

Weil bei dem Verfahren gemäß 1A ein einziger Takttreiber 12 zur Versorgung des Gesamtchips verwendet wird, muß er sehr leistungsstark sein; hierin liegt jedoch nicht der einzige Grund, warum diese bekannte Technik einen Treiber hohen Stroms benutzt. Es ist erwünscht, den Widerstand der Leitung 14a durch Erhöhung ihrer Breite zu reduzieren, um die gesamte RC-Komponente der Leitung zu verringern. Der Widerstand innerhalb der Takttreiberleitungen 14a, 14b und 14c ist eine Funktion der Länge der Signalleitung zwischen einem Punkt und dem Treiber. Der Signalversatz ist eine Funktion des Widerstands und der Kapazität (RC) der Leitung. Wenn die Signalleitungen relativ schmal sind, gleicht der proportionale Anstieg der Leitungskapazität nicht die proportionale Verringerung des Widerstands bei Verbreiterung der Leitung 14a aus, so daß das gesamte RC-Produkt bei Verbreiterung von 14a abnimmt. Durch Verringerung des Widerstands muß jedoch die Takttreibergröße zunehmen, um der Taktleitung zusätzlichen Strom zu liefern. Eine Leistungserhöhung wird zur Verminderung des Taktversatzes verwendet. Dieser leistungsstarke Treiber kann einen übermäßigen Rauschpegel des Taktsignals erzeugen. Unter diesem System werden die Signalleitungen 14a auf einen niedrigeren Widerstand verbreitert, was relativ leistungsstarke Takttreiber bedingt. Alle genannten Veränderungen wurde im Bemühen zum Verringern des Signalversatzes gemacht.Because according to the procedure 1A a single clock driver 12 used to supply the entire chip, it must be very powerful; however, this is not the only reason why this known technique uses a high current driver. It is desirable the resistance of the line 14a by Reduce their width to reduce the total RC component of the line. The resistance within the clock driver lines 14a . 14b and 14c is a function of the length of the signal line between a point and the driver. The signal offset is a function of the resistance and capacitance (RC) of the line. If the signal lines are relatively narrow, the proportional increase in line capacitance does not equal the proportional decrease in resistance as the line widens 14a so that the entire RC product when widened by 14a decreases. However, by reducing the resistance, the clock driver size must increase to provide additional current to the clock line. An increase in output is used to reduce the clock offset. This powerful driver can generate excessive noise level of the clock signal. Under this system, the signal lines 14a widened to a lower resistance, which requires relatively powerful clock drivers. All of the above changes have been made in an effort to reduce signal offset.

Da die Länge der Signalleitungen bei der bekannten Methode gemäß 1A groß ist, sind die mit dem Taktsignal bei dieser Methode verbunden Verzerrungen sehr hoch und von Komponente zu Komponente unvorhersehbar. Bei größeren Mikroprozessoren kann dieser Versatz eine Größenordnung von 1,0 Nanosekunden erreichen. Dies ist ein unannehmbar hoher Versatz bei modernen Computern, die bei Geschwindigkeiten deutlich oberhalb von 50 MHz arbeiten. Auch die variable Breite der Signalleitung (d.h. von sehr breit bei 14a bis relativ schmal bei 14c) trägt zu einem variableren Versatz in dem durch den Mikroprozessor 10 gelieferten Signal in Abhängigkeit von der Länge der Signalleitung bei. Daher bedingt diese bekannte Technik einen Takttreiber hoher Leistung (und damit starken Rauschens), und sie hat einen dem Taktsignal zugeordneten entsprechend stark schwankenden Versatz. Benötigt wird ein Taktverteilungssystem, das das Ausmaß des Versatzes innerhalb des durch den Mikroprozessor geleiteten Taktsignals auch ohne Unterstützung durch leistungsstarke Treiber reduziert.Since the length of the signal lines in accordance with the known method 1A is large, the distortions associated with the clock signal in this method are very high and unpredictable from component to component. For larger microprocessors, this offset can reach an order of magnitude of 1.0 nanoseconds. This is an unacceptably high offset on modern computers that operate at speeds well above 50 MHz. Also the variable width of the signal line (ie from very wide at 14a to relatively narrow at 14c ) contributes to a more variable offset in that by the microprocessor 10 delivered signal depending on the length of the signal line. This known technique therefore requires a clock driver of high power (and thus high noise) and it has a correspondingly strongly fluctuating offset associated with the clock signal. What is needed is a clock distribution system that reduces the amount of offset within the clock signal passed through the microprocessor even without the assistance of powerful drivers.

1B zeigt eine andere bekannte Taktversorgungsimplementierung, bei der mehrere unterschiedliche Stromtreiber 17a17d Verwendung finden, die jeweils dasselbe Takt-Eingangssignal empfangen. Die Ausgangssignale aller Treiber werden dann zu separaten Schaltungsblöcken innerhalb des Mikroprozessors übertragen. Beispielsweise ist der Treiber 17a mit dem Block 15a und der Treiber 17b mit dem Block 15b usw. gekoppelt, so daß jeder Block 15a15d sein Taktsignal von einem separaten Treiber 17a17d erhält. Die Breite jeder dieser Leitungen sind konstant eingestellt. Auch die Längen aller Leitungen sind gleich gemacht. Da die Komponenten 15a15d in unterschiedlichen Abständen zu den ihnen zugeordneten Taktgeneratoren angeordnet sind, sind die Leitungen 18, 19, 20 und 21 in einigen Bereichen zurückgefaltet, um die konstante Länge zu erreichen. Beispielsweise hat die Leitung 18 wenige hin- und zurücklaufende Leitungen, so daß ihre Länge gleich der Länge der Leitung 19 ist usw. Die längste Leitung 20 hat keine Rückfaltung und bestimmt die Länge aller anderen Taktleitungen. Auf diese Weise liefert das Mikroprozessor-Bauelement 10 nach dieser Konstruktion ein Taktsignal an jede Komponente. Dieses System ist in der Lage, Treiber 17a17d geringerer Leistung zu benutzen, da die Leitungen schmaler sind und mehr separate Leitungen zur Verteilung des Taktsignals vorhanden sind. 1B shows another known clock supply implementation in which several different current drivers 17a - 17d Find use, each receiving the same clock input signal. The output signals of all drivers are then transferred to separate circuit blocks within the microprocessor. For example, the driver 17a with the block 15a and the driver 17b with the block 15b etc. coupled so that each block 15a - 15d its clock signal from a separate driver 17a - 17d receives. The width of each of these lines is set constant. The lengths of all lines are also made the same. Because the components 15a - 15d the lines are arranged at different distances from the clock generators assigned to them 18 . 19 . 20 and 21 folded back in some areas to achieve constant length. For example, the line 18 few return lines so that their length is equal to the length of the line 19 is etc. The longest line 20 has no refolding and determines the length of all other clock lines. In this way, the microprocessor component delivers 10 according to this construction a clock signal to each component. This system is capable of drivers 17a - 17d use less power because the lines are narrower and there are more separate lines for distributing the clock signal.

Theoretisch scheint diese bekannte Konstruktion gemäß 1B zu funktionieren; sie hat jedoch eine Reihe von Nachteilen. Zunächst ist es nicht ohne weiteres möglich, eine konstante Breite der Leitungen 1821 über den gesamten Signalweg von den Takttreibern zu den Komponenten aufrechtzuerhalten. Außerdem überkreuzt und unterkreuzt jede der Leitungen unterschiedliche Schaltungstopologien des Mikroprozessors. Daraus ergeben sich unterschiedliche effektive Kapazitäten der Gesamtleitungen. Unkontrollierbare Unterschiede bei der Herstellung der Signalleitungen in den Verarbeitungsstufen des Mikroprozessors führen zu Dickenänderungen des Dielektrikums dieser Leitungen von bis zu 20%, wodurch die Kapazität dieser Leitungen entsprechende Änderungen erfährt und damit zur topologischen Fehlanpassung beiträgt. Obwohl diese Schwankungen in allen Konstruktionen existieren können, kann man bei diesem bekannten System derartigen Schwankungen nicht in vorteilhafter Weise Rechnung tragen.In theory, this well-known construction seems appropriate 1B to work; however, it has a number of disadvantages. First of all, it is not easily possible to have a constant width of the lines 18 - 21 Maintain over the entire signal path from the clock drivers to the components. In addition, each of the lines crosses and crosses different circuit topologies of the microprocessor. This results in different effective capacities of the overall lines. Uncontrollable differences in the production of the signal lines in the processing stages of the microprocessor lead to changes in the dielectric of these lines of up to 20%, as a result of which the capacitance of these lines undergoes corresponding changes and thus contributes to the topological mismatch. Although these fluctuations can exist in all constructions, such fluctuations cannot be taken into account advantageously in this known system.

Zusammenfassend ist festzuhalten, daß man möglicherweise die Kapazitäts- und Widerstandswerte der Taktsignalleitungen nicht über die gesamte Topologie des integrierten Mikroprozessor-Bauelements 10 übereinstimmend machen kann. Die Änderungen des Widerstands und der Kapazität der Taktsignalleitungen 1821 rufen unerwünschte Signalverzerrungen innerhalb der den Komponenten des Mikroprozessors zugeführten Taktsignale hervor. Daher machen Unterschiede in den dielektrischen Werten und Herstellungsunregelmäßigkeiten diese bekannte Methode nicht ohne weiteres realisierbar. Erwünscht ist ein System, daß ein relativ konstantes und vorhersehbares Taktsignal für alle Komponenten eines Mikroprozessors zur Verfügung stellt, und zwar unabhängig von der Topologie und den Verarbeitungs- bzw. Bearbeitungsänderungen über das Mikroprozessor-Bauelement.In summary, it should be noted that the capacitance and resistance values of the clock signal lines may not be available across the entire topology of the integrated microprocessor component 10 can match. The changes in the resistance and capacitance of the clock signal lines 18 - 21 cause unwanted signal distortion within the clock signals supplied to the components of the microprocessor. Therefore, differences in the dielectric values and manufacturing irregularities do not make this known method readily feasible. What is desired is a system that provides a relatively constant and predictable clock signal for all components of a microprocessor, regardless of the topology and the processing or processing changes via the microprocessor component.

Eine dritte bekannte Konstruktion ist in 1C dargestellt. Bei diesem System sind viele Takttreiber 21 im Mittelstreifen des Mikroprozessors 10 angeordnet und liefern Taktsignale horizontal auswärts nach den linken und rechten Seiten über die Topologie des Chips unter Verwendung einer horizontalen Signalleitung für jeden Treiber. Die Treiber 21 sind über die gesamte Dimension des Mikroprozessors verteilt. Die maximale Länge jeder horizontalen Treiberleitung ist die Hälfte der Länge des Mikroprozessorchips. Zahlreiche Schaltungskomponenten 24 und 25 zapfen diese Taktsignale dort an, wo die Treiber die dargestellten horizontalen Taktsignalleitungen versorgen. Jeder der Takttreiber wird über eine Versorgungsleitung 23 mit Strom versorgt, welche mit dem Außenabschnitt des Chips gekoppelt ist, auf dem die Stromversorgungsanschlüsse 28 angeordnet sind. Jeder der Treiber 21 muß mit Strom versorgt werden. Das anfängliche Taktsignal wird über den Mittelstreifen des Mikroprozessor-Bauelements 10 den Treibern 21 zugeführt. Dieses bekannte System ist ein verteiltes Taktschema.A third known construction is in 1C shown. There are many clock drivers in this system 21 in the median of the microprocessor 10 are arranged and provide clock signals horizontally outward to the left and right sides across the topology of the chip using a horizontal signal line for each driver. The drivers 21 are distributed over the entire dimension of the microprocessor. The maximum length of each horizontal driver line is half the length of the microprocessor chip. Numerous scarf processing components 24 and 25 tap these clock signals where the drivers supply the horizontal clock signal lines shown. Each of the clock drivers is through a supply line 23 powered which is coupled to the outer portion of the chip on which the power supply terminals 28 are arranged. Each of the drivers 21 must be powered. The initial clock signal is across the median of the microprocessor device 10 the drivers 21 fed. This known system is a distributed timing scheme.

Die bekannte Methode gemäß 1C hat insofern Nachteile, als die Takttreiber 21 mit zugehöriger Logik übermäßigen Platzbedarf im Mittelstreifen des Prozessors beanspruchen, um das Taktsignal anfangs zu den Treibern zu übertragen. Es wäre vorteilhaft, diesen Platz des Mikroprozessors für andere Zwecke als ausgerechnet die Taktversorgungsfunktion nutzen zu können. Da die Treiber 21 außerdem weit entfernt von den Versorgungsanschlüssen 28 des Chips (angeordnet in den Ecken) angeordnet sind, müssen große Stromversorgungsleitungen 23 bei dieser Konstruktion vorgesehen sein, um die Treiber 21 mit Strom zu versorgen. Der diesen leistungsstarken Leitungen zugeordnete Widerstand erzeugt einen hohen Rauschanteil innerhalb des gesamten Mikroprozessors, der bei hohen Prozessor-Betriebsgeschwindigkeiten unannehmbar ist (höherer Widerstand führt zu höherem Rauschen in der Versorgungsleitung auf Grund von IR-Rauschen). Was daher benötigt wird, ist ein System zur Lieferung eines synchronisierten Taktsignals über einen Mikroprozessor, das keinen wertvollen Schaltungsraum (insbesondere Schaltungsraum innerhalb der Mittelabschnitte der Topologie, die als erstrangige Abschnitte angesehen werden) benötigt und außerdem kein übermäßiges Rauschen innerhalb des Mikroprozessors erzeugt.The known method according to 1C has disadvantages in that the clock drivers 21 with associated logic, take up excessive space in the processor median to initially transmit the clock signal to the drivers. It would be advantageous to be able to use this space of the microprocessor for purposes other than the clock supply function. Because the drivers 21 also far from the supply connections 28 of the chip (arranged in the corners) must have large power supply lines 23 in this construction, the driver 21 to supply with electricity. The resistor associated with these high performance lines creates a high level of noise within the entire microprocessor that is unacceptable at high processor operating speeds (higher resistance leads to higher noise in the supply line due to IR noise). What is needed, therefore, is a system for delivering a synchronized clock signal via a microprocessor that does not require valuable circuit space (particularly circuit space within the central sections of the topology, which are considered to be prime sections) and also does not generate excessive noise within the microprocessor.

Aus der US-Patentschrift 5,172,330 ist es bekannt, Takttreiber mit Versorgungsleitungen außerhalb einer für eine logische Schalterung vorgesehenen Fläche des Chips, vorzugsweise an der Peripherie der logischen Schaltung, anzuordnen, um das Layout der Taktversorgungsschaltungen unabhängig von dem Layout der logischen Schaltung und vor dessen Entwurf abschließen zu können.From U.S. Patent 5,172,330 it is known to have clock drivers with supply lines outside one for a logic circuit provided area of the chip, preferably at the periphery of the logic circuit, to arrange the layout of the clock supply circuits regardless of the layout of the logic Circuit and to be able to complete before its design.

Demgemäß ist es Aufgabe der Erfindung, ein einfach herstellbares Taktversorgungssystem zur Verfügung zu stellen, das ein synchrones Taktsignal für die Komponenten einer integrierten Schaltung bei geringem Chipflächenbedarf und bei geringem Rauschen liefert.Accordingly, it is an object of the invention an easy to manufacture clock supply system is available make a synchronous clock signal for the components of an integrated Circuitry with a small chip area requirement and delivers with low noise.

Diese Aufgabe wird erfindungsgemäß durch eine integrierte Schaltung mit den Merkmalen es Patentanspruchs 1 bzw. ein Verfahren zu deren Entwurf mit den Merkmalen des Patentanspruchs 14 gelöst.This object is achieved according to the invention an integrated circuit with the features of claim 1 or a method for their design with the features of the claim 14 solved.

Bei einer Ausführungsform der erfindungsgemäßen integrierten Schaltung mit einer Vielzahl von Schaltungskomponenten und einer Taktgeneratorschaltung weist eine Einrichtung zur Versorgung der Vielzahl von Schaltungskomponenten mit einer Vielzahl von synchronen Taktsignalen, die von der Taktgeneratorschaltung abgeleitet sind, eine Vielzahl von Globaltakttreibern, die gleichmäßig entlang der Randbereiche des Chips der integrierten Schaltung angeordnet sind und eine Vielzahl von synchronen Taktsignalen erzeugen, und eine Vielzahl von Zuführeinrichtungen auf, von denen jede mit einem Globaltakttreiber gekoppelt ist, wobei die Vielzahl von Zuführeinrichtungen die Vielzahl von synchronen Taktsignalen von den Randbereichen des Chips der integrierten Schaltung zur Vielzahl von Schaltungskomponenten im Inneren der integrierten Schaltung überträgt. Zusätzlich sind Stromversorgungszellen entlang der Randbereiche der integrierten Schaltung vorgesehen; sie bilden räumliche Zonen innerhalb der integrierten Schaltung zum Ankoppeln der integrierten Schaltung an eine Stromquelle. Die Globaltakttreiber sind innerhalb der Stromversorgungszellen der integrierten Schaltung angeordnet.In one embodiment of the integrated Circuit with a variety of circuit components and one Clock generator circuit has a device for supplying the Variety of circuit components with a variety of synchronous Clock signals derived from the clock generator circuit a variety of global clock drivers that run evenly along the edge regions of the chip of the integrated circuit are and generate a plurality of synchronous clock signals, and a variety of feeders each of which is coupled to a global clock driver, where the variety of feeders the multitude of synchronous clock signals from the edge areas of the Integrated circuit chips for variety of circuit components transmits inside the integrated circuit. In addition there are power supply cells provided along the edge regions of the integrated circuit; they form spatial Zones within the integrated circuit for coupling the integrated Circuit to a power source. The global clock drivers are within the power supply cells of the integrated circuit.

Ignoriert man die den angepaßten Taktverteilungsstufen zugeordneten Effekte, so schafft die Erfindung ein Taktverteilungssystem zur Lieferung von Taktsignalen mit weniger als 100 Picosekunden Versatz zwischen den verschiedenen Komponenten eines integrierten Schaltungsbauelements. Unter Berücksichtigung der Effekte der angepaßten Eingangsstufen kann der oben genannte Wert 300 Picosekunden erreichen, und zwar aufgrund von Verarbeitungsunvollkommenheiten und Un vollkommenheiten in Verbindung mit dem Anpassen der Eingangsstufen. Eine Ausführungsform der Erfindung benutzt verschiedene Treiberstufen zum gleichmäßigen Liefern der Taktsignale, und jede Stufe hat RC-angepaßte Eingangsleitungen. Die angepaßten Stufen und Takttreiber werden vorzugsweise im Stromversorgungsring der integrierten Schaltung angeordnet, der an der Peripherie der Mikroprozessortopoulogie angeordnet ist. Dies geschieht zur besseren Vorherbestimmung der diese Leitungen umgebenden Topologie und zur Anpassung der Kapazität dieser Leitungen. Außerdem wird eine räumliche Konkurrenz mit anderen IC-Komponenten und Schaltungen vermieden.If you ignore the adjusted clock distribution levels associated effects, the invention creates a clock distribution system for the delivery of clock signals with less than 100 picoseconds Offset between the different components of an integrated Circuit device. Under consideration of Effects of the customized Input stages, the above value can reach 300 picoseconds, because of processing imperfections and imperfections in connection with the adaptation of the input stages. An embodiment The invention uses various driver stages to deliver evenly of the clock signals, and each stage has RC matched input lines. The adapted levels and clock drivers are preferably in the power ring Integrated circuit arranged on the periphery of the microprocessor topology is arranged. This is done to better predict the topology surrounding these lines and to adjust their capacitance Cables. Moreover becomes a spatial Avoid competition with other IC components and circuits.

Vorteilhafte Weiterbildungen sind in den Unteransprüchen gekennzeichnet.Advantageous further developments are in the subclaims characterized.

Im folgenden wird die Erfindung anhand von in der Zeichnung dargestellten Ausführungsbeispielen näher erläutert. Innerhalb der Zeichnung zeigen:The invention is explained below of exemplary embodiments illustrated in the drawing. Within the drawing shows:

1A ein bekanntes System für eine Taktsignalverteilung unter Verwendung nur eines einzigen Signaltreibers hoher Leistung; 1A a known system for clock signal distribution using only a single high power signal driver;

1B ein bekanntes System der Taktverteilung, welches eine separate und angepaßte Signalleitung für jede Schaltungskomponente verwendet; 1B a known clock distribution system which uses a separate and matched signal line for each circuit component;

1C ein anderes bekanntes System der Taktverteilung unter Verwendung einer ein verteiltes Netzwerk bildenden Treibermatrix, welche Rauschen erzeugt und Schaltungsplatz des Mikroprozessors benötigt; 1C another known clock distribution system using a distributed network driver matrix that generates noise and requires microprocessor circuitry;

2 eine Darstellung eines integrierten Schaltungsbauelements gemäß einem Ausführungsbeispiel der Erfindung unter Verwendung eines Taktverteilungsnetzwerkes aus zwei Reihen globaler Treiber und zugehöriger Zuführleitungen, die an entgegengesetzten Rändern des integrierten Schaltungsbauelements mit nach innen gerichteter Versorgung angeordnet sind; 2 an illustration of an integrated circuit device according to an embodiment of the invention using a clock distribution network of two rows of global drivers and associated feed lines, which are arranged on opposite edges of the integrated circuit device with an inward supply;

3 eine Darstellung des neuen Taktverteilungsnetzwerkes mit den den Zwischentreibern und den globalen Treibern zugeordneten angepaßten Stufen; 3 a representation of the new clock distribution network with the adapted stages assigned to the intermediate drivers and the global drivers;

4 das Taktverteilungsnetzwerk mit den Ausrichtleitungen, die zur Kopplung der globalen Treiber mit den integrierten Schaltungskomponenten dienen; 4 the clock distribution network with the alignment lines that serve to couple the global drivers with the integrated circuit components;

5 eine Darstellung eines globalen Treibers zur genaueren Beschreibung der Aktivierungs- und Entaktivierungsfunktionen des globalen Treibers; 5 a representation of a global driver for a more detailed description of the activation and deactivation functions of the global driver;

6A eine genauere Darstellung der Leistungsmanagementfunktionen bei dem beschriebenen Ausführungsbeispiel, einschließlich des mit den globalen Treibern gekoppelten Aktivierungsnetzwerkes; 6A a more detailed representation of the performance management functions in the described embodiment, including the activation network coupled to the global drivers;

6B eine Darstellung eines Mikroprozessors und eines vollständigen Taktverteilungsnetzwerkes gemäß dem Ausführungsbeispiel der Erfindung, einschließlich der 60 globalen Treiber und des Leistungsmanagementnetzwerkes und der Einheit; 6B an illustration of a microprocessor and a complete clock distribution network according to the embodiment of the invention, including the 60 global drivers and the power management network and the unit;

7 ein Ablaufdiagramm zur Veranschaulichung der Hauptverfahrensschritte, wie sie bei der vorliegenden Erfindung zur Ausführung der Leistungsmanagementfunktionen verwendet werden; 7 a flowchart illustrating the major process steps used in the present invention to perform the performance management functions;

8 ein universales Computersystem unter Verwendung der integrierten Schaltung nach der vorliegenden Erfindung; 8th a universal computer system using the integrated circuit according to the present invention;

9 die Stromversorgungsanschlüsse, wie sie bei dem beschriebenen Taktversorgungsnetzwerkes verwendet werden. 9 the power supply connections, as used in the clock supply network described.

Die Erfindung befaßt sich mit einer Einrichtung und einem Verfahren zum Verteilen eines Taktsignals durch die Komponenten eines Mikroprozessorsbauelements derart, daß die Signalverzerrung an jedem gegebenen Versorgungspunkt des Taktsignals vorhersehbar und minimal ist (kleiner als 100 Picosekunden). Unter Verwendung des erfindungsgemäßen Verteilungssystem erreicht das Taktsignal jede Komponente des Mikroprozessors in praktisch der gleichen Zeit, unabhängig von dem speziellen von der Komponente ausgewählten Anschluß-Abzweigpunkt. Entlang der Außenränder (Peripherie) der Mikroprozessortopologie sind die Takttreiber angeordnet, welche Taktsignale in Richtung des Zentrums oder der Innenzonen des Mikroprozessors liefern. Die Taktsignaltreiber sind in der Umgebung eines Versorgungsanschlusses des Mikroprozessors angeordnet. Diese umgebenden Räume des Versorgungsanschlusses sind bei typischer Ausbildung von Mikroprozessoren andernfalls unbenutzt, so daß die Signaltreiber bei der erfindungsgemäßen Ausbildung keinen für andere Zwecke nutzbaren Schaltungsraum belegen. Da die Signaltreiber am Rande des Mikroprozessorsbauelements in integrierter Schaltungstechnik angeordnet sind, liegen sie nahe den Stromversorgungspins. Daher ist der Widerstand innerhalb der die Takttreiber speisenden Leitungen wegen der Kürze der Versorgungsleitungen sehr niedrig.The invention is concerned with a device and a method for distributing a clock signal the components of a microprocessor device such that the signal distortion predictable at any given supply point of the clock signal and is minimal (less than 100 picoseconds). Under use of the distribution system according to the invention the clock signal reaches virtually every component of the microprocessor the same time, regardless from the particular port branch point selected by the component. Along the outer edges (periphery) The clock drivers are arranged in the microprocessor topology Clock signals towards the center or the inner zones of the microprocessor deliver. The clock signal drivers are in the vicinity of a supply connection of the microprocessor arranged. These surrounding spaces of the Supply connection are typical for the formation of microprocessors otherwise unused, so that the Signal drivers in the inventive training none for others Allocate usable circuit space. Since the signal drivers on Edge of the microprocessor component in integrated circuit technology are arranged, they are close to the power supply pins. thats why the resistance within the lines feeding the clock drivers because of the brevity of the supply lines very low.

Außerdem beinhaltet das beschriebene Ausführungsbeispiel der Erfindung für jeden Takttreiber eine Aktivierungsfunktion, damit jeder Takttreiber entaktiviert werden kann, um die Taktsignalzuführ zu verschiedenen Komponenten des Mikroprozessors zeitweilig zu unterbrechen. Dies kann durch selektives Abschal ten gewisser Komponenten des Mikroprozessors während der Leistungsmanagementfunktionen geschehen. Diese Funktion ist besonders nützlich in Mikroprozessoren für Laptop-Computersysteme oder batteriebetriebene Systeme.It also includes the described embodiment of the invention for Every clock driver has an activation function, so every clock driver can be deactivated to supply the clock signal to various components to temporarily interrupt the microprocessor. This can be done by selective shutdown of certain components of the microprocessor during the Performance management functions happen. This function is special useful in microprocessors for Laptop computer systems or battery powered systems.

In der folgenden Detailbeschreibung der Erfindung sind zahlreiche Einzelheiten angegeben, um das Verständis für die vorliegende Erfindung zu vertiefen. Es ist jedoch für den Fachmann klar, daß die Erfindung auch ohne diese speziellen Einzelheiten realisiert werden kann. In anderen Fällen sind bekannte Verfahren, Komponenten, Systeme und Elektronikanordnungen nicht im einzelnen beschriebenen, um die Erfindung nicht mit unnötigen Einzelheiten zu belasten. Es ist außerdem zu beachten, daß Komponenten der im folgenden beschriebenen Figuren der Erfindungen nicht notwendigerweise maßstabsgerecht und räumlich richtig dargestellt sind. Die erfindungswesentlichen Komponenten sind in diesen Figuren zum Zwecke der Darstellung und Klarheit, aber nicht nach ihrem Maßstab gezeigt.In the following detailed description Numerous details of the invention are provided to aid in understanding the present To deepen invention. However, it is clear to those skilled in the art that the invention also can be realized without these special details. In other make are known methods, components, systems and electronic arrangements not described in detail so as not to unnecessarily detail the invention to charge. It is also note that components the figures of the inventions described below are not necessarily to scale and spatially are shown correctly. The components essential to the invention are in these figures for purposes of illustration and clarity, but not by their scale shown.

Generell enthält das Computersystem 130 des bewußten Ausführungsbeispiels der Erfindung gemäß Blockdarstellung in 8 einen Bus 100 zur Informationsübertragung, einen mit dem Bus zur Informations- und Befehlverarbeitung gekoppelten zentralen Prozessor 101, einen mit dem Bus 100 zur Speicherung von Informationen und Befehlen für den zentralen Prozessor 101 gekoppelten Dirketzugriffsspeicher 102, einen mit dem Bus 100 gekoppelten, der Speicherung statischer Informationen und Befehle für den Prozessor 101 dienenden Nur-Lese-Speicher 103, einen Datenspeicher 104, z.B. eine magnetische oder optische Platte und ein mit dem Bus 100 gekoppeltes Plattenlaufwerk zur Speicherung von Informationen und Befehlen, ein mit dem Bus 100 gekoppeltes Display 105 zur Anzeige von Informationen für den Computerbenutzer, ein mit alphanumerischen Tasten und Funktionstasten versehenes alphanumeriiches Eingabegerät 106, das mit dem Bus 100 zum Austauschen von Informationen und Befehlsselektionen an den zentralen Prozessor 101, ein mit dem Bus gekoppeltes Cur sor-Steuergerät 107 zum Übertragen von Benutzer-Eingangsinformationen und Befehlsauswahlen an den zentralen Prozessor 101, ein mit dem Bus 100 gekoppeltes Signalerzeugungsgerät 108, zur Übertragung von Befehlsauswahlen an den Prozessor 101. Mit dem Mikroprozessor des beschriebenen Ausführungsbeispiels ist ein Quarzoszillator 110 gekoppelt, der zusammen mit anderen bekannten Takterzeugungsschaltungen verwendet wird, um einen den Mikroprozessor zugeführten Systemtakt zu erzeugen. Ein gemeinsamer Takttreiber 301 (in 8 nicht gezeigt) ist so angeordnet, daß er das von der Oszillatorschaltung 110 erzeugte Taktsignal aufnehmen und verstärken kann. Es ist klar, daß bei den Ausführungsbeispielen gemäß der Erfindung einen Phaseverriegelte Schleifenschaltungen (PLL) verwendet werden kann, welche zwischen der Schaltung 110 und dem Treiber 301 angeordnet wird; eine solche PLL wird für die Taktversorgungsfunktionen des beschriebenen Ausführungsbeispiels verwendet, um die tatsächliche Taktsignalverzögerung zu verringern.Generally, the computer system contains 130 of the conscious embodiment of the invention according to block diagram in 8th a bus 100 for information transmission, a central processor coupled to the bus for information and command processing 101 , one by bus 100 for storing information and commands for the central processor 101 coupled Dirk access memory 102 , one by bus 100 coupled, the storage of static information and instructions for the processor 101 serving read-only memory 103 , a data storage 104 , for example a magnetic or optical disk and one with the bus 100 coupled disk drive for storing information and commands, one with the bus 100 coupled display 105 to display information for the computer user, an alphanumeric input device provided with alphanumeric keys and function keys 106 that with the bus 100 for exchanging information and command selections to the central processor 101 , a Cur sor control unit coupled to the bus 107 for transmitting user input information and commands dial to the central processor 101 , one by bus 100 coupled signal generating device 108 , for transferring command selections to the processor 101 , With the microprocessor of the described embodiment is a crystal oscillator 110 coupled, which is used in conjunction with other known clock generation circuits to generate a system clock supplied to the microprocessor. A common clock driver 301 (in 8th not shown) is arranged so that it from the oscillator circuit 110 can record and amplify generated clock signal. It is clear that in the embodiments according to the invention a phase locked loop (PLL) circuit can be used which is between the circuit 110 and the driver 301 is ordered; such a PLL is used for the clock supply functions of the described embodiment in order to reduce the actual clock signal delay.

Das Anzeigegerät 105 der 8 kann bei Verwendung mit einem Computersystem gemäß der Erfindung ein Flüssigkristallgerät, eine Kathodenstrahlröhre oder ein anderes Anzeigegerät sein, das zum Erzeugen graphischer Bilder und alphanumerischer, für den Benutzer erkennbarer Zeichen geeignet ist. Das Cursor-Steuergerät 107 ermöglicht dem Computer-Benutzer, die zweidimensionale Bewegung eines sichtbaren Cursorsymbols (Zeiger) auf einem Bildschirm des Displays dynamisch zu signalisieren. Viele Ausführungsformen des Cursor-Steuergeräts sind im Stande der Technik bekannt, einschließlich eines Trackballs, einer Maus, eines Joysticks oder spezieller Tasten auf dem alphanumerischen Eingabegerät 105, mit deren Hilfe die Bewegung einer vorgegebenen Richtung oder die Art der Verschiebung signalisiert werden kann. Es ist klar, daß das Cursor-Steuergerät 107 auch durch Eingabe von der Tastatur aus unter Verwendung spezieller Tasten und spezieller Tastenfolgebefehlen gesteuert und/oder aktiviert werden kann.The display device 105 the 8th may, when used with a computer system according to the invention, be a liquid crystal device, a cathode ray tube or other display device suitable for generating graphic images and alphanumeric characters recognizable to the user. The cursor control unit 107 enables the computer user to dynamically signal the two-dimensional movement of a visible cursor symbol (pointer) on a screen of the display. Many embodiments of the cursor controller are known in the art, including a trackball, mouse, joystick, or special keys on the alphanumeric input device 105 , with the help of which the movement of a predetermined direction or the type of displacement can be signaled. It is clear that the cursor control device 107 can also be controlled and / or activated by input from the keyboard using special keys and special key sequence commands.

Die folgende Detailbeschreibung bezieht sich auf die Taktverteilung und das Interrupt-System innerhalb einer integrierten Mikroprozessorschaltung. Es ist jedoch klar, daß die Vorteile, Konstruktionen und Komponenten der Erfindung auch in anderen, Taktsignal verwendenden integrierten Schaltungen implementiert werden können, und daß der Mikroprozessor als Darstellung der Funktionalität der Erfindung beschrieben worden ist. Die Erfindung ist daher nicht beschränkt auf die Technologie und die Umgebung eines Mikroprozessorgeräts; sie gilt vielmehr für alle taktgetriebenen integrierten Schaltungen.The following detailed description relates on the clock distribution and the interrupt system within one integrated microprocessor circuit. However, it is clear that the advantages Constructions and components of the invention also in others, clock signal using integrated circuits, and that the Microprocessor described as representing the functionality of the invention has been. The invention is therefore not limited to technology and the environment of a microprocessor device; rather, it applies to all clock-driven vehicles integrated circuits.

Taktverteilunasnetzwerk des beschriebenen Ausführunasbeispiels:Taktverteilunasnetzwerk of the exemplary embodiment described:

Im folgenden wird auf 2 bezug genommen, welche das bevorzugte Ausführungsbeispiel der Erfindung darstellt. Die oberste Verarbeitungsschicht einer integrierten Mikroprozessorschaltung 200 ist gezeigt. Bei diesem beschriebenen Ausführungsbeispiel sind globale Takttreiber 30 entlang der linken und rechten Ränder des Mikroprozessor-Bauelements 200 angeordnet. Jeder der globalen Takttreiber 30 wird mit einem Taktsignal über angepaßte Eingangsleitungen (nicht gezeigt) versorgt. Jeder Takttreiber 30 liefert durch Zuführleitungen 31 ein Taktsignal in die Mittelabschnitte des Mikroprozessor-Bauelements 200. Die Zuführleitungen 31 sind jeweils mit einem separaten globalen Treiber 30 gekoppelt, der das Systemtaktsignal an die Zuführleitung 31 liefert. Durch Anordnung der globalen Treiber entlang der linken und rechten Peripherie des Mikroprozessors und die Anordnung von einwärts verlaufenden Zuführleitungen stellt die Erfindung den Systemtakt an vielen Stellen über die Topologie des Mikroprozessor-Bauelements 200 verteilt zur Verfügung. Das Taktsignal kann jeder Zuführleitung abgegriffen werden, um eine beliebige Komponente oder Teilschaltung des Mikroprozessor-Bauelements mit einem Taktsignal zu versorgen.The following is on 2 reference which represents the preferred embodiment of the invention. The top processing layer of an integrated microprocessor circuit 200 is shown. In this described embodiment, global clock drivers 30 along the left and right edges of the microprocessor device 200 arranged. Each of the global clock drivers 30 is supplied with a clock signal via matched input lines (not shown). Every clock driver 30 delivers through supply lines 31 a clock signal in the middle sections of the microprocessor component 200 , The feed lines 31 are each with a separate global driver 30 coupled to the system clock signal to the feed line 31 supplies. By arranging the global drivers along the left and right peripheries of the microprocessor and arranging inward feed lines, the invention places the system clock in many places over the topology of the microprocessor device 200 distributed available. The clock signal can be tapped from each feed line in order to supply any component or subcircuit of the microprocessor component with a clock signal.

Die Zuführleitungen 31 bestehen aus M4-Metall, das während eines typischen Halbleiter-Herstellungsverfahrens als Metallschicht in der vierten Ebene verwendet wird. Das Metall M4 (Metall der oberen Ebene) besteht hauptsächlich aus Aluminium (über 90%) und ist eines der bei der Halbleiterherstellung verwendeten Metalle mit dem niedrigsten Widerstand: Die Erfindung benutzt das M4-Metall, da sein Herstellungsniveau eine sehr dicke Ausbildung der Zuführleitungen und damit die Verringerung des Widerstands der Zuführleitungen ermöglicht. Das M4-Niveau wurde außerdem gewählt, da dieses Niveau der Erstellung der Versorgungsleitungen zugeordnet ist, die räumlich relativ entfernt von dem Rest der Schaltungsstopologie des Mikroprozes- sors angeordnet sind. Da diese Leitungen getrennt von der Schaltungstopologie sind, stören sie diese Topologie nicht und nehmen keinen für andere Zwecke nutzbaren Raum der Schaltungstopologie in Anspruch. Durch Anordnung der Haupttaktzuführleitungen (d.h. 340, 341, 342 usw.) und anderer Taktsignalleitungen in dem M4-Niveau ist die Erfindung in der Lage, diese Leitungen leichter RC-anzupassen, da das Versorgungsleitungniveau eine gut vorhersehbare Topologie eines niedrigeren Niveaus sieht, der ein relativ konstantes Dielektrikum zugeordnet ist, während der Rest der Mikroprozessortopologie veränderlich und hinsichtlich der RC-Anpassung unvorhersehbar ist.The feed lines 31 consist of M 4 metal, which is used as a metal layer in the fourth level during a typical semiconductor manufacturing process. The metal M 4 (upper level metal) consists mainly of aluminum (over 90%) and is one of the metals with the lowest resistance used in semiconductor production: the invention uses the M 4 metal because its production level is very thick Feed lines and thus reducing the resistance of the feed lines. The M 4 level was also chosen because this level is associated with the creation of the supply lines, which are spatially relatively distant from the rest of the circuit topology of the microprocessor. Since these lines are separate from the circuit topology, they do not interfere with this topology and do not take up any space in the circuit topology that can be used for other purposes. By arranging the main clock feed lines (ie 340, 341, 342 etc.) and other clock signal lines in the M 4 level, the invention is able to RC-adapt these lines more easily since the supply line level sees a well predictable topology of a lower level associated with a relatively constant dielectric, while the rest of the Microprocessor topology is changeable and unpredictable with regard to RC adaptation.

Die von dem beschriebenen Ausführungsbeispiel der Erfindung für die Takttreiber benutzten Transistoren gehören der CMOS-Technologie an. Dies liegt daran, daß CMOS-Treiber im Vergleich zu den BiCMOS- und NMOS-Technologien unempfindlicher gegen Stromversorgungsschwankungen sind und daher gleichmäßigere Tastverhältnisse in den resultierenden Taktsignalen erzeugen. Die Erfindung benutzt ein Taktsignal mit einem 50%igen Tastverhältnis. Die CMOS-Treiber haben äquivalentere Signalanstiegs- und -abfallzeiten und erzeugen ein gleichmäßigeres und abgeglicheneres Taktsignal.The transistors used by the described embodiment of the invention for the clock drivers belong to CMOS technology. This is because CMOS drivers are less sensitive to power supply fluctuations compared to BiCMOS and NMOS technologies and therefore produce more even duty cycles in the resulting clock signals. The invention uses a clock signal with a 50% duty cycle. The CMOS drivers have more equivalent signal rise and fall times and generate a more even and balanced clock signal.

Im folgenden wird die Betriebstheorie der Erfindung beschrieben. Angepaßte Signalleitungen, d.h. Signalleitungen mit angepaßten Widerständen und Kapazitäten für jede Leitung, tragen zur Verringerung der Signalverzögerungsschwankungen über die Komponenten des Mikroprozessor-Bauelements bei. Eine Signalverzerrung als Folge einer Fehlanpassung oder eine RC-Verzerrung (Änderungen der Taktverzögerungen) kann (innerhalb der Zuführleitungen) durch Verringerung des effektiven Widerstandes und der effektiven Kapazität innerhalb einer Taktzuführleitung reduziert werden. Im Hinblick auf die Herstellungsschwankungen bei integrierten Schaltungen gelingt ist es aber nicht, vollständig abgeglichene Signalleitungen über das gesamte Mikroprozessor-Bauelement herzustellen. Weitere Einflüsse resultieren aus Änderungen innerhalb der Topologie des Mikroprozessors, die die Signalleitung umgibt, und aus anderen vom Schaltungskonstrukteur nicht kontrollierbare Variablen. Statt die Signalleitungen an allen Stellen oder Abgriffen innerhalb der Taktsignal-Versorgungsleitungen abzugleichen, versucht die Erfindung, viele globale Takttreiber zur Verfügung zu stellen, welche viele Zuführleitungen innerhalb des Mikroprozessor-Bauelements 200 versorgen. Auf diese Weise stellt die Erfindung zahlreiche Stellen zur Verfügung, an denen ein Taktsignal erreicht oder "abgegriffen" werden kann. Daher ist zwischen jedem beliebigen Punkt innerhalb des Mikroprozessor-Bauelements und der nächsten Taktzuführleitung eine relativ kurze Distanz. Durch Verringerung der Distanz zwischen Schaltungsblöcken und den Taktversorgungsleitungen 31 reduziert die Erfindung die mit dem Taktsignal-Versorgungsnetzwerk verbundenen Fehler und Verzerrungen. Bei der Erfindung sollte dem Taktsignal an einem beliebigen Punkt entlang einer Zuführleitung nur eine maximale Verzerrung von 100 Picosekunden zugeordnet sein.The operating theory of the invention will now be described. Matched signal lines, that is, signal lines with matched resistances and capacitances for each line, help reduce signal delay fluctuations across the components of the microprocessor device. Signal distortion due to mismatch or RC distortion (changes in clock delays) can be reduced (within the feeder lines) by reducing the effective resistance and capacitance within a clock feeder line. In view of the manufacturing fluctuations in integrated circuits, however, it is not possible to produce completely balanced signal lines over the entire microprocessor component. Other influences result from changes within the topology of the microprocessor that surrounds the signal line and from other variables that cannot be controlled by the circuit designer. Instead of matching the signal lines at all locations or taps within the clock signal supply lines, the invention attempts to provide many global clock drivers which have many supply lines within the microprocessor device 200 supply. In this way, the invention provides numerous places where a clock signal can be reached or "tapped". Therefore, there is a relatively short distance between any point within the microprocessor device and the next clock feed line. By reducing the distance between circuit blocks and the clock supply lines 31 the invention reduces the errors and distortions associated with the clock signal supply network. In the invention, the clock signal should be assigned only a maximum distortion of 100 picoseconds at any point along a feed line.

Eine von der Erfindung gelöste Hauptaufgabe besteht darin, jeder Zuführleitung ein synchrones Taktsignal, und zwar in Sychronisation mit jeder anderen Zuführleitung, zur Verfügung zu stellen. Dies geschieht unter Verwendung verschiedener Stufen von angepaßten Taktnetzwerken, welche dazwischenliegende Takttreiber in einer baumartigen Anordnung zur Versorgung jeder Zuführleitung mit einem Taktsignal in Synchronisation mit den anderen Zuführleitungen versorgen. Daher gibt es an jeder Abgriffstelle entlang einer Zuführleitung eine diesem Ort zugeordnete gewisse Taktsignalverzerrung. Die Erfindung sucht diese Größe dadurch zu reduzieren, daß zahlreiche globale Treiber im gleichmäßigem gegenseitigen Abstand über das Mikroprozessor-Bauelement in der in 2 dargestellten Weise angeordnet sind, um den Abstand zwischen einem Takttreiber und der Abgriffstelle zu reduzieren. Erfindungsgemäß kann eine Komponente innerhalb des Mikroprozessors auf einen Ort innerhalb einer beliebigen Zuführleitung 31 zugreifen und ein Taktsignal aufnehmen, welches nur 100 Picosekunden (0,1 Nanosekunden) Verzerrungen (in Zuordnung zu der letzten M4-Schicht) gegenüber dem von der Schaltung 110 erzeugten Hauptsysteme Taktsignal aufweist. Es gibt zusätzlich ein den fehlangepaßten Komponenten der angepaßten Eingangsstufen in der Gesamtanalyse zugeordnete Verzerrung von etwa 200 Picosekunden oder weniger.A main task solved by the invention is to provide each feed line with a synchronous clock signal, in synchronization with every other feed line. This is done using different stages of adapted clock networks, which supply intermediate clock drivers in a tree-like arrangement for supplying each feed line with a clock signal in synchronization with the other feed lines. There is therefore a certain clock signal distortion associated with this location at each tap along a supply line. The invention seeks to reduce this size by having numerous global drivers evenly spaced apart via the microprocessor component in the in 2 are shown arranged to reduce the distance between a clock driver and the tap. According to the invention, a component within the microprocessor can be located within any supply line 31 access and record a clock signal that only 100 picoseconds (0.1 nanoseconds) distortion (in association with the last M 4 layer) compared to that of the circuit 110 generated main systems clock signal. There is also a distortion of about 200 picoseconds or less associated with the mismatched components of the matched input stages in the overall analysis.

Die Erfindung erreicht eine maximale Signalverzerrung von 100 Picosekunden dadurch, daß eine Anzahl von globaler Treiber (angenährt 30) entlang der rechten und linken Ränder des Mikroprozessors 200 vorgesehen sind. Von jedem dieser globalen Treiber aus verläuft eine Zuführleitung in die zentralen Zonen des Mikroprozessor-Bauelements. Insgesamt enthält die Taktverteilungsschaltung des beschriebenen Ausführungsbeispiels etwa 60 globale Treiber (30 auf jeder Seite) und 60 Zuführleitungen zur Lieferung der Taktsignale an die Komponenten des Mikroprozessors. Die größte Länge einer der Zuführleitungen 31 ist etwa die Hälfte der Längenerstreckung des Mikroprozessors in integrierter Schaltungstechnik. Obwohl 30 globale Treiber auf jeder Seite des Mikroprozessors 200 bei dem Ausführungsbeispiel gemäß 2 implementiert sind, ist es einzusehen, daß eine belie bige Anzahl globaler Treiber im Rahmen des Erfindungsgedankens vorgesehen werden kann. Für die Erfindung wesentlich ist eine einheitliche Verteilung der Treiber zur Versorgung der inneren Schaltungsabschnitte des Mikroprozessors. Diese Verteilung kann unter Verwendung mehr oder weniger globaler Treiber erreicht werden.The invention achieves a maximum signal distortion of 100 picoseconds by having a number of global drivers (approximately 30) along the right and left edges of the microprocessor 200 are provided. A feed line runs from each of these global drivers into the central zones of the microprocessor component. In total, the clock distribution circuit of the described embodiment contains about 60 global drivers (30 on each side) and 60 feed lines for supplying the clock signals to the components of the microprocessor. The greatest length of one of the supply lines 31 is about half the length of the microprocessor in integrated circuit technology. Though 30 global drivers on each side of the microprocessor 200 in the embodiment according to 2 implemented, it can be seen that any number of global drivers can be provided within the scope of the inventive concept. What is essential for the invention is a uniform distribution of the drivers for supplying the internal circuit sections of the microprocessor. This distribution can be achieved using more or less global drivers.

Bei dem bevorzugten Ausführungsbeispiel der Erfindung sind die globalen Treiber 30 vorteilhafterweise an der Peripherie des Mikroprozessor-Bauelements mit den Versorgungsanschlußzonen des integrierten Bauelements angeordnet. Strom wird dem Mikroprozessor 200 über verschiedene Stromanschlußzellen zugeführt, welche entlang der Peripherie des Mikroprozessor-Bauelements angeordnet sind. Jede Stromanschlußzelle umgibt eine Zone des integrierten Bauelements, die typischerweise von sonstigen Schaltungskomponenten frei ist. Dies liegt daran, daß anders als bei einer Nachrichten-Anschlußfahne eine Stromversorgungszelle keine zugehörige und komplexe Eingabe- und Ausgabeschaltung und auch keine ESD-Schutzschaltung (zur elektrostatischen Entladung) zur Verknüpfung des Mikroprozessors mit dem äußeren System hat. Daher ist die einen Stromanschluß umgebende Zone (Zelle) generell frei von Schaltungskomponenten und kann vorteilhaft durch die Erfindung zur Anordnung der globalen Treiber genutzt werden. Durch Anordnung der Takttreiber innerhalb der Stromversorgungszelle erhalten sie außerdem eine starke Stromversorgung, um kapazitive Ladung der Taktleitungen auf Grund ihrer relativ großen Breite bzw. Dicke zu kompensieren. Durch Anordnung der globalen Treiber in der Nähe der Stromversorgung sind auch die Stromversorgungsleitungen kürzer (und haben niedrigeren Widerstand) und erzeugen dementsprechend weniger Schaltungsrauschen.In the preferred embodiment of the invention, the global drivers are 30 advantageously arranged on the periphery of the microprocessor component with the supply connection zones of the integrated component. Electricity goes to the microprocessor 200 supplied via various power connection cells which are arranged along the periphery of the microprocessor component. Each power connection cell surrounds a zone of the integrated component that is typically free of other circuit components. This is because, unlike a message flag, a power supply cell has no associated and complex input and output circuitry and also no ESD protection circuit (for electrostatic discharge) to link the microprocessor to the external system. The zone (cell) surrounding a power connection is therefore generally free of circuit components and can advantageously be used by the invention to arrange the global drivers. By arranging the clock drivers within the power supply cell, they also receive a strong power supply in order to compensate for capacitive charging of the clock lines due to their relatively large width or thickness. By locating the global drivers near the power supply, the power supply lines are also shorter (and have lower resistance) and accordingly generate less circuit noise.

Im folgenden wird auf 3 bezug genommen, welche die Komponenten des beschriebenen Ausführungsbeispiels der Erfindung genauer darstellt. Es ist klar, daß 3 die Schaltung des beschriebenen Ausführungsbeispiels auf einer (der linken Seite) des Mikroprozessorchips zeigt, und daß sich diese Schaltung auf der entgegengesetzten (rechten) Seite wiederholt. Es ist dementsprechend klar, daß Erläuterungen bzgl. der linken Seite des Chips analog und in gleicher Weise für die andere Seite des Mikroprozessors 200 gelten. Die globalen Treiber 30 und zugehörige Versorgungsschaltung (d.h., Treiber 314) sind in einer Zone des umrandeten Blocks 350 der Stromversorgungszelle angeordnet, die vom aktuellen Stromanschluß selbst nicht belegt ist. Wie oben gesagt, wird diese Zone typischerweise von der Mikorprozessortopologie nicht benutzt. Es ist einzusehen, daß jeder der globalen Treiber und die zugehörige Versorgungsschaltung innerhalb von Stromversorgungszellen angeordnet sind und daß die dargestellte Zelle 350 eine von vielen Zellen des Mikroprozessors ist.The following is on 3 with reference to the components of the described embodiment approximately example of the invention. It is clear that 3 shows the circuit of the described embodiment on one (the left side) of the microprocessor chip, and that this circuit is repeated on the opposite (right) side. It is accordingly clear that explanations regarding the left side of the chip are analog and in the same way for the other side of the microprocessor 200 be valid. The global drivers 30 and associated supply circuit (ie, driver 314 ) are in a zone of the bordered block 350 arranged the power supply cell, which is not occupied by the current power supply itself. As stated above, this zone is typically not used by the microprocessor topology. It can be seen that each of the global drivers and associated supply circuitry are located within power supply cells and that the cell shown 350 is one of many cells in the microprocessor.

Um sicherzustellen, daß jeder der globalen Treiber 30 ein synchrones Taktsignal erzeugt, werden bei dem beschriebenen Ausführungsbeispiel zwei Versorgungsstufen mit speziell angepaßten Netzwerken vorgesehen, jeweils bestehend aus einem gemeinsamen Treiber und einigen Zwischentreibern. Der gemeinsame Treiber versorgt die Zwischentreiber, die jeweils eine Gruppe von globalen Treibern versorgen. Die Zwischentreiber sind mit den globalen Treibern über Zwischennetzwerke 361365 gekoppelt. Wie in 3 gezeigt ist, gibt es einen gemeinsamen Signaltreiber 301, der im oberen Zentralbereich des Mikroprozessors 200 angeordnet ist. Dieser zentrale Treiber kann an irgendeiner Stelle innerhalb des Mikroprozessors oder an der Mikroprozessorperipherie angeordnet sein. Bei dem beschriebenen Ausführungsbeispiel der Erfindung ist dieser Treiber an der oberen zentralen Stelle im gleichen Abstand von den beiden einander gegenüberliegenden Chipkanten gelegen, damit er beide Seiten des Mikroprozessors 200 mit einem Taktsignal versorgen kann. Der gemeinsame Signaltreiber 301 liefert ein Taktsignal an eine Anzahl von Zwischentakttreibern 310, 311, 312, 313 und 314. Fünf derartige Zwischentakttreiber sind bei dem dargestellten Ausführungsbeispiel gezeigt; die tatsächliche Anzahl von Zwischentreibern ist jedoch bei den beschriebenen Ausführungsbeispiel beliebig. Es gibt fünf Signalleitungen 340344, welche das Taktsignal vom gemeinsamen Treiber 301 zu jedem der Zwischentreiber 310314 übertragen.To ensure that each of the global drivers 30 generates a synchronous clock signal, two supply stages with specially adapted networks are provided in the exemplary embodiment described, each consisting of a common driver and some intermediate drivers. The common driver supplies the intermediate drivers, each of which supplies a group of global drivers. The intermediate drivers are with the global drivers via intermediate networks 361 - 365 coupled. As in 3 there is a common signal driver 301 , which is in the upper central area of the microprocessor 200 is arranged. This central driver can be located anywhere within the microprocessor or on the microprocessor periphery. In the described exemplary embodiment of the invention, this driver is located at the upper central point at the same distance from the two chip edges lying opposite one another, so that it is on both sides of the microprocessor 200 can supply with a clock signal. The common signal driver 301 provides a clock signal to a number of intermediate clock drivers 310 . 311 . 312 . 313 and 314 , Five such intermediate clock drivers are shown in the illustrated embodiment; however, the actual number of intermediate drivers is arbitrary in the exemplary embodiment described. There are five signal lines 340 - 344 which the clock signal from the common driver 301 to each of the intermediate drivers 310 - 314 transfer.

Alle diese fünf Leitungen 340344 des gemeinsamen Netzwerkes sind bzgl. ihrer Widerstands- und Kapazitätswerte derart angepaßt, daß jede Leitung ähnliche RC-Werte und daher ähnliche Verzerrungen hat. Die Widerstandswerte dieser fünf Leitungen sind bei dem beschriebenen Ausführungsbeispiel dadurch angepaßt, daß jeweils die gleiche Länge der Signalleitung vorgesehen ist. Dies gelingt durch doppelte Rückfalttechnik, wobei kurze Leitungen mehrfach hin und her geleitet sind, bis sie gleich den längeren Versorgungsleitungen sind. So ist der Zwischentreiber 314 am weitesten entfernt vom gemeinsamen Treiber 301, so daß die Verbindungsleitung 344 keine Rückfaltung hat. Treiber 310 ist dagegen der dem gemeinsamen Treiber 301 nächstgelegene, und daher hat dessen Leitung 340 wenigstens drei Mäanderfaltungen. In ähnlicher Weise haben die Leitungen 341, 342 und 343 des gemeinsamen Netzwerkes unterschiedliche Rückfaltungen bzw. Schleifen, bezogen auf den Abstand zwischen dem. gemeinsamen Treiber 301 und dem den Leitungen zugeordneten Treiber 311, 312 bzw. 313. Alle fünf Leitungen haben die gleiche Breite entlang der obersten Herstellungsschicht des Mikroprozessors. Daher haben alle Leitungen 340344 des gemeinsamen Netzwerkes gleiche Längen und Breiten, um ihren effektiven Widerstand in Übereinstimmung zu bringen. Es ist klar, daß jede der Leitungen 340344 und die gemeinsame Versorgungsleitung 303 aus den obengenannten Gründen aus einer M4-Metallschicht besteht.All of these five lines 340 - 344 of the common network are adjusted in terms of their resistance and capacitance values such that each line has similar RC values and therefore similar distortions. The resistance values of these five lines are adapted in the exemplary embodiment described in that the same length of the signal line is provided in each case. This is achieved through double folding technology, with short lines being routed back and forth several times until they are the same as the longer supply lines. So is the intermediate driver 314 farthest from the common driver 301 so that the connecting line 344 has no refolding. driver 310 is the common driver 301 closest, and therefore has its management 340 at least three meandering folds. Similarly, the lines 341 . 342 and 343 of the common network different refolding or loops, based on the distance between the. common driver 301 and the driver assigned to the lines 311 . 312 respectively. 313 , All five lines have the same width along the top manufacturing layer of the microprocessor. Therefore all lines have 340 - 344 of the common network of equal lengths and widths to bring their effective resistance in line. It is clear that each of the lines 340 - 344 and the common supply line 303 consists of an M 4 metal layer for the reasons mentioned above.

Die gemeinsame Versorgungsleitung 303 und jede der Leitungen 340344 des gemeinsamen Netzwerkes sind entlang der Peripherie des Mikroprozessors in einem als Stromversorgungsring oder Ausgleichsring bezeichneten Bereich geführt. Dies ge schieht, da die Topologie des Mikroprozessors in diesem Ring nahezu konstant ist, so daß die Elektrizitätskonstanten in Zuordnung zu den fünf Leitungen auch konstant werden. Daher solite die Kapazität jeder Leitung nahezu konstant und vorhersehbar sein. Außerdem können die Leitungswege und Rückfaltungslängen dadurch besser kontrolliert werden, daß diese Signalleitungen innerhalb des Stromversorgungsrings des Mikroprozessors angeordnet sind. Außerdem ist jede der fünf Leitungen mit der gleichen Last abgeschlossen. All dies geschieht in dem Bemühen, die Widerstands-Kapazitäta (RC)-Charakteristiken der Versorgungsleitungen 340344 anzupassen. Wenn dies geschieht, sind die Versorgungsleitungen in die Zwischentakttreiber 310314 "angepaßt", und ein angepaßtes Netzwerk ist realisiert.The common supply line 303 and each of the lines 340 - 344 of the common network are routed along the periphery of the microprocessor in an area referred to as a power supply ring or compensation ring. This happens because the topology of the microprocessor in this ring is almost constant, so that the electricity constants in association with the five lines also become constant. Therefore, the capacity of each line should be almost constant and predictable. In addition, the line paths and refolding lengths can be better controlled by arranging these signal lines within the power supply ring of the microprocessor. In addition, each of the five lines is terminated with the same load. All of this is done in an effort to improve the resistance-capacitance (RC) characteristics of the supply lines 340 - 344 adapt. When this happens, the supply lines are in the intermediate clock drivers 310 - 314 "adapted" and an adapted network is realized.

Jeder Zwischentreiber der 3 versorgt eine separate Gruppe von sechs globalen Treibern. Der Zwischentreiber 310 versorgt daher die Gruppe 320 über das Zwischennetzwerk 361. Die Gruppe 320 besteht aus sechs globalen Treibern, die mit 30a30f bezeichnet sind. In ähnlicher Weise versorgt der Zwischentreiber 311 die sechs globalen Treiber der Gruppe 321 über das Zwischennetzwerk 362. Auch der Treiber 314 versorgt eine Gruppe 324 über ein Zwischennetzwerk 365. In ähnlicher Weise versorgen Treiber 312 und 313 jeweils eine separate Gruppe von sechs globalen Treiber, die aus Gründen der Übersichtlichkeit nicht dargestellt sind. Es ist verständlich, daß jede Gruppe der von den Treibern 312 und 313 versorgten globalen Treiber den hier beschriebenen und dargestellten Treibergruppen analog ist. Das Versorgungsnetzwerk 361 ist derart RC-angepaßt, daß jede der Versorgungsleitungen zwischen dem Zwischentreiber 310 und jedem globalen 30a30f die gleiche Länge und Breite haben. Da das Netzwerk 361 außerdem im Stromzuführring ausgebildet ist, enthält jede Leitung angenäherte konstante kapazitive Belastung, so daß das gesamte Netzwerk 361 RC-abgeglichen ist. Das gleiche gilt für alle Netzwerke 362, 365 und die nicht dargestellten Netzwerke in Zuordnung zu den Treibern 312 und 313.Every intermediate driver of the 3 powers a separate set of six global drivers. The intermediate driver 310 therefore supplies the group 320 over the intermediate network 361 , The group 320 consists of six global drivers using 30a - 30f are designated. The intermediate driver supplies in a similar manner 311 the group's six global drivers 321 over the intermediate network 362 , The driver too 314 supplies a group 324 via an intermediate network 365 , Drivers supply in a similar manner 312 and 313 each a separate group of six global drivers, which are not shown for reasons of clarity. It is understandable that each group is driven by the drivers 312 and 313 supplied global driver is analog to the driver groups described and shown here. The supply network 361 is RC-matched so that each of the supply lines between the intermediate driver 310 and every global 30a - 30f have the same length and width. Because the network 361 is also formed in the power supply ring, ent each line holds approximately constant capacitive load, so the entire network 361 RC matched. The same applies to all networks 362 . 365 and the networks, not shown, in association with the drivers 312 and 313 ,

Sie sind alle RC-abgeglichen. Bei der erfindungsgemäßen Ausbildung sind daher für die Versorgung der globalen Treiber zwei Stufen vorgesehen. Die erste Stufe besteht aus einem einzelnen gemeinsamen Treiber 310, der ein Taktsignal über ein abgeglichenes Netzwerk (Leitungen 340344) an eine Gruppe von Zwischentreibern 310314 liefert. Die zweite Stufe besteht aus den Zwischentreibern, von denen jeder ein Taktsignal über ein abgeglichenes Netzwerk (361, 362, 365 usw.) an eine separate Gruppe von sechs globalen Takttreibern liefert. Durch Ausbildung des Versorgungsschemas auf diese Weise ermöglicht die Erfindung, daß jeder der globalen Takttreiber ein synchronisiertes Taktsignal erhält und erzeugt, welches weniger als 100 Picosekunden Verzerrung (skew) (in Zuordnung zu den Zuführleitungen, da die abgeglichenen Stufen zusätzliche Verzerrung erzeugen können) in bezug auf das am gemeinsamen Treiber 301 erzeugte Signal enthält.They are all RC matched. In the configuration according to the invention, two stages are therefore provided for supplying the global drivers. The first stage consists of a single common driver 310 which sends a clock signal over a balanced network (lines 340 - 344 ) to a group of intermediate drivers 310 - 314 supplies. The second stage consists of the intermediate drivers, each of which sends a clock signal over a balanced network ( 361 . 362 . 365 etc.) to a separate group of six global clock drivers. By designing the supply scheme in this manner, the invention enables each of the global clock drivers to receive and generate a synchronized clock signal that has less than 100 picoseconds of skew (associated with the feed lines because the trimmed stages can produce additional distortion) on the common driver 301 generated signal contains.

Außerdem sind die Zwischen- und Global-Treiber in vorteilhafter Weise nahe den Energieanschlüssen des Mikroprozessors 200 vorgesehen, die entlang der Peripherie des Mikroprozessors angeordnet sind. Dies geschieht, da die Takttreiber zum Treiben der Taktsignale an alle getakteten Komponenten der Mikroprozessortopologie eine hohe Leistung erforderlich machen. Es ist außerdem erwüscht, die Takttreiber (sowohl die intermediären als auch die globalen Treiber) nahe den Energieanschlüssen vorzusehen, damit die Energieleitungen, welche die Treiber versorgen, relativ kurz gemacht werden können. Kürzere Leitungen haben niedrigere Widerstände. Die kürzeren widerstandsbehafteten Energieleitungen erzeugen auch geringere Rauschpegel. Durch Verringern des Widerstands und des zugehörigen Rauschens innerhalb der Energieleitungen erhalten die Takttreiber kurze Anstiegs- und Abfallzeiten und erzeugen geringere Rauschpegel in Zuordnung zu den Taktsignalen. Es ist einzusehen, daß der gemeinsame Treiber 301 auch die rechten globalen Treiber (nicht gezeigt) versorgt. Die rechte Seite des Mikroprozessors enthält die gleichen beiden abgeglichenen Stufen, wie sie zuvor unter Bezugnahme auf die linken Treiber beschrieben worden sind. Der gemeinsame Treiber 301 kann von einem externen Pin oder einem Taktgenerator oder einer Oszuillatorschaltung versorgt werden, wie sie in der integrierten Schaltungstechnik bekannt sind.In addition, the intermediate and global drivers are advantageously close to the power connections of the microprocessor 200 provided, which are arranged along the periphery of the microprocessor. This happens because the clock drivers for driving the clock signals to all clocked components of the microprocessor topology require high performance. It is also desirable to provide the clock drivers (both the intermediate and global drivers) near the power connections so that the power lines that supply the drivers can be made relatively short. Shorter lines have lower resistances. The shorter resistive power lines also produce lower noise levels. By reducing the resistance and associated noise within the power lines, the clock drivers get short rise and fall times and generate lower noise levels associated with the clock signals. It can be seen that the common driver 301 also supplies the right global drivers (not shown). The right side of the microprocessor contains the same two balanced stages as previously described with reference to the left drivers. The common driver 301 can be supplied by an external pin or a clock generator or an oscillator circuit, as are known in integrated circuit technology.

Wie oben gesagt, kann eine phasenverriegelte Schleifenschaltung (PLL) verwendet werden, welche zwischen der Taktschaltung 110 (8) und dem Treiber 301 angeordnet ist. Die PLL-Schaltung nimmt das Signal der Taktschaltung 110 als ein Eingangssignal sowie ein Rückkopplungssignal als zweites Eingangssignal auf, welches aus irgendeiner Zuführleitung (d.h., Leitung 31b) ausgewählt sein kann. Das Ausgangssignal der PLL-Schaltung (nicht gezeigt) wird dann zum Eingang des Treibers 301 gekoppelt. Es ist klar, daß die Details einer derartigen PLL-Tatkversorgungsschaltung nicht wesentlich für das Verständnis der vorliegenden Erfindung sind.As stated above, a phase locked loop (PLL) circuit can be used, which is between the clock circuit 110 ( 8th ) and the driver 301 is arranged. The PLL circuit takes the signal from the clock circuit 110 as an input signal and a feedback signal as a second input signal, which originates from any supply line (ie line 31b ) can be selected. The output signal of the PLL circuit (not shown) then becomes the input of the driver 301 coupled. It is clear that the details of such a PLL power supply circuit are not essential for an understanding of the present invention.

Jede der den globalen Treiberschaltungen zugeordneten Zuführleitungen ist relativ breit und hat daher eine hohe Kapazität. Da sie jedoch aus M4-Metall hergestellt sind, haben sie einen niedrigen spezifischen Widerstand und damit eine niedrige RC-Verzerrung entlang ihrer Leitungslängen. Die Zuführleitungen 31a31l sind den globalen Treibern der Gruppe 320 und der Gruppe 321 zugeordnet. Da es 30 globale Treiber entlang des Randes des Mikroprozessors gibt, sind 30 Zuführleitungen 31 dem dargestellten Rand des Mikroprozessors 200 gemäß 3 zugeordnet. Bei dem beschriebenen Ausführungsbeispiel muß die gesamte Breite (Höhe) aller Zuführleitungen jeder der fünf Gruppen 320324 für beide Seiten (d.h., 60 Zuführleitungen insgesamt) gleich oder kleiner als 5% der gesamten Höhenabmessung des Mikroprozessors sein. Da die Abmessung 335 des Mikroprozessors 200 etwa 12000 μ m beträgt, sind 5% dieser Abmessung etwa 600 μ m. Jede der Zuführleitungen ist daher bei diesem Beispiel etwa 600/60 oder 10 μ m breit bzw. hoch. Als generelle Regel können die Zuführleitungen eine maximale Breite von 10 bis 20 μ m haben. Da das Mikroprozessor-Bauelement eine Abmessung von etwa 12000 μ m × 12000 μ m hat, ist die Hälfte dieser Länge 6000 μ m gleich der Länge jeder Zuführleitung. Die Zuführleitungsdimensionen sind daher bei dem beschriebenen Ausführungsbeispiel 6000 μ m × 10 μ m. Da es 60 Zuführleitungen gibt, ist die gesamte Fläche an Zuführleitungen 600 μ m × 6000 μ m, was 10 Quadrate an Widerstand in Zuordnung zu den Taktversorgungs-, leitungen der globalen Treiber auf beiden Vorsorgungsseiten des Mikroprozessors 200 hervorruft. Es ist einzusehen, daß bei dieser Breite eine Zuführleitung eine relativ größere Kapazität als dünnere Taktleitungen hat. Da jede Zuführleitung jedoch aus M4-Metall besteht, hat die Zuführleitung einen extremen niedrigen Widerstand, so daß die RC-Verzerrung (RC-skew) in Zuordnung zu der Zuführleitung gering ist.Each of the feed lines associated with the global driver circuits is relatively wide and therefore has a high capacity. However, since they are made of M 4 metal, they have a low resistivity and thus a low RC distortion along their line lengths. The feed lines 31a - 31l are the global drivers of the group 320 and the group 321 assigned. Since there are 30 global drivers along the edge of the microprocessor, there are 30 feed lines 31 the edge of the microprocessor shown 200 according to 3 assigned. In the described embodiment, the total width (height) of all feed lines of each of the five groups 320 - 324 for both sides (ie, 60 feed lines in total) be equal to or less than 5% of the total height dimension of the microprocessor. Because the dimension 335 of the microprocessor 200 is approximately 12000 μm, 5% of this dimension is approximately 600 μm. Each of the feed lines is therefore approximately 600/60 or 10 μm wide or high in this example. As a general rule, the feed lines can have a maximum width of 10 to 20 μm. Since the microprocessor component has a size of approximately 12000 μm × 12000 μm, half of this length is 6000 μm equal to the length of each feed line. The feed line dimensions are therefore 6000 μm × 10 μm in the exemplary embodiment described. Since there are 60 supply lines, the total area of supply lines is 600 μm × 6000 μm, which is 10 squares of resistance in association with the clock supply lines of the global drivers on both supply sides of the microprocessor 200 causes. It can be seen that with this width a feed line has a relatively larger capacity than thinner clock lines. However, since each feed line is made of M 4 metal, the feed line has an extremely low resistance, so that the RC distortion (RC skew) associated with the feed line is low.

Im folgenden wird auf 4 bezug genommen. Bei dem beschriebenen Ausführungsbeispiel gibt es 60 Zuführleitungen 31, welche Taktsignale über die obere Prozessorschicht des Mikroprozessors leiten. Diese Zuführleitungen müssen mit Eingangstaktleitungen der verschiedenen Schaltungskomponenten des Mikroprozessors gekoppelt sein. Es ist jedoch schwierig, die Eingangstaktsignalleitungen der Komponentenblöcke mit den Zuführleitungsverläufen auszurichten. Daher sind bei dem beschriebenen Ausführungsbeispiel vertikale Ausrichtleitungen den Zuführleitungen zugeordnet, um eine Verbindung zwischen einer benachbarten Zuführleitung und einem eine Taktverbindung benötigenden Mikroprozessor-Schaltungsblock zu bilden. 4 zeigt diese vertikalen Ausrichtleitungen, die jeweils eine Breite bzw. Dicke von 10–15 μ m haben. Es gibt vier Mikroprozessor-Blöcke 420, 425, 430 und 440. Diese Blöcke benötigen Takteingangssignale; die Takteingangsleitungen jedes Blockes können, aber müssen nicht, vertikal mit der nächsten Zuführleitung ausgerichtet sein. Die vertikale Ausrichtleitung 450 schließt daher die Takteingangsleitungen des Komponentenblocks 425 mit den benachbarten Zuführleitungen 31a, 31b und 31c kurz. Diese Leitun gen und die zugehörigen globalen Treiber 30a, 30b und 30c werden als Gruppe 460 bezeichnet. Diese Gruppe 460 liefert Taktsignale an den Schaltungsblock 425. In ähnlicher Weise besteht die Gruppe 461 aus Zuführleitungen 30d, 30e und 30f und versorgt den Schaltungsblock 420 über die Ausrichtleitung 451. Gruppe 462 versorgt den Schaltungsblock 430 über eine Ausrichtleitung 452 mit einem Taktsignal, und Gruppe 463 versorgt den Schaltungsblock 440 über eine Ausrichtleitung 453. Durch Anordnung dieser Ausrichtleitungen wird ein Taktverteilungssystem gebildet, wodurch es für die Konstrukteure jedes einzelnen Schaltungsblocks 420, 425, 430, 435 und 440 überflüssig wird, Eingangstaktleitungen vorzusehen, welche mit einer speziellen Zuführleitung ausgerichtet sind. Es ist einzig notwendig, daß die Takteingangsleitung über eine vertikale Ausrichtleitung an einer Stelle in der Topologie der Schaltungskomponente verläuft.The following is on 4 referred. In the described embodiment, there are 60 feed lines 31 which pass clock signals over the upper processor layer of the microprocessor. These feed lines must be coupled to input clock lines of the various circuit components of the microprocessor. However, it is difficult to align the input clock signal lines of the component blocks with the supply line runs. Therefore, in the described embodiment, vertical alignment lines are associated with the feed lines to form a connection between an adjacent feed line and a microprocessor circuit block requiring a clock connection. 4 shows these vertical alignment lines, each with a width or thickness of 10-15 μm. There are four micro processor blocks 420 . 425 . 430 and 440 , These blocks require clock input signals; the clock input lines of each block can, but need not, be vertically aligned with the next feed line. The vertical alignment line 450 therefore closes the clock input lines of the component block 425 with the neighboring feed lines 31a . 31b and 31c short. These lines and the associated global drivers 30a . 30b and 30c be as a group 460 designated. This group 460 provides clock signals to the circuit block 425 , The group exists in a similar way 461 from supply lines 30d . 30e and 30f and powers the circuit block 420 via the alignment line 451 , group 462 powers the circuit block 430 via an alignment line 452 with a clock signal, and group 463 powers the circuit block 440 via an alignment line 453 , By arranging these alignment lines, a clock distribution system is formed, which makes it easier for the designers of each individual circuit block 420 . 425 . 430 . 435 and 440 it becomes unnecessary to provide input clock lines which are aligned with a special feed line. It is only necessary that the clock input line run through a vertical alignment line at one point in the topology of the circuit component.

Es ist einzusehen, daß die vertikalen Ausrichtleitungen auch zur Kopplung verschiedener Segmente einer Zuführleitung dienen, welche nicht perfekt horizontal ausgerichtet ist. So sind beispielsweise die Zuführleitungen 31d, 31e und 31f nicht perfekt auf den linken und rechten Seiten der Ausrichtleitung 451 ausgerichtet. Die Leitung 31d fällt leicht nach rechts, und die Leitungen 31e und 31f verspringen leicht nach der entgegengesetzten Seite. Die Ausrichtleitung 415 dient der Verbindung der geringen Fehlausrichtungen und koppelt verschieden Segmente dieser Zuführleitungen zusammen. Die Größe der Fehlausrichtung liegt in typischer Ausführung in der Größenordnung von wenigen μ m zwischen Segmenten einer Ausrichtleitung.It can be seen that the vertical alignment lines also serve to couple different segments of a feed line which is not perfectly horizontally aligned. For example, the supply lines 31d . 31e and 31f not perfect on the left and right sides of the alignment line 451 aligned. The administration 31d falls slightly to the right, and the lines 31e and 31f jump slightly to the opposite side. The alignment management 415 serves to connect the slight misalignments and couples different segments of these feed lines together. The size of the misalignment is typically in the order of a few μm between segments of an alignment line.

Wiederum unter Bezugnahme auf 4 ist jede der vertikalen Ausrichtleitungen aus M3-Metall gebildete, das ein Metall niedrigeren Niveaus als das M4-Metall ist. Die Erfindung benutzt bei dem beschriebenen Ausführungsbeispiel M3-Metall, das sowohl die Energie- als auch die Taktsignale im M4-Niveau parallelverlaufen und vertikale Kopplungsleitungen diese beiden unterschiedlichen Leitungen in unerwünschter Weise kurzschließen würden. Um diese Folge zu vermeiden, wird ein anderes Niveau, M3, gewählt, um die vertikalen Ausrichtleitungen zu betreiben. Es kann nur zwei Ausrichtleitungen für jede gegebene Gruppe von Zuführleitungen geben. Dies liegt am Aufbau eines zusätzlichen Widerstands bei zusätzlicher Anordnung von Ausrichtleitungen. Wie in 4 gezeigt, ist die Ausrichtleitung 455 die zweite, der Gruppe 463 zugeordnete Ausrichtleitung. Diese Leitung 455 ist dem Stromversorgungsschaltungsblock 435 zugeordnet. Da es zwei vertikale Ausrichtleitungen 453 und 455 gibt, die mit Zuführleitungen der Gruppe 463 gekoppelt sind, kann keine zusätzliche vertikale Ausrichtleitung an die Gruppe 463 angeschlossen werden. Zu beachten ist, daß der Widerstandsbeitrag der M3-Ausrichtleitungen um so niedriger ist, je gleichmässiger die M4-Zuführleitungen 31 über den Mikroprozessor verlaufen. Mit anderen Worten, wenn vertikale Ausrichtleitungen benachbarte Zuführleitungen kurzschließen, welche weit über die Ausrichtleitung gestreut sind, so ergibt sich ein relativ höherer Widerstand- und Signalverzerrungsbeitrag zum Taktversorgungsnetzwerk als im Falle eng benachbarter Zuführleitungen. Es ist daher bei der beschriebenen Ausführung der Erfindung vorteilhafter, die Zuführleitungen 31a31l gleichmäßig über die Mikroprozessorseite anzuordnen. Es ist einzusehen, daß vertikale Ausrichtleitungen typischerweise von zwei bis acht Zuführleitungen innerhalb des beschriebenen Ausführungsbeispiels kurzschließen.Again referring to 4 each of the vertical alignment lines is formed of M 3 metal, which is a lower level metal than the M 4 metal. In the exemplary embodiment described, the invention uses M 3 metal that both the energy and the clock signals in the M 4 level run in parallel and vertical coupling lines would undesirably short these two different lines. To avoid this consequence, a different level, M 3 , is chosen to operate the vertical alignment lines. There can only be two alignment lines for any given group of feed lines. This is due to the construction of an additional resistor with an additional arrangement of alignment lines. As in 4 shown is the alignment line 455 the second, the group 463 assigned alignment line. This line 455 is the power supply circuit block 435 assigned. Since there are two vertical alignment lines 453 and 455 there with the supply lines of the group 463 no additional vertical alignment line can be coupled to the group 463 be connected. It should be noted that the more even the M 4 supply lines, the lower the resistance contribution of the M 3 alignment lines 31 run through the microprocessor. In other words, if vertical alignment lines short adjacent feed lines that are widely scattered across the alignment line, there is a relatively higher resistance and signal distortion contribution to the clock supply network than in the case of closely adjacent feed lines. It is therefore more advantageous in the described embodiment of the invention, the feed lines 31a - 31l arrange evenly over the microprocessor side. It will be appreciated that vertical alignment lines typically short out two to eight feed lines within the described embodiment.

Es ist zu sehen, daß 4 nur zwei Gruppen 320 und 321 von den fünf Gruppen der linken Seite des beschriebenen Taktverteilungsnetzwerkes darstellt. Es ist klar, daß die anderen drei Gruppen in analoger Weise gekoppelt sind, um Taktschaltungen mit verschiedenen anderen Komponentenblöcken des Mikroprozessors 200 zu koppeln. Es ist außerdem einzusehen, daß die rechte Seite des Mikroprozessors auch analog geschaltet ist.It can be seen that 4 only two groups 320 and 321 of the five groups on the left side of the clock distribution network described. It is clear that the other three groups are coupled in an analog manner to clock circuits with various other component blocks of the microprocessor 200 to couple. It can also be seen that the right side of the microprocessor is also connected in an analog manner.

Nur die globalen Treibergruppen 320 und 321 des beschriebenen Ausführungsbeispiels sind in 4 der Übersichtlichkeit halber gezeigt; es ist jedoch klar, daß das gesamte Tatkverteilungsnetzwerk des beschriebenen Ausführungsbeispiels partielle ähnliche Strukturen und Funktionen hat. Nach der obigen Beschreibung sind bei dem Ausführungsbeispiel im Taktverteilungssystem vorteilhafterweise 60 Zuführleitungen vorgesehen, mit denen verschiedenen Komponentenblöcke einer Mikroprozessortopologie gekoppelt werden können. An jedem Punkt entlang einer der 60 Zuführleitungen kann ein Komponentenblock den Erhalt eines Taktsignals mit weniger als 100 Picosekunden Taktverzerrung erwarten, wenn das beschriebene Taktverteilungssystem in der erfindungsgemäßen besonderen und vorteilhaften Weise ausgebildet ist.Global driver groups only 320 and 321 of the described embodiment are in 4 shown for clarity; however, it is clear that the entire distribution network of the described embodiment has partially similar structures and functions. According to the above description, in the exemplary embodiment, 60 feed lines are advantageously provided in the clock distribution system, with which different component blocks of a microprocessor topology can be coupled. At any point along one of the 60 feed lines, a component block can expect to receive a clock signal with less than 100 picoseconds of clock distortion if the clock distribution system described is designed in the particular and advantageous manner according to the invention.

Es ist klar, daß die Auswahl der 30 globalen Treiber für jeden vertikalen Rand des Mikroprozessors 200 eine rein willkürliche Zahl ist und daß mehr oder weniger globale Treiber im Rahmen des Erfindungsgedankens möglich sind. Die einzige Beschränkung ist die Größe des freien Raums innerhalb der Mikroprozessortopologie, wo die Taktsignalerzeugung und Verteilung erfolgen kann. Die Schaltungskomponenten des Mikroprozessors 200 sind die zur Bildung des gesamten Mikroprozessors benutzten Komponenten. Diese Komponenten können in typischer Ausführung eine arithmetische Logikeinheit (ALU), die Befehlsabrufeinheit (IFU), die Ausführungseinheiten, die Cache Einheiten und die Bussteuereinheiten u.a. enthalten.It is clear that the selection of 30 global drivers for each vertical edge of the microprocessor 200 is a purely arbitrary number and that more or less global drivers are possible within the scope of the inventive idea. The only limitation is the size of the free space within the microprocessor topology where clock generation and distribution can occur. The circuit components of the microprocessor 200 are the components used to form the entire microprocessor. These components can typically include an arithmetic logic unit (ALU), the instruction fetch unit (IFU), the execution units, the cache units and the bus control units, among others.

Taktunterbrechungsmerkmal der vorliegenden Erfindung:Timer interrupt feature of the present invention:

Das beschriebene Ausführungsbeispiel der Erfindung bietet auch die Möglichkeit, das Taktsignal an irgendeine Gruppe von Zuführleitungen (d.h., alle Zuführleitungen, die über eine gemeinsame vertikale Ausrichtleitung kurzgeschlossen sind) zu entaktivieren. Dies geschieht über eine jedem globalen Takttreiber und dem Verteilungsnetzwerk zugeordnete weitere Schaltung.The described embodiment the invention also offers the possibility of the clock signal to any group of feed lines (i.e., all feed lines, the above a common vertical alignment line is short-circuited) to deactivate. This is done using any global clock driver and further circuit associated with the distribution network.

5 zeigt den globalen Treiber, der die zum Entaktivieren des Taktsignals erforderliche Ativierungslogik enthält. Ein globaler Treiber 30a ist genauer dargestellt. Ein Eingang 520 führt das Taktsignal in den Treiber, und ein Eingang 525 führt ein Aktivierungssignal in den Treiber. Sowohl die Aktivierungsleitung als auch das Taktsignal werden in eine Latchschaltung 511 eingegeben. Das Taktsignal wird in den auf einen niedrigen Pegel gelegten Takteingang eingegeben, und das Aktivierungssignal wird dem Latch-D-Eingang zugeführt. Der Q-Ausgang des Ltsch 511 wird ebenso wie das Taktsignal einem NAND-Gatter 510 zugeführt. Wenn daher das Eingangssignal des Aktivierungspins 525 auf den niedrigen Pegel geht, so gibt das NAND-Gatter stets ein hohes Signal aus. Wenn der Eingang des Aktivierungspins 525 auf einen hohen Pegel (angelegt) geht, so wird das Ausgangssignal des NAND-Gatters zum Kehrwert des Taktsignals 520. Das Ausgangsignal des NAND-Gatters 510 wird dann an den Eingang eines Inverters 515 angelegt, und dessen Ausgang wird auf die Leitung 31a des Treibers 30a gegeben. Wenn die Aktivierungsleitung nicht an den globalen Treiber 30a angelegt ist, so wird das Taktsignal nicht auf die zugehörige Zuführleitung 31a getrieben. Wenn die Aktivierungsleitung anliegt, so wirkt der globale Treiber in der zuvor beschriebenen Weise und steuert seine zugehörige Zuführleitung mit einem Taktsignal an. 5 shows the global driver that contains the enable logic required to disable the clock signal. A global driver 30a is shown in more detail. An entrance 520 feeds the clock signal into the driver, and an input 525 leads an activation signal into the driver. Both the activation line and the clock signal are latched 511 entered. The clock signal is input to the low clock input and the enable signal is applied to the latch D input. The Q output of the Ltsch 511 as well as the clock signal becomes a NAND gate 510 fed. Therefore, when the input signal of the activation pin 525 goes low, the NAND gate always outputs a high signal. When the input of the activation pin 525 goes high (applied), the output of the NAND gate becomes the reciprocal of the clock signal 520 , The output signal of the NAND gate 510 is then sent to the input of an inverter 515 created, and its output is on the line 31a of the driver 30a given. If the activation line is not connected to the global driver 30a is applied, the clock signal is not on the associated feed line 31a driven. If the activation line is present, the global driver acts in the manner described above and drives its associated supply line with a clock signal.

Das Latch 511 ist so ausgebildet, daß keine Aktivierungsaktion stattfindet, während das Taktsignal als hohes Signal ansteht. D.h., alle Aktivierungszustandsänderungen finden einen Takt nach dem Taktzyklus statt, bei dem das Aktivierungssignal seinen Zustand ändert. Wenn der Takt hoch ist, ist es unerwünscht, daß der Mikroprozessor die Aktivierungszustände ändert; daher verhindert das Latch 511, daß das Aktivierungssignal das NAND-Gatter 510 erreicht, bevor das Taktisignal auf seinen niedrigen Pegel übergeht, da ein niedriges Takt-Eingangssignal am Latch 511 ansteht.The latch 511 is designed so that no activation action takes place while the clock signal is present as a high signal. This means that all activation state changes take place one clock after the clock cycle at which the activation signal changes its state. If the clock is high, it is undesirable for the microprocessor to change the activation states; therefore the latch prevents 511 that the activation signal is the NAND gate 510 reached before the clock signal goes low because of a low clock input signal at the latch 511 pending.

6A zeigt – nicht maßstabsgerecht- einen Mikroprozessor 200 mit einem Aktivierungsnetzwerkdesign, das bei einer erfindungsgemäßen Anordnung die globalen Treiber gemäß 5 verwenden kann. 6A zeigt die Gruppe 320 und einen Teil der Gruppe 321 mit den Taktunterbrechungsmerkmalen der Erfindung. Gruppe 320 wird vom Hochleistungszwischentreiber 310 getrieben. Jeder der sechs globalen Treiber 30a...30f ist über ein angepaßtes Netzwerk 361 mit Eingangsleitungen gleicher Länge und Breite und angepaßter Kapazität innerhalb des Energiezuführrings mit dem Zwischentreiber 310 gekoppelt. Ebenfalls mit jedem der globalen Treiber 30a, 30b und 30c ist eine Aktivierungs-0-Leitung auf der Leitung 670 verbunden. Die Aktivierungs-0-Leitung ist auch mit der Leistungsmanagementeinheit 610 gekoppelt. Mit dem Rest der globalen Treiber der Gruppe 320 (30d, 30f, 30g) sowie dem globalen Treiber 30g der Gruppe 321 ist eine andere Aktivierungsleitung 667, nämlich Aktivierung-1, verbunden. Aktivierungsleitung 667 ist auch mit der Leistungsmanagementeinheit 610 gekoppelt. Eine weitere Aktivierungsleitung 670, Aktivierung-3, ist mit den globalen Treibern 30h und 30i der Gruppe 321 und außerdem mit der Leistungsmangagementeinheit 610 gekoppelt. Eine Taktversorgung liegt über eine Leitung 681 auch an der Leistungsmanagementeinheit an. Eine Aktivierungs/Entaktivierungs-Anforderungsleitung 661 wird der Leistungsmanagementeinheit 610 zugeführt. Die Aktivierungs/Entaktivierungs-Anforderungsleitung 661 bezeichnet diejenigen Aktivierungsleitungen 665, 667 und 670, welche angelegt sind oder nicht. Es ist klar, daß jeder Zwischentreiber 310 und 311 mit einem Eingang jedes NAND-Gatters jedes globalen Treibers gekoppelt ist, während jede Aktivierungsleitung mit dem anderen Eingang jedes NAND-Gatters jedes globalen Treibers gekoppelt ist. 6A shows - not to scale - a microprocessor 200 with an activation network design that the global drivers according to an arrangement according to the invention 5 can use. 6A shows the group 320 and part of the group 321 with the clock interrupt features of the invention. group 320 is used by the high-performance intermediate driver 310 driven. Each of the six global drivers 30a ... 30f is on a customized network 361 with input lines of the same length and width and adapted capacity within the energy supply ring with the intermediate driver 310 coupled. Also with each of the global drivers 30a . 30b and 30c is an activation 0 line on the line 670 connected. The activation 0 line is also with the performance management unit 610 coupled. With the rest of the group's global drivers 320 ( 30d . 30f . 30g ) and the global driver 30g the group 321 is another activation line 667 , namely Activation-1. activation line 667 is also with the performance management unit 610 coupled. Another activation line 670 , Activation-3, is with the global drivers 30h and 30i the group 321 and also with the performance management unit 610 coupled. A clock supply lies over a line 681 also at the performance management unit. An activation / deactivation request line 661 becomes the performance management unit 610 fed. The activation / deactivation request line 661 designates those activation lines 665 . 667 and 670 which are created or not. It is clear that every intermediate driver 310 and 311 is coupled to one input of each NAND gate of each global driver, while each activation line is coupled to the other input of each NAND gate of each global driver.

Eine vertikale Ausrichtleitung 626 schließt die Zuführleitungen 31a31c kurz und liefert ein Taktsignal an den Schaltungsblock 612. Außerdem schließt eine vertikale Ausrichtlei tung 623 die Leitungen 31d31g kurz und liefert ein Taktsignal an den Schaltungsblock 613. Schließlich schließt eine vertiakale Ausrichtleitung 624 die Zuführleitungen 31h und 31i kurz, um ein Taktsignal an den Schaltungsblock 614 zu liefern. Die Zuführleitungen 31a31c und zugehörigen globalen Treiber bilden eine Gruppe, welche von der Aktivierungs-0-Leitung 640 gesteuert wird. Die Zuführleitungen 31d, 31g und die zugehörigen globalen Treiber bilden eine Gruppe, welche von der Aktivierungs-1-Leitung 667 gesteuert wird. Die Zuführleitungen 31h31i und zugehörige globale Treiber bilden eine Gruppe, welche von der Aktivierungs-2-Leitung 665 gesteuert wird. Wenn bei dieser Konfiguration die Leistungsmanagementeinheit 610 die Leitung 670 entaktiviert, ist der Aktivierungs-0-Pin nicht angesteuert, und das Taktsignal zum Schaltungsblock 612 ist zeitweilig unterbrochen, bis der Aktivierungs-0-Pin 670 erneut angesteuert ist. Ruf diese Weise bietet die Erfindung ein System, mit dessen Hilfe der Schaltungsblock 612 während bestimmter Perioden des Leistungsmanagements abgeschaltet werden kann. Es ist einzusehen, daß jede individuelle Gruppe von Zuführleitungen (definiert durch die vertikale Ausrichtleitung) dieselbe Aktivierungsleitung gemeinsam benutzen. Wie in 6A gezeigt ist, liefert der Zwischentakttreiber 311 das Taktsignal an die globalen Treiber 30g, 30h und 30i der Gruppe 321.A vertical alignment line 626 closes the feed lines 31a - 31c short and provides a clock signal to the circuit block 612 , A vertical alignment also closes 623 the lines 31d - 31g short and provides a clock signal to the circuit block 613 , Finally, a vertical alignment line closes 624 the supply lines 31h and 31i in short, to a clock signal to the circuit block 614 to deliver. The feed lines 31a - 31c and associated global drivers form a group, which is from the activation 0 line 640 is controlled. The feed lines 31d . 31g and the associated global drivers form a group which is from the activation 1 line 667 is controlled. The feed lines 31h - 31 i and associated global drivers form a group, which is from the activation 2 line 665 is controlled. If the power management unit in this configuration 610 The administration 670 deactivated, the activation 0 pin is not driven, and the clock signal to the circuit block 612 is temporarily interrupted until the activation 0 pin 670 is activated again. In this way, the invention provides a system by means of which the circuit block 612 can be switched off during certain periods of performance management. It will be appreciated that each individual group of feed lines (defined by the vertical alignment line) share the same activation line. As in 6A is shown, the intermediate clock driver provides 311 the clock signal to the global drivers 30g . 30h and 30i the group 321 ,

In ähnlicher Weise kann der Leistungsmanagementmodul 610 die Versorgung der Schaltung 613 durch Entaktivieren der Aktivierung-1 über die Leitung 667 entaktivieren oder das Taktsignal zur Schaltung 613 durch Beaufschlagung der Leitung 667 aktivieren. Die Leistungsmanagementeinheit 610 kann die Versorgung der Schaltung 614 mit dem Taktsignal durch Entaktivieren von Entaktivierung-2 über die Leitung 665 entaktivieren oder durch Ansteuerung der Leitung 665 aktivieren. Die Aktivierung-Entaktivierungs-Anforderungsleitung 661 ist eine parallele Eingangsleitung, welche eine Flagbit für jeden Schaltungsblock der Mikroprozessortopologie führt. Wenn ein spezieller Schaltungs block abgeschaltet werden soll, so wird das diesem Schaltungsblock zugeordnete Bit auf "0" abgeschaltet. Tabelle I zeigt die verschiedenen Werte, die über die Aktivierungs-Entaktivierungsleitung 661 zur Steuerung der Arbeitszustände der Schaltungsblöcke 612, 613 und 614 geschickt werden.Similarly, the performance management module 610 the supply of the circuit 613 by deactivating activation-1 via the line 667 deactivate or the clock signal to the circuit 613 by acting on the line 667 activate. The performance management unit 610 can supply the circuit 614 with the clock signal by deactivating Deactivation-2 over the line 665 deactivate or by activating the line 665 activate. The activation-deactivation request line 661 is a parallel input line that carries a flag bit for each circuit block of the microprocessor topology. If a special circuit block is to be switched off, the bit assigned to this circuit block is switched off to "0". Table I shows the various values across the activation-deactivation line 661 to control the working states of the circuit blocks 612 . 613 and 614 sent.

TABELLE I

Figure 00310001
TABLE I
Figure 00310001

Nach der obigen Beschreibung kann die Erfindung die Zuführ des Taktsignals an beliebige der Schaltungsblöcke der Mikroprozessortopologie selektiv unterbrechen. Dies ist in solchen Umfeldern vorteilhaft, wo die Energieaufnahme gesteuert und der Energieverbrauch begrenzt werden muß, so beispielsweise innerhalb eines Laptop oder eines batteriebetriebenen Computersystems. Durch Verwendung des beschriebenen Leistungsmanagementmoduls kann ein Mikroprozessor- oder Betriebssystem bestimmen, daß bestimmte Blöcke innerhalb des Mikroprozessors zum Zwecke der Energieeinsparung abgeschaltet werden sollen, und der Mikroprozessor des Computersystem kann in einen Warte- oder Schlafmodus eintreten. Der Mikroprozessor gibt dann ein "0" Bitflag aus, welches den zu suspendierenden zugehörigen Schaltungblock darstellt. Die zugehörige Aktivierungsleitung schaltet dann die der Treibergruppe und den Zuführleitungen zugeordneten Takttreiber aus oder herunter, welche den speziellen Schaltungsblock (über eine gemeinsame vertikale Ausrichtleitung) mit Energie versorgt.According to the description above the invention the feed the clock signal to any of the circuit blocks of the microprocessor topology selectively interrupt. This is advantageous in such environments where energy consumption is controlled and energy consumption limited must become, for example inside a laptop or a battery-powered one Computer system. By using the described performance management module a microprocessor or operating system can determine that certain Blocks inside the microprocessor switched off for the purpose of energy saving should be, and the microprocessor of the computer system can in enter a waiting or sleep mode. The microprocessor then gives a "0" bit flag, which the associated one to be suspended Circuit block represents. The associated activation line switches then the clock drivers assigned to the driver group and the feed lines or down, which the special circuit block (over a common vertical alignment line).

Es ist einzusehen, daß bei einem alternativen Ausführungsbeispiel jede der Aktivierungsleitung alternativ zur Ansteuerung der Zwischentakttreiber 310314 verwendet werden könnte, um die Taktsignalversorgung zu dem die Gruppen von globalen Treibern versorgenden angepaßten Netzwerk zu entaktivieren. Bei Verwendung einer solchen Konstruktion würden ganze Sätze (d.h. 320324) von globalen Treibern von einer Signal-Aktivierungsleitung gesteuert. Diese Ausführungsform ist weniger komplex im Vergleich zu dem oben beschriebenen Ausführungsbeispiel. Diese Alternative ist jedoch weniger flexibel, da die Aktivierungsleitungen eine gesamte Gruppe von globalen Treibern durch Entaktivierung der Zwischentreiber gleichzeitig abschalten. Wenn mehrere Komponenten von der gleichen globalen Treibergruppe betrieben werden, werden sie alle gleichzeitig abgeschaltet und können nicht individuell gesteuert werden. Wenn eine einzige Komponente mehrere Gruppen überspannt, so ist diese alternative Ausführung nicht so flexible in der Abschaltung dieser Komponente wie das zuvor beschriebene bevorzugte Ausführungsbeispiel der Erfindung.It can be seen that, in an alternative exemplary embodiment, each of the activation lines alternatively for controlling the intermediate clock drivers 310 - 314 could be used to deactivate the clock signal supply to the matched network serving the groups of global drivers. Using such a construction, whole sentences (i.e. 320 - 324 ) controlled by global drivers from a signal activation line. This embodiment is less complex compared to the embodiment described above. However, this alternative is less flexible because the activation lines simultaneously turn off an entire group of global drivers by deactivating the intermediate drivers. If several components are operated by the same global driver group, they are all switched off at the same time and cannot be controlled individually. If a single component spans several groups, this alternative embodiment is not as flexible in switching off this component as the preferred exemplary embodiment of the invention described above.

Der Übersichtkeit halber sind nur zwei Gruppen 320 und 321 des Taktverteilungsnetzwerkes in 6A gezeigt. Die Beschreibung zu diesen beiden Gruppen ist jedoch auf alle Gruppen der beiden vertikalen Ränder der Mikroprozessors analog anwendbar. Es ist einzusehen, daß das Decodierungsschema gemäß Tabelle I auszudehnen ist, um weitere Schaltungsblöcke des Mikroprozessors 200 in Zuordnung zu anderen Gruppen des Taktverteilungssystems zu berücksichtigen. Analog dazu ist klar, daß die Leistungsmanagementeinheit 610 auch Aktivierungsignale erzeugt, welche die Zuführ von Taktsignalen zu den auf der rechten Seite des Mikroprozessors angeordneten globalen Treiber steuert.For the sake of clarity, there are only two groups 320 and 321 of the clock distribution network in 6A shown. However, the description of these two groups can be applied analogously to all groups of the two vertical edges of the microprocessor. It can be seen that the decoding scheme according to Table I should be expanded to include further circuit blocks of the microprocessor 200 to be taken into account in association with other groups of the clock distribution system. Analogously, it is clear that the performance management unit 610 also generates activation signals which control the supply of clock signals to the global drivers arranged on the right side of the microprocessor.

Taktversorgungs- und UnterbrechungsgesamtnetzwerkTotal clock supply and interruption network

6B zeigt – nicht maßstabsgerecht – einen Mikroprozessor 200 mit einer Aktivierungsnetzwerkkonstruktion, die in der erfindungsgemäßen Anordnung unter Verwendung der globalen Treiber gemäß 5 vorgesehen sein kann. 6B zeigt eine typische Taktverteilungssystemkonfiguration gemäß dem beschriebenen Ausführungsbeispiel der Erfindung mit allen globalen Treibern. Es gibt 30 globale Treiber auf jeder Seite der integrierten Mikroprozessorschaltung. Wie auf der linken Seite gezeigt ist, gibt es dort fünf Gruppen 320, 321, 322, 323 und 324 von jeweils sechs Treibern. Diese fünf Gruppen werden von Zwischentreibern 310, 311, 312, 313 bzw. 314 getrieben. Der gemeinsame Takttreiber 301 ist mit einem Taktgenerator oder -oszillator eingangsseitig gekoppelt und treibt ein RC-abgeglichenes Netzwerk, das die auf der linken Seite angeordneten fünf Zwischentreiber 310314 und die auf der rechten Seite angeordneten fünf Zwischentreiber 691695 versorgt. Jede der Gruppen 320324 wird von ihrem zugehörigen Zwischentreiber über ein RC-angepaßtes Netzwerk getrieben. Durch Verwendung dieses gestuften Verteilungssystems mit mehreren angepaßten Netzwerken pro Stufe ist die Erfindung in der Lage, auf die linken Zuführleitungen jedes globalen Treibers synchronisierte Taktsignale zu geben. Auf der rechten Seite gibt es, wie zu sehen ist, fünf Gruppen 325, 326, 327, 328 und 329 mit jeweils sechs Treibern. Diese fünf Gruppen werden von Zwischentreibern 691, 692, 693, 694 bzw. 695 getrieben. Der gemeinsame Takttreiber 301 versorgt die fünf Zwischentreiber 691695 jeweils über ein getrenntes RC-abgestimmtes Netzwerk. Jede der Gruppen 325329 wird über ein RC-angepaßtes Netzwerk von ihrem zugehörigen Zwischentreiber getrieben. Durch Verwendung dieses gestuften Verteilungssystems mit mehreren anepaßten Netzwerken pro Stufe ist die Erfindung in der Lage, in Synchronisation befindliche Taktsignale auf die Zuführleitungen aller globalen Treiber auf der rechten Seite zu geben Im Mikroprozessor sind 60 Zuführleitungen, eine für jeden glo balen Treiber, vorgesehen, um die Mikroprozessorkomponenten mit einem synchronisierten Taktsignal zu versorgen. Es ist klar, daß das angepaßte Netzwerk, welches alle Zwischentreiber auf der linken und rechten Seite versorgt, innerhalb des Stromzuführrings auf der Peripherie des Mikroprozessors vorgesehen ist. Es ist außerdem klar, daß die Zwischentreiber, die angepaßten Netzwerke, welche die globalen Treiber versorgen und die globalen Treiber alle innerhalb der Stromversorgungszellen des Mikroprozessors angeordnet sind. 6B shows - not to scale - a microprocessor 200 with an activation network construction, which according to the arrangement according to the invention using the global drivers 5 can be provided. 6B Figure 4 shows a typical clock distribution system configuration as described NEN embodiment of the invention with all global drivers. There are 30 global drivers on each side of the microprocessor integrated circuit. As shown on the left, there are five groups 320 . 321 . 322 . 323 and 324 of six drivers each. These five groups are from intermediate drivers 310 . 311 . 312 . 313 respectively. 314 driven. The common clock driver 301 is coupled on the input side to a clock generator or oscillator and drives an RC-balanced network which contains the five intermediate drivers arranged on the left side 310 - 314 and the five intermediate drivers located on the right 691 - 695 provided. Each of the groups 320 - 324 is driven by its associated intermediate driver via an RC-adapted network. By using this tiered distribution system with multiple matched networks per tier, the invention is able to provide clock signals synchronized to the left feed lines of each global driver. There are five groups on the right, as can be seen 325 . 326 . 327 . 328 and 329 with six drivers each. These five groups are from intermediate drivers 691 . 692 . 693 . 694 respectively. 695 driven. The common clock driver 301 supplies the five intermediate drivers 691 - 695 each via a separate RC-coordinated network. Each of the groups 325 - 329 is driven by its associated intermediate driver via an RC-adapted network. By using this tiered distribution system with multiple matched networks per stage, the invention is capable of delivering clock signals in synchronization to the feed lines of all global drivers on the right. The microprocessor provides 60 feed lines, one for each global driver to supply the microprocessor components with a synchronized clock signal. It will be appreciated that the matched network that provides all of the intermediate drivers on the left and right sides is provided within the power ring on the periphery of the microprocessor. It is also clear that the intermediate drivers, the matched networks that power the global drivers, and the global drivers are all located within the power supply cells of the microprocessor.

Im folgenden wird auf 6B Bezug genommen. Dort sind fünf Mikroprozessorkomponenten 651, 652, 653, 654 und 655 dargestellt. Es ist klar, daß verschiedene andere Schaltungskomponenten an anderen Stellen innerhalb des Mikroprozessors in Zuordnung zu dem beschriebenen Taktverteilungs- und Unterbrechungsnetzwerk angeordnet sein können. Die folgenden Komponenten sind zur Veranschaulichung der Elemente der Erfindung gezeigt. Die Schaltungskomponente 651 wird über die Ausrichtleitung 651a mit einem Taktsignal versorgt, welche die Zuführleitungen der Gruppe 320 kurzschließt. Die Schaltungskomponente 652 wird mit einem Taktsignal über die Ausrichtleitung 652a versorgt, welche die Zuführleitungen der Gruppen 325 und 326 kurzschließt. Die Schaltungskomponente 653 wird mit einem Taktsignal über die Ausrichtleitungen 653a und 653b versorgt, welche die Zuführleitungen der Gruppen 321 und 322 kurzschließt. Die Schaltungskomponente 654 wird mit einem Taktsignal über die Ausrichtleitung 654a versorgt, welche die Zuführleitungen der Gruppe 327 und der Gruppe 328 kurzschließt. Die Schaltungskomponente 655 wird mit einem Taktsignal über eine Ausrichtleitung 655a versorgt, welche die Zuführleitungen der Gruppe 324 kurzschließt. Durch Anzapfen der Zuführleitungen über zugeordnete Ausrichtleitungen wird bei dem erfindungsgemäßen Taktverteilungsnetzwerk gewährleistet, daß ein Taktsignal mit weniger als 100 Pikosekunden Taktverzerrung den Zuführleitungen zugeordnet ist.The following is on 6B Referred. There are five microprocessor components 651 . 652 . 653 . 654 and 655 shown. It will be appreciated that various other circuit components may be located elsewhere within the microprocessor in association with the clock distribution and interrupt network described. The following components are shown to illustrate the elements of the invention. The circuit component 651 is via the alignment line 651a supplied with a clock signal, which the feed lines of the group 320 shorts. The circuit component 652 with a clock signal via the alignment line 652a which supplies the feed lines of the groups 325 and 326 shorts. The circuit component 653 with a clock signal via the alignment lines 653 and 653b which supplies the feed lines of the groups 321 and 322 shorts. The circuit component 654 with a clock signal via the alignment line 654a which supplies the feed lines of the group 327 and the group 328 shorts. The circuit component 655 with a clock signal via an alignment line 655a which supplies the feed lines of the group 324 shorts. In the clock distribution network according to the invention, tapping the supply lines via assigned alignment lines ensures that a clock signal with less than 100 picoseconds of clock distortion is assigned to the supply lines.

6B zeigt auch die Stromversorgungsleitungen 699, welche mit jedem der 10 Zwischentakttreiber 310314 und 691695 gekoppelt sind. Die Stromversorgungsleitungen 699 sind auch mit jedem der den Zwischentakttreibern nachgeschalteten globalen Treiber gekoppelt. Die Stromzuführleitungen 699 sind auch mit den (nicht gezeigten) Stromanschlüssen der Stromversorgungszellen des Mikroprozessors zum Anschluß von Vcc und Vss gekoppelt. Durch Anordnung der Zwischentreiber und der globalen Takttreiber nahe der Peripherie der Prozessoren sind die Stromversorgungsleitungen 699 in vorteilhafter Weise kurz und erzeugen daher einen geringen Rauschpegel über das Taktnetzwerk und den beschriebenen Mikroprozessor. 6B also shows the power supply lines 699 which with each of the 10 intermediate clock drivers 310 - 314 and 691 - 695 are coupled. The power supply lines 699 are also coupled to each of the global drivers downstream of the intermediate clock drivers. The power supply lines 699 are also coupled to the power supply cells (not shown) of the power supply cells of the microprocessor for connecting Vcc and Vss. By arranging the intermediate drivers and the global clock drivers near the periphery of the processors, the power supply lines are 699 advantageously short and therefore generate a low noise level via the clock network and the microprocessor described.

Eine Leistungsmanagementeinheit 610 ist in der Mikroprozessoranordnung 200 der 6B vorgesehen. Eine Aktivierungs/Entaktivierungs-Anforderungsleitung 661 wird vom Mikroprozessor in die Energiemanagementeinheit eingeführt. Ist sie aktiv, so zeigt diese Leitung 661 der Leistungsmangagementeinheit an, welche der fünf Komponenten 651655 zu aktivieren oder zu entaktivieren ist. Es gibt ein Flag innerhalb der Leistungsmanagementeinheit für jede Komponente, und wenn dieses Flag ansteht, so wird die Komponente mit einem Taktsignal versorgt. Wenn dieses Flag auf 0 zurückgesetzt wird, so wird die Komponente nicht mit einem Taktsignal versorgt. Das Taktsignal wird über eine Leitung 681 auch der Leistungsmanagementeinheit 610 zugeführt. Letztere gibt ein Ausgangssignal auf einen Aktivierungsbus 671, der fünf separate Aktivierungsleitungen enthält, und zwar eine für jede Komponente. Jede Aktivierungsleitung ist für die Kopplung der eine Komponente mit einem Taktsignal versorgenden globalen Treiber verantwortlich. Wenn diese Komponente zur Abschaltung ausgewählt wird, so wird die zugehörige Aktivierungsleitung entaktiviert, um jeden globalen Treiber zu entaktivieren. Der Aktivierungsbus 671 ist mit jedem der Versorgungsnetzwerke für jede der 10 Gruppen 320329 gekoppelt, und eine spezielle Aktivierungsleitung ist mit jedem der NAND- Gatter jedes globalen Treibers gekoppelt. Innerhalb des Aktivierungsbusses 671 gibt es fünf Aktivierungsleitungen: Aktivierung-0, welche den Komponentenblock 651 steuert; Aktivierung-1, welche den Komponentenblock 652 steuert; Aktivierung-2, welche den Komponentenblock 653 steuert; Aktivierung-3, welche den Komponentenblock 654 steuert; und Aktivierung-4, welche den Komponentenblock 655 steuert. Die Aktivierung-0 ist zur Gruppe 320 geführt und entaktiviert die unteren drei globalen Treiber der Gruppe 320, die von der Ausrichtleitung 651a kurzgeschlossen sind. Die Aktivierung-1 ist mit der Gruppe 325 und der Gruppe 326 gekoppelt und entaktiviert die beiden unteren globalen Treiber der Gruppe 325 und die beiden oberen globalen Treiber der Gruppe 326, welche von der Ausrichtleitung 652a kurzgeschlossen sind. Die Aktivierung-2 ist mit der Gruppe 321 und der Gruppe 322 gekoppelt und entaktiviert die unteren drei globalen Treiber der Gruppe 321 und alle sechs globalen Treiber der Gruppe 322, welche von den Ausrichtleitungen 653a und 653b kurzgeschlossen sind. Die Aktivierung 3 ist mit der Gruppe 327 und der Gruppe 328 gekoppelt und entaktiviert den unteren globalen Treiber der Gruppe 327 und die oberen 3 globalen Treiber der Gruppe 328, welche durch die Ausrichtleitung 624a kurzgeschlossen sind. Die Aktivierung-4 ist mit der Gruppe 324 gekoppelt und entaktiviert die oberen vier globalen Treiber der Gruppe 324, welche von der Ausrichtleitung 655a kurzgeschlossen sind. Daher kann der beschriebene Mikroprozessor unabhängig und selektiv die Taktzuführung zu beliebigen Komponenten innerhalb der Mikroprozessoranordnung unterbrechen, um im Mikroprozessor 200 Energie zu sparen.A performance management unit 610 is in the microprocessor arrangement 200 the 6B intended. An activation / deactivation request line 661 is introduced into the energy management unit by the microprocessor. If it is active, this line shows 661 the performance management unit which of the five components 651 - 655 is to be activated or deactivated. There is a flag within the power management unit for each component, and when this flag is pending, the component is provided with a clock signal. If this flag is reset to 0, the component is not supplied with a clock signal. The clock signal is sent over a line 681 also the performance management unit 610 fed. The latter sends an output signal to an activation bus 671 that contains five separate activation lines, one for each component. Each activation line is responsible for coupling the global driver supplying a component with a clock signal. If this component is selected for shutdown, the associated activation line is deactivated to deactivate each global driver. The activation bus 671 is with each of the supply networks for each of the 10 groups 320 - 329 coupled, and a special activation line is coupled to each of the NAND gates of each global driver. Within the activation bus 671 there are five activation lines: activation-0, which is the component block 651 controls; Activation-1, which is the component block 652 controls; Activation-2, which is the component block 653 controls; Activation-3, which is the component block 654 steu ert; and activation-4, which is the component block 655 controls. Activation-0 is to the group 320 managed and deactivated the bottom three global drivers of the group 320 by the alignment management 651a are short-circuited. Activation-1 is with the group 325 and the group 326 coupled and deactivated the two lower global drivers of the group 325 and the top two global drivers in the group 326 from the alignment management 652a are short-circuited. Activation-2 is with the group 321 and the group 322 coupled and deactivated the lower three global drivers of the group 321 and all six global drivers in the group 322 which of the alignment lines 653 and 653b are short-circuited. The activation 3 is with the group 327 and the group 328 coupled and deactivated the group's lower global driver 327 and the group's top 3 global drivers 328 by the alignment management 624a are short-circuited. Activation-4 is with the group 324 coupled and deactivated the top four global drivers of the group 324 from the alignment management 655a are short-circuited. Therefore, the described microprocessor can independently and selectively interrupt the clock feed to any components within the microprocessor arrangement in order in the microprocessor 200 Save energy.

Leistungsmanagementprozeß beim beschriebenen AusführungsbeispielPerformance management process in the described embodiment

Unter Bezugnahme auf 7 wird das erfindungsgemäß zum Leistungsmanagement der einzelnen Komponenten der integrierten Schaltung 200 verwendete Verfahren beschrieben. Das Verfahren gemäß Ablaufdiagramm 700 beginnt im Block 710 und setzt sich fort im Block 715, wo vom Mikroprozessor festgestellt wird, daß eine Leistungsmanagementmaßnahme getroffen werden muß. Diese Anzeige kann auf ein externes Ausgangssignal vom Gesamtsystem 130 zurückgehen oder aus dem Mikroprozessor 200 selbst entstehen. In beiden Fällen wird eine Feststellung getroffen, daß das System oder der Mikroprozessor oder einzelne Komponenten innerhalb des Mikroprozessors 200 in einem Ruhezustand sind und daher abgeschaltet werden sollen. Alternativ kann am Block 715 festgestellt werden, daß der Mikroprozessor und/oder System 130 nach einem Abschaltzustand aktiviert worden ist oder sind und daß daher Komponenten des Mikroprozessors mit dem Taktsignal aktiviert werden sollen. Sobald festgestellt worden ist, daß eine Leistungsmanagementaktion erwünscht ist, stellt der Mikroprozessor am Block 720 fest, welche Komponenten und Komponentenblöcke innerhalb des Mikroprozessors abgeschaltet oder aktiviert werden sollen. Sobald die Komponenten ausgewählt worden sind, wird für jede Komponente ein Flag gesetzt und decodiert ("1" für Aktivierung und "0" für Entaktivierung) und zu einer Aktivierungs/Entaktivierungs-Datenstruktur addiert. Sobald die Aktivierungs/Entaktivierungs-Datenstruktur erzeugt worden ist, werden am Block 730 diese Daten über die Aktivierungs/Entaktivierungs-Leitung 661 zur Leistungsmanagementeinheit 610 als Aktivierungs/Entaktivierungsanforderung übertragen.With reference to 7 this becomes according to the invention for power management of the individual components of the integrated circuit 200 described methods used. The procedure according to the flow chart 700 starts in the block 710 and continues in the block 715 where it is determined by the microprocessor that a performance management measure must be taken. This display can be based on an external output signal from the overall system 130 go back or out of the microprocessor 200 arise themselves. In both cases, a determination is made that the system or microprocessor or individual components within the microprocessor 200 are in an idle state and should therefore be switched off. Alternatively, on the block 715 be found that the microprocessor and / or system 130 has been or are activated after a switch-off state and therefore components of the microprocessor are to be activated with the clock signal. Once it is determined that a performance management action is desired, the microprocessor blocks 720 determines which components and component blocks within the microprocessor should be switched off or activated. Once the components have been selected, a flag is set and decoded for each component ("1" for activation and "0" for deactivation) and added to an activation / deactivation data structure. Once the activation / deactivation data structure has been created, the block 730 this data via the activation / deactivation line 661 to the performance management unit 610 transmitted as an activation / deactivation request.

Die Leistungsmanagementeinheit 610 decodiert dann am Block 740 die Aktivierungs/Entaktivierungs-Anforderungsdatenstruktur, um die Signalpegel auf den Aktivierungsleitungen zu modifizieren, welche mit den globalen Treibern gekoppelt sind. Die Leistungsmanagementeinheit übersetzt den Flagsatz in der Aktivierungs/Entaktivierungs-Datenstruktur in ihrem entsprechenden Komponentenblock und lokalisiert die Aktivierungsleitung oder – leitungen, welche die spezielle Komponente aktiviert und entaktiviert. Sobald die Aktivierungsleitung gefunden ist, wird der geeignete Signalpegel (getaktet von der Leitung 661) auf der Aktivierungsleitung ausgegeben, und zwar in Abhängigkeit von dem Flag-angegebenen Wert. Im Block 750 antworten dann die NAND-Gatter der globalen Treiber, die der modifizierten Aktivierungsleitung zugeordnet sind, auf das Anlegen des Signals. Wenn die Leitung niedrig wird, so erzeugen die der Aktivierungsleitung zugeordneten globalen Treiber kein Taktsignal. Wenn die Leitung auf einen hohen Signalpegel geht, so erzeugen die globalen Treiber ein Taktsignal. Daher wird ein Komponentenblock, der von diesen globalen Zieltreibern sein Taktsignal erhält, bei der Flag-Anzeige entweder abgeschaltet oder angeschaltet. Daher kehrt das Verfahren zum Block 700 für einen anderen Leistungsmanagementzyklus zurück.The performance management unit 610 then decodes on the block 740 the activation / deactivation request data structure to modify the signal levels on the activation lines coupled to the global drivers. The power management unit translates the flag set in the activation / deactivation data structure in its corresponding component block and locates the activation line or lines that activate and deactivate the particular component. As soon as the activation line is found, the appropriate signal level (clocked by the line 661 ) on the activation line, depending on the flag-specified value. In the block 750 The global driver NAND gates associated with the modified activation line then respond to the application of the signal. When the line goes low, the global drivers associated with the activation line do not generate a clock signal. When the line goes high, the global drivers generate a clock signal. Therefore, a component block that receives its clock signal from these global target drivers is either switched off or switched on in the flag display. Therefore, the process returns to the block 700 for another performance management cycle.

9 zeigt besser den Ort der Energieanschlußzellen 350 des Mikroprozessor-Bauelements 200, also die Orte, an denen die Takttreiber bei dem beschriebenen Ausührungsbeispiel angeordnet sind. Wie zu sehen ist, verlaufen die Energieanschlußzellen entlang der Peripherie des Mikroprozessors und bilden eine einheitliche Plattform, auf der das Taktversorgungsnetzwerk nach der Erfindung zugeführt wird. Es ist einzusehen, daß die genaue Anzahl der Energieversorgungszellen für das Wesen der Erfindung unkritisch ist. Wesentlich sind die oben beschriebenen Charakteristiken derartiger Energieversorgungsanschlüsse, die mit Vorteilen von der Erfindung zur RC-Anpassung der Takteingabeleitungen zu den globalen Treibern genutzt werden. 9 better shows the location of the power connection cells 350 of the microprocessor component 200 , ie the locations at which the clock drivers are arranged in the exemplary embodiment described. As can be seen, the power connection cells run along the periphery of the microprocessor and form a uniform platform on which the clock supply network according to the invention is fed. It will be appreciated that the exact number of power cells is not critical to the essence of the invention. Essential are the above-described characteristics of such power supply connections, which are used with advantages by the invention for the RC adaptation of the clock input lines to the global drivers.

Claims (20)

Integrierte Schaltung (200) mit mehreren Schaltungskomponenten (420, 440) und einer mit einer Referenztakteinrichtung gekoppelten Einrichtung zur Versorgung der Schaltungskomponenten mit mehreren synchronen Taktsignalen, die von der Referenztakteinrichtung abgeleitet sind, wobei mehrere Globaltakttreiber (30a...30l) gleichmäßig entlang der Randbereiche des Chips der integrierten Schaltung (200) angeordnet und zur Erzeugung einer Anzahl von synchronen Taktsignalen vorgesehen sind; wobei mehrere Zuführeinrichtungen (31a...31l) jeweils mit einem Globaltakttreiber gekoppelt und so angeordnet sind, daß sie synchrone Taktsignale von den Randbereichen des Chips der integrierten Schaltung zu benachbarten Schaltungskomponenten (420, 425, 430, 435, 440) im Inneren übertragen, und wobei Stromversorgungszellen (350) entlang der Randbereiche der integrierten Schaltung (200) angeordnet sind und räumliche Zonen innerhalb der integrierten Schaltung zur Kopplung der integrierten Schaltungskomponenten (420440) an eine Stromversorgungsquelle bilden und wobei die Globaltakttreiber (30a...30l) innerhalb der Stromversorgungszellen (350) angeordnet sind.Integrated circuit ( 200 ) with several circuit components ( 420 . 440 ) and a device coupled to a reference clock device for supplying the circuit components with a plurality of synchronous clock signals which are derived from the reference clock device, with several global clock drivers ( 30a ... 30l ) evenly along the edge areas of the integrated circuit chip ( 200 ) are arranged and are provided for generating a number of synchronous clock signals; with several feeding devices ( 31a ... 31l ) are each coupled to a global clock driver and are arranged in such a way that they synchronous clock signals from the edge regions of the chip of the integrated circuit to neighboring circuit components ( 420 . 425 . 430 . 435 . 440 ) transmitted inside, and wherein power supply cells ( 350 ) along the edge areas of the integrated circuit ( 200 ) are arranged and spatial zones within the integrated circuit for coupling the integrated circuit components ( 420 - 440 ) to a power supply source and the global clock drivers ( 30a ... 30l ) inside the power supply cells ( 350 ) are arranged. Integrierte Schaltung (200) nach Anspruch 1, dadurch gekennzeichnet, daß die Referenztakteinrichtung eine Taktgeneratorschaltung ist.Integrated circuit ( 200 ) according to claim 1, characterized in that the reference clock device is a clock generator circuit. Integrierte Schaltung nach Anspruch 1 oder 2, dadurch gekennzeichnet, daß sie ein Mikroprozessor ist.Integrated circuit according to claim 1 or 2, characterized characterized that they is a microprocessor. Integrierte Schaltung nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, daß die Globaltakttreiber entlang zweier gegenüberliegender Ränder des Chips (200) angeordnet sind und daß die Zuführeinrichtungen zum Inneren führende Zuführleitungen sind.Integrated circuit according to one of claims 1 to 3, characterized in that the global clock drivers along two opposite edges of the chip ( 200 ) are arranged and that the feed devices are feed lines leading to the inside. Integrierte Schaltung nach einem der Ansprüche 1 bis 4, dadurch gekennzeichnet, daß eine Zwischentreiberstufe mit mehreren Zwischentakttreibern (310...314) zur Versorgung der Globaltakttreiber (30a...30l) mit Taktsignalen vorgesehen ist und daß ein intermediäres Taktversorgungsnetzwerk (320...324) zwischen den Zwischentakttreibern und jeweils eine Gruppe von Globaltakttreibern (30a...30l) zur Versorgung dieser Gruppe angeordnet ist.Integrated circuit according to one of Claims 1 to 4, characterized in that an intermediate driver stage with a plurality of intermediate clock drivers ( 310 ... 314 ) to supply the global clock drivers ( 30a ... 30l ) is provided with clock signals and that an intermediate clock supply network ( 320 ... 324) between the intermediate clock drivers and a group of global clock drivers ( 30a ... 30l ) is arranged to supply this group. Integrierte Schaltung nach Anspruch 5, dadurch gekennzeichnet, daß eine gemeinsame Takttreiberstufe mit einem gemeinsamen Takttreiber (301) vorgesehen ist, die ein von der Referenztakteinrichtung erzeugtes Taktsignal den Zwischentakttreibern (310...314) über ein gemeinsames Taktversorgungsnetzwerk (303, 340...344) zuführt.Integrated circuit according to Claim 5, characterized in that a common clock driver stage with a common clock driver ( 301 ) is provided which a clock signal generated by the reference clock device to the intermediate clock drivers ( 310 ... 314 ) via a common clock supply network ( 303 . 340 ... 344 ) feeds. Integrierte Schaltung nach Anspruch 6, dadurch gekennzeichnet, daß das gemeinsame Taktversorgungsnetzwerk (303, 340...344) und jedes intermediäre Taktversorgungsnetzwerk (320...324) aus mehreren Kopplungsleitungen bestehen, die jeweils im wesentlichen ähnliche Längen und Breiten haben, wobei ihre Widerstände einander angepaßt sind.Integrated circuit according to Claim 6, characterized in that the common clock supply network ( 303 . 340 ... 344 ) and any intermediate clock supply network ( 320 ... 324 ) consist of several coupling lines, each having essentially similar lengths and widths, their resistances being matched to one another. Integrierte Schaltung nach Anspruch 7, dadurch gekennzeichnet, daß das gemeinsame Taktversorgungsnetzwerk im Bereich eines Stromversorgungsrings der integrierten Schaltung angeordnet ist.Integrated circuit according to Claim 7, characterized in that this common clock supply network in the area of a power supply ring the integrated circuit is arranged. Integrierte Schaltung nach Anspruch 7 oder 8, dadurch gekennzeichnet, daß die Kopplungsleitungen des gemeinsamen Taktversorgungsnetzwerks (303, 340...344) und des interme diären Taktversorgungsnetzwerks (361...365, 320...324) in ihren Kapazitäten angepaßt sind.Integrated circuit according to Claim 7 or 8, characterized in that the coupling lines of the common clock supply network ( 303 . 340 ... 344 ) and the interme diary clock supply network ( 361 ... 365 . 320 ... 324 ) are adapted in their capacities. Integrierte Schaltung nach einem der Ansprüche 7 bis 9, dadurch gekennzeichnet, daß die Leitungen des gemeinsamen und des intermediären Taktversorgungsnetzwerks innerhalb des räumlichen Bereichs der Stromversorgungszellen angeordnet sind.Integrated circuit according to one of claims 7 to 9, characterized in that the Lines of the common and the intermediate clock supply network within the spatial Area of the power supply cells are arranged. Integrierte Schaltung nach einem der Ansprüche 1 bis 10, dadurch gekennzeichnet, daß sie als Mikroprozessor-Bauelement ausgebildet ist und daß die den Zuführeinrichtungen (31a...31l) zugeordneten Breitenabmessungen in der Summe 5% einer ersten Gesamtabmessung des Mikroprozessor-Chips nicht übersteigen.Integrated circuit according to one of Claims 1 to 10, characterized in that it is designed as a microprocessor component and that the feed devices ( 31a ... 31l ) assigned width dimensions in total do not exceed 5% of a first overall dimension of the microprocessor chip. Integrierte Schaltung nach Anspruch 4, dadurch gekennzeichnet, daß mehrere Abgleichleitungen (450...455) zur Kopplung ausgewählter Schaltungskomponenten (420, 440) mit ausgewählten Zuführleitungen (31a...31l) vorgesehen sind und daß die Abgleichleitungen im wesentlichen rechtwinklig zu den im wesentlichen parallelen Zuführleitungen angeordnet sind.Integrated circuit according to Claim 4, characterized in that a plurality of adjustment lines ( 450 ... 455 ) for coupling selected circuit components ( 420 . 440 ) with selected supply lines ( 31a ... 31l ) are provided and that the adjustment lines are arranged essentially at right angles to the essentially parallel feed lines. Integrierte Schaltung nach einem der Ansprüche 1 bis 12, dadurch gekennzeichnet, daß die Zuführeinrichtungen (31a...31l) in einer oberen M4-Metallisierungsschicht ausgebildet sind. Integrated circuit according to one of Claims 1 to 12, characterized in that the feed devices ( 31a ... 31l ) are formed in an upper M 4 metallization layer. Verfahren zum Entwerfen einer integrierten Schaltung mit mehreren Schaltungskomponenten und einer mit einer Referenztakteinrichtung gekoppelten Einrichtung zur Versorgung der Schaltungskomponenten mit mehreren synchronen Taktsignalen unter Verwendung mehreren Globaltakttreiber, die mit der Referenztakteinrichtung gekoppelt sind, und mehrerer Taktzuführleitungen, die die synchronen Taktsignale von den Globaltakttreibern zu den Schaltungskomponenten leiten, wobei die Globaltakttreiber gleichmäßig entlang der Randbereiche der integrierten Schaltung angeordnet werden; die Zuführleitungen von den Globaltakttreibern an den Randbereichen der integrierten Schaltung in das Innere zu den Schaltungskomponenten ausgebildet werden; und Stromversorgungszellen entlang der Randbereiche der integrierten Schaltung ausgebildet und die Globaltakttreiber innerhalb der Stromversorgungszellen angeordnet werden. Method for designing an integrated circuit with multiple circuit components and egg A device coupled to a reference clock device for supplying the circuit components with a plurality of synchronous clock signals using a plurality of global clock drivers which are coupled to the reference clock device and a plurality of clock feed lines which conduct the synchronous clock signals from the global clock drivers to the circuit components, the global clock drivers being uniform along the edge regions of the integrated circuit; the feed lines are formed from the global clock drivers at the edge regions of the integrated circuit to the inside of the circuit components; and power supply cells are formed along the edge regions of the integrated circuit and the global clock drivers are arranged within the power supply cells. Verfahren nach Anspruch 14, dadurch gekennzeichnet, daß die Globaltakttreiber in einem gleichmäßigen Muster entlang zweier gegenüberliegender Kanten des Randbereichs der integrierten Schaltung angeordnet werden. A method according to claim 14, characterized in that the Global clock drivers in a uniform pattern along two opposed Edges of the edge region of the integrated circuit are arranged. Verfahren nach Anspruch 14 oder 15 dadurch gekennzeichnet, daß mehrere Zwischentakttreiber, die jeweils eine Gruppe von Globaltakttreibern über ein intermediäres Taktversorgungsnetzwerk versorgen, sowie ein zwischen der Referenztakteinrichtung und den Zwischentakttreibern eingekoppeltes gemeinsames Taktversorgungsnetzwerk im Bereich der Stromversorgungszellen ausgebildet werden. A method according to claim 14 or 15, characterized in that several Intermediate clock drivers, each of which is a group of global clock drivers intermediate Supply clock supply network, as well as one between the reference clock device and a common clock supply network coupled to the intermediate clock drivers be formed in the area of the power supply cells. Verfahren nach Anspruch 16, dadurch gekennzeichnet, daß das gemeinsame Taktversorgungsnetzwerk und jedes der intermediären Taktversorgungsnetzwerke mit Kopplungsleitungen ausgebildet werden, die untereinander gleiche Längen- und Breitenabmessen haben und deren Widerstände einander angepaßt werden.A method according to claim 16, characterized in that this common clock supply network and each of the intermediate clock supply networks be formed with coupling lines that are identical to each other linear and have dimensions and whose resistances are matched to each other. Verfahren nach Anspruch 17, dadurch gekennzeichnet, daß die Kopplungsleitungen des gemeinsamen und der intermediären Taktversorgungsnetzwerke in ihrer Kapazität angepaßt werden.A method according to claim 17, characterized in that the Coupling lines of the common and the intermediate clock supply networks in their capacity customized become. Verfahren nach einem der Ansprüche 14 bis 18 dadurch gekennzeichnet, daß die Zuführleitungen so bemessen werden, daß ihre Breiten in der Summe 5% einer ersten Gesamtdimension des Chips der integrierten Schaltung nicht übersteigen. Method according to one of claims 14 to 18, characterized in that that the supply lines be measured so that their Spread a total of 5% of a first overall dimension of the chip integrated circuit. Verfahren nach einem der Ansprüche 14 bis 18 dadurch gekennzeichnet, daß die Schaltungskomponenten über mehrere Abgleichleitungen jeweils mit mehreren der Zuführleitungen gekoppelt werden und daß die Abgleichleitungen im wesentlichen rechtwinklig zu den im wesentlichen parallel angeordneten Zuführleitungen angeordnet werden.Method according to one of claims 14 to 18, characterized in that that the Circuit components over several adjustment lines each with several of the feed lines be coupled and that the Alignment lines essentially perpendicular to the essentially parallel feed lines to be ordered.
DE4422456A 1993-06-30 1994-06-30 Clock distribution system for a microprocessor Expired - Fee Related DE4422456B4 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE4447848A DE4447848B4 (en) 1993-06-30 1994-06-30 Clock signal distribution and interrupt system for microprocessor integrated circuit device - has number of global drivers uniformly disposed along periphery of integrated circuit and number of feeders for supply clock signals to circuit components of integrated circuit

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/086,044 US5586307A (en) 1993-06-30 1993-06-30 Method and apparatus supplying synchronous clock signals to circuit components
DE4447848A DE4447848B4 (en) 1993-06-30 1994-06-30 Clock signal distribution and interrupt system for microprocessor integrated circuit device - has number of global drivers uniformly disposed along periphery of integrated circuit and number of feeders for supply clock signals to circuit components of integrated circuit
US086044 1998-06-25

Publications (2)

Publication Number Publication Date
DE4422456A1 DE4422456A1 (en) 1995-01-12
DE4422456B4 true DE4422456B4 (en) 2004-07-01

Family

ID=32471300

Family Applications (1)

Application Number Title Priority Date Filing Date
DE4422456A Expired - Fee Related DE4422456B4 (en) 1993-06-30 1994-06-30 Clock distribution system for a microprocessor

Country Status (1)

Country Link
DE (1) DE4422456B4 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0181059A2 (en) * 1984-09-29 1986-05-14 Kabushiki Kaisha Toshiba Semiconductor integrated circuit for clock distribution
EP0335697A2 (en) * 1988-03-29 1989-10-04 Kabushiki Kaisha Toshiba Integrated circuit device comprising interconnection wiring
US5172330A (en) * 1989-02-08 1992-12-15 Kabushiki Kaisha Toshiba Clock buffers arranged in a peripheral region of the logic circuit area

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0181059A2 (en) * 1984-09-29 1986-05-14 Kabushiki Kaisha Toshiba Semiconductor integrated circuit for clock distribution
EP0335697A2 (en) * 1988-03-29 1989-10-04 Kabushiki Kaisha Toshiba Integrated circuit device comprising interconnection wiring
US5172330A (en) * 1989-02-08 1992-12-15 Kabushiki Kaisha Toshiba Clock buffers arranged in a peripheral region of the logic circuit area

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
IBM Techn. Dis. Bull., Vol. 32, No. 32, No. 4B, Sept. 1989, S. 120-122 *

Also Published As

Publication number Publication date
DE4422456A1 (en) 1995-01-12

Similar Documents

Publication Publication Date Title
EP0202456B1 (en) Integrated circuit logic array unit
DE19531962C2 (en) Clock signal distribution circuit
DE60007218T2 (en) FLIP-FLOP CIRCUIT
DE102004063926A1 (en) Configurable driver cell of a logical cell field
DE69811795T2 (en) Integrated circuit for clock signal supply and method for its production
DE102015118002A1 (en) Cell layout of a semiconductor device
DE102018115971A1 (en) Clock control in a semiconductor system
DE2546022A1 (en) MICROPROCESSOR PLATE FOR AN INTEGRATED MOS CIRCUIT
DE102005044333A1 (en) Master-slave flip-flop for use in synchronous circuits and method for reducing current spikes when using master-slave flip-flops in synchronous circuits
DE1269394B (en) Circuit arrangement for determining the priority ratio between several input variables for program-controlled data processing systems
DE112021002870T5 (en) SEMICONDUCTOR CIRCUIT DESIGN AND UNIT PIN ARRANGEMENT
DE2645341C2 (en)
DE4128568A1 (en) MULTILAYER WIRING METHOD FOR MODIFYING THE CHIP FOR A HIGHLY INTEGRATED SEMICONDUCTOR CIRCUIT (LSI)
DE10164606A1 (en) Flip chip semiconductor device for integrated circuit has signal connection surfaces arranged outside energy lead connection surfaces
EP1661048B1 (en) Method for designing integrated circuits comprising replacement logic gates
DE69833720T2 (en) Integrated semiconductor circuit with on-chip capacitors
DE10339787B4 (en) memory module
DE10143967A1 (en) Process for arranging connection elements in function blocks of integrated circuits displaces elements into adjacent compatible assembly regions
DE4422456B4 (en) Clock distribution system for a microprocessor
DE10205559B4 (en) Integrated circuit and method and apparatus for designing an integrated circuit
DE4102718C2 (en) Integrated circuit and method for generating a layout of an integrated circuit
DE4447848B4 (en) Clock signal distribution and interrupt system for microprocessor integrated circuit device - has number of global drivers uniformly disposed along periphery of integrated circuit and number of feeders for supply clock signals to circuit components of integrated circuit
DE4344231C2 (en) Integrated circuit device with bit slice cells
DE102022132689A1 (en) STEDGED TWO-WAY MULTI-CHIP INTERCONNECTION
DE2525690C3 (en) Logical DOT connection circuit in complementary field effect transistor technology

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8172 Supplementary division/partition in:

Ref country code: DE

Ref document number: 4447848

Format of ref document f/p: P

Q171 Divided out to:

Ref country code: DE

Ref document number: 4447848

8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee