DE3435750A1 - Method for achieving constant dimensional accuracy of printed conductors in integrated circuits - Google Patents

Method for achieving constant dimensional accuracy of printed conductors in integrated circuits

Info

Publication number
DE3435750A1
DE3435750A1 DE19843435750 DE3435750A DE3435750A1 DE 3435750 A1 DE3435750 A1 DE 3435750A1 DE 19843435750 DE19843435750 DE 19843435750 DE 3435750 A DE3435750 A DE 3435750A DE 3435750 A1 DE3435750 A1 DE 3435750A1
Authority
DE
Germany
Prior art keywords
reflective layer
photoresist
plasma
adhesion promoter
lacquer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE19843435750
Other languages
German (de)
Inventor
Manfred Dipl.-Phys. Dr. 8000 München Schneegans
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Priority to DE19843435750 priority Critical patent/DE3435750A1/en
Publication of DE3435750A1 publication Critical patent/DE3435750A1/en
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Between electrically conductive material (2) for printed conductors and photoresist (3, 4), an antireflective layer (10), preferably made of amorphous silicon, and conventional adhesion promoter (11) are applied. As a result, reflections (7) and total reflections (8) are avoided on exposure (6). Antireflective layer (10) and adhesion promoter (11) are removed again after developing and removing the exposed photoresist (3) or after removing the unexposed part (4) of the photoresist in a resist plasma. The method can be used even for multilayer metallisation. <IMAGE>

Description

Verfahren zum Erzielen einer konstanten Maßhaltigkeit vonMethod for achieving constant dimensional accuracy of

Leiterbahnen in integrierten Schaltkreisen Die Erfindung betrifft ein Verfahren zum Erzielen einer konstanten Maßhaltigkeit von Leiterbahnen in integrierten Schaltkreisen nach dem Oberbegriff des Patentanspruches 1.Conductor tracks in integrated circuits The invention relates to a method for achieving constant dimensional accuracy of conductor tracks in integrated Circuits according to the preamble of claim 1.

Bei integrierten Schaltkreisen werden bekanntermaßen Punkte einer elektrischen Innenschaltung, die laut Schaltplan an ein gleiches Signal oder Potential gelegt werden sollen, durch Leiterbahnen miteinander verbunden.In integrated circuits, as is known, points become a electrical internal circuit which, according to the circuit diagram, is connected to an identical signal or potential are to be laid, connected to one another by conductor tracks.

Die dabei am meisten verwendeten Leiterbahnmaterialien sind Aluminium, Polysilizium und, in neuerer Zeit, Metallsilizide mit hohen Schmelzpunkten, wie Titan-, Platin-und Wolframsilizide. Diese Materialien werden ganzflächig, beispielsweise mit Hilfe der bekannten Sputter- oder Aufdampftechnologie, auf den integrierten Schaltkreis abgeschieden. Um eine so erhaltene Leiterbahnebene zu einzelnen Leiterbahnen zu strukturieren,wird, wiederum ganzflächig, auf die gesamte Leiterbahnebene ein lichtempfindlicher Fotolack aufgebracht. Dabei hat sich insbesondere das sogenannte "Spin-Coating-Verfahren" in der Praxis durchgesetzt: Eine Halbleiterscheibe ("Wafer") mit den zu fertigenden integrierten Schaltkreisen liegt auf einem rotierenden Teller. Auf den Mittelpunkt der Scheibenoberfläche wird ein Lacktropfen gespritzt. Aufgrund der herrschenden Zentrifugalkräfte verteilt dieser sich gleichmäßig auf die gesamte Scheibe Nach Belichten mit einer Lichtquelle einer geeigneten Wellenlänge (z. B. t = 436 nm) durch eine sog. Fotomaske läßt sich der Fotolack in den belichteten Bereichen entwickeln und wieder entfernen. Es bleibt also Fotolack nur an den nicht belichteten Stellen der Scheibe zurück. Unter diesen Stellen sollen die Leiterbahnen entstehen.The most commonly used conductor track materials are aluminum, Polysilicon and, more recently, high melting point metal silicides such as Titanium, platinum and tungsten silicides. These materials are all-over, for example with the help of the well-known sputtering or vapor deposition technology, on the integrated Circuit deposited. Around a conductor track level obtained in this way to individual conductor tracks to structure is, again over the whole area, on the entire conductor track level light-sensitive photoresist applied. In particular, the so-called "Spin-coating process" implemented in practice: a semiconductor wafer with the integrated circuits to be manufactured lies on a rotating plate. A drop of paint is sprayed onto the center of the pane surface. Because of the prevailing centrifugal forces this is distributed evenly over the entire Disc After exposure to a light source of a suitable wavelength (e.g. t = 436 nm) through a so-called photomask, the photoresist can be exposed in the exposed Areas develop and remove again. So it remains photoresist back only in the unexposed areas of the pane. Under these places should the conductor tracks are created.

Anders ausgedrückt: An den belichteten Stellen muß das bereits aufgebrachte Material der Leiterbahnebene wieder entfernt werden (z. B. durch Ätzen), womit an den nicht belichteten Stellen die Leiterbahnen übrigbleiben.In other words: what has already been applied must be applied to the exposed areas Material of the conductor track level can be removed again (e.g. by etching), which means that the conductor tracks remain in the unexposed areas.

Die meisten der als Material für die Leiterbahnebene verwendeten Stoffe besitzen eine reflektierende Oberfläche. An dieser reflektierenden Oberfläche wird beim Belichten das Licht teilweise reflektiert. Weist nun, wie in Fig. 1 als Stand der Technik gezeigt, die Leiterbahnebene in der Umgebung der nicht zu belichtenden Teile des Fotolackes Erhebungen auf, beeispielsweise in Form von Wellen, so trifft das reflektierte Licht 7, teilweise unter Bildung von Totalreflexion 8 an der Lackoberfläche, seitlich aufeineti unterhalb maskierender Teile 5 der Fotomaske 12 liegenden Bereich des Fotolackes 3 auf, der aufgrund der Maskengeometrie nicht belichtet werden soll. Innerhalb des von der Belichtung auszunehmenden Bereiches (dargestellt durch das Maß a) des Fotolackes, der ja die Breite der künftigen Leiterbahn festgelegt, wird also zuviel Fotolack belichtet (Differenz zwischen den Maßen a und x). Dies ergibt zu schmale Leiterbahnen. Außerdem zeigen spätere Untersuchungen Einschnürungen und Schwankungen an den Leiterbahnen in ihrer Bahnbreite.Most of the substances used as the material for the conductor track layer have a reflective surface. On this reflective surface is when exposed, the light is partially reflected. Now shows how in Fig. 1 as a stand the technology shown, the conductor track level in the vicinity of the not to be exposed Parts of the photoresist have bumps, for example in the form of waves, so it meets the reflected light 7, partially with the formation of total reflection 8 on the paint surface, laterally on one below masking parts 5 of the photomask 12 area of the photoresist 3, which should not be exposed due to the mask geometry. Within the area to be excluded from exposure (represented by the Dimension a) of the photoresist, which determines the width of the future conductor track, is so too much photoresist exposed (difference between the dimensions a and x). This gives Conductor tracks that are too narrow. In addition, later examinations show constrictions and Fluctuations in the conductor tracks in their track width.

Bisher versucht man, diesen Nachteil durch kürzere Belichtungszeiten zu kompensieren. Darauf nimmt zwar die Bahnbreite der Leiterbahnen zu, die Einschnürungen und Schwankungen bleiben jedoch. Es tritt dabei jedoch noch ein weiterer, negativer Effekt zusätzlich auf: Durch kürzere Belichtungszeiten verursacht, bleiben immer wieder Lackbereiche unterbelichtet. Aufgrund der Maskierwirkung, die.solche Lackbereiche beim Entfernen des nicht benötigten Leiterbahnmaterials (Ätzen) entfalten, entstehen damit elektrische Kurzschlüsse zwischen benachbarten Leiterbahnen, was insbesondere bei höchstintegrierten Schaltkreisen, wie z. B. bei modernen MOS-Speichern zu Ausbeuteverlusten und Qualitätseinbußen (Langzeitausfälle!) führt.So far, attempts have been made to overcome this disadvantage by using shorter exposure times to compensate. Then the track width of the conductor tracks, the constrictions, increases and fluctuations remain, however. There is, however, another, more negative one Additional effect on: Caused by shorter exposure times, lacquer areas remain underexposed again and again. Due to the masking effect that.such Unfold lacquer areas when removing the conductor track material that is not required (etching), this creates electrical short circuits between adjacent conductor tracks, what especially with highly integrated circuits such. B. in modern MOS memories leads to losses in yield and quality (long-term failures!).

Aufgabe der vorliegenden Erfindung ist es deshalb, ein Verfahren zu schaffen, das das Einhalten einer konstanten Maßhaltigkeit von Leiterbahnen in integrierten Schaltkreisen bei normaler, unverlängerter Belichtungszeit ermöglicht, womit die beschriebenen Nachteile nicht auftreten können.The object of the present invention is therefore to provide a method create that maintaining a constant dimensional accuracy of conductor tracks in integrated Circuits with normal, unextended exposure time enabled, with which the disadvantages described cannot occur.

Diese Aufgabe wird bei einem Verfahren der eingangs genannten Art durch die Merkmale des kennzeichnenden Teiles des Patentanspruches 1 gelöst.This task is performed in a method of the type mentioned at the beginning solved by the features of the characterizing part of claim 1.

Vorteilhafte Weiterbildungen des erfindungsgemäßen Verfahrens sind in Unteransprüchen gekennzeichnet.Advantageous further developments of the method according to the invention are characterized in subclaims.

Im folgenden wird die Erfindung anhand von Fig. 2 erläutert, wobei die Fig. 2 einen Ausschnitt aus einem nach dem vorteilhaften Verfahren zu fertigenden integrierten Schaltkreis im Querschnitt zu einem Zeitpunkt während des Belichtens des Fotolackes darstellt.In the following the invention is explained with reference to FIG. 2, wherein FIG. 2 shows a section of a process to be manufactured according to the advantageous method integrated circuit in cross section at a time during exposure of the photoresist.

Wie aus Fig. 2 ersichtlich, wird auf einen isolierenden Untergrund 1, beispielsweise Polyimid oder Siliziumnitrid enthaltend, einer Halbleiterscheibe elektrisch leitendes Material 2 für künftige Leiterbahnen ganzflächig abgeschieden. Der isolierende Untergrund 1 kann dabei, wie in Fig. 2 angedeutet, stufig oder wellig beschaffen sein.As can be seen from Fig. 2, is on an insulating surface 1, for example containing polyimide or silicon nitride, a semiconductor wafer Electrically conductive material 2 deposited over the entire surface for future conductor tracks. The insulating substrate 1 can, as indicated in FIG. 2, be stepped or wavy be made.

Als elektrisch leitendes Material 2 können u. a. Aluminium, Polysilizium oder hochschmelzende Metallsilizide wie Titan-, Platin-, Wolfram- oder Molybdänsilizide verwendet werden.As the electrically conductive material 2, inter alia. Aluminum, polysilicon or high-melting metal silicides such as titanium, platinum, tungsten or molybdenum silicides be used.

Erfindungsgemäß wird dann auf dieses elektrisch leitende Material 2, ebenfalls ganzflächig, eine Antireflexschicht 10 aufgebracht. Am geeignetsten dafür hat sich amorphes Silizium erwiesen. Es kann beispielsweise in reinem SiH4-Plasma abgeschieden werden. Eine weitere Möglichkeit des Aufbringens stellt Sputtern mit Hilfe eines Si-Targets dar. Die Dicke der Antireflexschicht 10 sollte mindestens S nm betragen. Als optimal haben sich beim Abscheiden aus SiH4-Plasma 20 nm und beim Sputtern mit Hilfe des Si-Targets 8 nm erwiesen. Die Farbe einer solchen Antireflexschicht 10 ist goldgelb. Die Dicke der Antireflexschicht 10 und die Art des Aufbringens beeinflussen deren Reflexionsgrad. Die Wellenlänge des verwendeten Lichtes sollte auf einen Minimalwert des Reflexionsgrades abgestimmt sein und umgekehrt.According to the invention, this electrically conductive material is then applied 2, also over the entire surface, an anti-reflective layer 10 is applied. Most appropriate this is what amorphous silicon has proven to be. For example, it can be in pure SiH4 plasma to be deposited. Another possibility of application is sputtering Using a Si target. The thickness of the anti-reflective layer 10 should be at least S nm. When depositing from SiH4 plasma, 20 nm and proved to be 8 nm during sputtering with the aid of the Si target. The color of such an anti-reflective coating 10 is golden yellow. The thickness of the anti-reflective layer 10 and the type of application affect their reflectance. The wavelength of the light used should be be matched to a minimum value of the reflectance and vice versa.

Anschließend an die Antireflexschicht 10 wird eine dünne Schicht Haftvermittler 11 aufgetragen. Die Technik des Auftragens ist bekannt, als besonders geeignet hat sich handelsüblicher HMDS-Haftvermittler erwiesen. An diese Schritte schließt sich übliches, ganzflächiges Belacken der Halbleiterscheibe an. Die am meisten verbreite Methode dazu stellt das sog. "Spin-Coating" dar. Es wurde vorstehend bereits beschrieben. Daraufhin wird über eine übliche Fotomaske 12 die Halbleiterscheibe mit dem Fotolack 3,4, der Antireflexschicht 10 und dem elektrisch leitenden Material 2 mit Licht 6 einer geeigneten Wellenlänge belichtet.Subsequent to the anti-reflective layer 10 is a thin layer of adhesion promoter 11 applied. The technique of application is known to be particularly suitable commercially available HMDS adhesion promoters. This is followed by these steps customary, full-area coating of the semiconductor wafer. The most common The method for this is what is known as "spin coating". It has already been described above. The semiconductor wafer is then covered with the photoresist via a conventional photomask 12 3, 4, the anti-reflective layer 10 and the electrically conductive material 2 with light 6 exposed at a suitable wavelength.

Diese Wellenlänge ist dabei unter anderem auf den verwendeten Fotolack 3,4 abgestimmt. Ein häufig verwendeter Wert ist il = 436 nm.This wavelength depends, among other things, on the photoresist used 3.4 voted. A frequently used value is il = 436 nm.

Wie vorstehend beschrieben und in Fig. 1 als Stand der Technik gezeigt, würde ohne Verwendung der Antireflexschicht 10 (und damit auch ohne den Haftvermittler 11) an den Stufen und Wellen des elektrisch leitenden Materials 2 das einfallende Licht 6 so reflektiert, daß es entweder in einfacher Reflexion 7 oder über Totalreflexion 8 auch Teile des Fotolackes 3 belichten würde, die in Richtung der Lichtstrahlen 6 betrachtet, unterhalb maskierender Teile 5 der Fotomaske 12 liegen und demzufolge nicht belichtet werden sollen. Daraus ergäbe sich ein unbelichteter Teil 4 des Fotolackes, der schmaler als geplant (Maß x ist kleiner als Maskenmaß a, Soll: a = x) und vor allem unregelmäßig ist. Aufgrund der vorteilhafterweise aufgebrachten Antireflexschicht 10 werden jedoch die Lichtstrahlen 6 an der Grenzfläche dieser Schicht 10 zu dem Fotolack 3 interferometrisch ausgelöscht oder zumindest so stark geschwächt (c 5 % Reflexivität), daß sie nicht mehr den sich unterhalb des maskierenden Teiles 5 der Fotomaske 12 befindlichen Teil 4 des Fotolackes belichten können. In Fig. 2 drückt sich das so aus, daß das Maß x, das die Breite des unbelichteten Teiles 4 des Fotolackes und damit eine gewünschte Breite von zu strukturierenden Leiterbahnen aus dem elektrisch leitenden Material 2 darstellt, gleich ist dem Maß a, Welches das zugehörige "Soll-Maß" darstellt (Maß a = Breite maskierender Teile 5 der Fotomaske 12).As described above and shown in Fig. 1 as prior art, would without the use of the anti-reflective layer 10 (and thus also without the adhesion promoter 11) on the steps and waves of the electrically conductive material 2 the incident light 6 reflected so that it is either in simple reflection 7 or would also expose parts of the photoresist 3 via total reflection 8, which in the direction of the light beams 6 viewed, below masking parts 5 of the photomask 12 and should therefore not be exposed. This would result in an unexposed one Part 4 of the photoresist that is narrower than planned (dimension x is smaller than mask dimension a, Soll: a = x) and above all is irregular. Because of the advantageous applied antireflection layer 10, however, the light rays 6 at the interface this layer 10 to the photoresist 3 interferometrically deleted or at least so much weakened (c 5% reflexivity) that they are no longer located below of the masking part 5 of the photomask 12 exposed part 4 of the photoresist can. In Fig. 2 this is expressed in such a way that the dimension x, which is the width of the unexposed Part 4 of the photoresist and thus a desired width of to be structured Representing conductor tracks made of the electrically conductive material 2 is equal to the dimension a, which represents the corresponding "nominal dimension" (dimension a = width of masking parts 5 of the photo mask 12).

Jetzt wird der belichtete Fotolack 3 entwickelt und entfernt. Entsprechende Verfahrensschritte dazu sind bekannt.The exposed photoresist 3 is now developed and removed. Appropriate Process steps for this are known.

Daraufhin wird der Teil der Antireflexschicht 10 und des Haftvermittlers 11 entfernt, der sich unterhalb des bereits entfernten Fotolackes 3 befindet, d. h. der zuvor beim Belichten mit dem Licht beaufschlagt wurde. Bei Verwendung von amorphem Silizium kann dies innerhalb eines Lack-Plasmas geschehen. Das Lack-Plasma kann dabei überwiegend OF4> mit 4 % Sauerstoff 02 angereichert, enthalten, Es ist jedoch auch möglich, statt dessen SF6 zu verwenden.Then the part of the anti-reflective layer 10 and the adhesion promoter 11 removed, which is located below the already removed photoresist 3, d. H. which was previously exposed to light during exposure. When using With amorphous silicon, this can be done within a lacquer plasma. The lacquer plasma may contain mainly OF4> enriched with 4% oxygen 02, Es however, it is also possible to use SF6 instead.

Jetzt wird, mit üblichen Mitteln, das elektrisch leitende Material 2 zu Leiterbahnen strukturiert. Aufgrund des geschilderten, vorteilhaften Verfahrens sind diese frei von Einschnürungen und ungewollten Schwankungen in ihrer Breite.Now, by usual means, the electrically conductive material becomes 2 structured to form conductor tracks. Because of the described, advantageous These are free from constrictions and unwanted fluctuations in their process Broad.

Nach dem Strukturieren wird der unbelichtete Teil 4 des Photolackes entfernt. Verfahrensschritte dazu sind bekannt.After structuring, the unexposed part 4 becomes the photoresist removed. Process steps for this are known.

Unter diesem, unbelichteten Teil 4 des Fotolackes befindliche Teile des Haftvermittlers 11 und der Antireflexschicht 10 werden jetzt, wie oben beschrieben, entfernt.Parts located under this unexposed part 4 of the photoresist the adhesion promoter 11 and the anti-reflective layer 10 are now, as described above, removed.

Daraufhin werden weitere, bekannte Verfahrensschritte angewandt, bis der gewünschte integrierte Schaltkreis fertiggestellt ist.Then further, known method steps are used, to the desired integrated circuit is complete.

Sollen dabei Leiterbahnen vermittels weiterer Ebenen von elektrisch leitendem Material 2 (Mehrlagenverdrahtungstechnik!) hergestellt werden, so liegt es auch im Bereiche der Erfindung, auch tei diesen Ebenen das oben beschriebene vorteilhafte Verfahren anzuwenden.Are supposed to be conducting tracks by means of further levels of electrical conductive material 2 (multilayer wiring technology!) are produced, so lies it is also within the scope of the invention, including those described above, for these levels to apply advantageous procedures.

17 Patentansprüche 2 Figuren - - Leerseite -17 claims 2 figures - - blank page -

Claims (17)

Patentansprüche #Verfahren zum Erzielen einer konstanten Maßhaltigkeit von Leiterbahnen in integrierten Schaltkreisen, wobei elektrisch leitendes Material (2) für aufzutragende, künftige Leiterbahnen ganzflächig auf einen isolierenden Untergrund (1), der aufgrund von vorangegangenen Strukturierungsmåßnahmen im allgemeinen stufig bis wellig beschaffen ist, aufgebracht wird, gekennzeiChnet durch folgende Schritte: a) Aufbringen einer Antireflexschicht (10) auf das ganzflächig aufgetragene Material (2) der künftigen Leiterbahnen, b) Auftragen eines Haftvermittlers (lt), c) Auftragen von Fotolack (3, 4), d) Belichten (6), Entwickeln und Entfernen des entwickelten Fotolackes (3), e) Entfernen der Antireflexschicht (10) und des Haftvermittleres (11) im Bereich des entfernten Fotolackes (3), f) Strukturieren des elektrisch leitenden Materials (2) zu den gewünschten Leiterbahnen, g) Entfernen des verbliebenen Fotolackes (4), h) Entfernen der verbliebenen Antireflexschicht (10) und des verbliebenen Haftvermittlers (ei). Patent claims #Method for achieving constant dimensional accuracy of conductor tracks in integrated circuits, whereby electrically conductive material (2) for future conductor tracks to be applied over the entire surface of an insulating one Subsurface (1), which due to previous structuring measures in general graded to wavy, is applied, identified by the following Steps: a) Applying an anti-reflective layer (10) to the whole area Material (2) of the future conductor tracks, b) application of an adhesion promoter (lt), c) applying photoresist (3, 4), d) exposing (6), developing and removing the developed photoresist (3), e) removing the anti-reflective layer (10) and the adhesion promoter (11) in the area of the removed photoresist (3), f) structuring the electrically conductive one Materials (2) for the desired conductor tracks, g) removal of the remaining photoresist (4), h) Remove the remaining anti-reflective layer (10) and the remaining adhesion promoter (egg). 2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß als elektrisch leitendes Material(2) Aluminium verwendet wird. 2. The method according to claim 1, characterized in that as electrical conductive material (2) aluminum is used. 3. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß als elektrisch leitendes Material (2) Metallsilizide verwendet werden. 3. The method according to claim 1, characterized in that as electrical conductive material (2) metal silicides can be used. 4. Verfahren nach Anspruch 1, d a d u r c h g e k e n n z e i c h n e t , daß als elektrisch leitendes Material (2) Polysilizium verwendet wird.4. The method of claim 1, d a d u r c h g e k e n n z e i c h n e t that polysilicon is used as the electrically conductive material (2). 5. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß als Antireflexschicht (10) amorphes Silizium verwendet wird.5. The method according to any one of the preceding claims, characterized in, that amorphous silicon is used as the anti-reflective layer (10). 6. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Antireflexschicht (10) aus reinem SiH4-Plasma abgeschieden wird.6. The method according to any one of the preceding claims, characterized in, that the anti-reflective layer (10) is deposited from pure SiH4 plasma. 7. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Antireflexschicht (10) mit Hilfe eines Si-Targets aufgesputtert wird.7. The method according to any one of the preceding claims, characterized in, that the anti-reflective layer (10) is sputtered with the aid of a Si target. 8. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Antireflexschicht (10) mindestens in einer Dicke von 10 nm, vorzugsweise einer solchen von 20 nm, aufgetragen wird.8. The method according to any one of the preceding claims, characterized in, that the anti-reflective layer (10) at least in a thickness of 10 nm, preferably one of 20 nm. 9. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß bei Abscheiden aus reinem SiH4-Plasma die Dicke der Antireflexschicht (10) 20 nm beträgt.9. The method according to any one of the preceding claims, characterized in, that with deposition from pure SiH4 plasma the thickness of the anti-reflective layer (10) 20 nm. 10. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß bei Aufsputtern mit Hilfe eines Si-Targets die Dicke der Antireflexschicht (10) 8 nm beträgt.10. The method according to any one of the preceding claims, characterized in, that when sputtering with the help of a Si target, the thickness of the anti-reflective layer (10) 8 nm. 11. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß als Haftvermittler (11) üblicher HMDS-Haftvermittler verwendet wird.11. The method according to any one of the preceding claims, characterized in, that conventional HMDS adhesion promoter is used as the adhesion promoter (11). 12. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß die Antireflexschicht (10) innerhalb eines Lackplasmas entfernt wird.12. The method according to any one of the preceding claims, characterized in, that the anti-reflective layer (10) is removed within a lacquer plasma. 13. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß das Lackplasma CF4 plus 4 % 02 enthält.13. The method according to any one of the preceding claims, characterized in that that the lacquer plasma contains CF4 plus 4% 02. 14. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß das Lackplasma aus CF4 plus 4 % 02 besteht.14. The method according to any one of the preceding claims, characterized in, that the lacquer plasma consists of CF4 plus 4% 02. 15. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß das Lackplasma SF6 enthält.15. The method according to any one of the preceding claims, characterized in that that the lacquer plasma contains SF6. 16. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß das Lackplasma aus SF6 besteht.16. The method according to any one of the preceding claims, characterized in that that the lacquer plasma consists of SF6. 17. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, daß es bei integrierten Schaltkreisen mit Mehrlagenverdrahtung bei mehreren bis allen Leiterbahnebenen angewandt wird.17. The method according to any one of the preceding claims, characterized in that that in the case of integrated circuits with multilayer wiring for several to is applied to all conductor track levels.
DE19843435750 1984-09-28 1984-09-28 Method for achieving constant dimensional accuracy of printed conductors in integrated circuits Ceased DE3435750A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE19843435750 DE3435750A1 (en) 1984-09-28 1984-09-28 Method for achieving constant dimensional accuracy of printed conductors in integrated circuits

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE19843435750 DE3435750A1 (en) 1984-09-28 1984-09-28 Method for achieving constant dimensional accuracy of printed conductors in integrated circuits

Publications (1)

Publication Number Publication Date
DE3435750A1 true DE3435750A1 (en) 1986-04-10

Family

ID=6246680

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19843435750 Ceased DE3435750A1 (en) 1984-09-28 1984-09-28 Method for achieving constant dimensional accuracy of printed conductors in integrated circuits

Country Status (1)

Country Link
DE (1) DE3435750A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0257255A2 (en) * 1986-08-25 1988-03-02 International Business Machines Corporation Photoresist process for reactive ion etching of metal patterns for semiconductor devices
DE3930655A1 (en) * 1988-09-13 1990-03-22 Mitsubishi Electric Corp Semiconductor module with laminated coupling layer - has coupling section, extending over insulating film on semiconductor substrate main surface
DE3930639A1 (en) * 1988-09-14 1990-05-17 Mitsubishi Electric Corp SEMICONDUCTOR STORAGE DEVICE
EP0379120A2 (en) * 1989-01-23 1990-07-25 Siemens Aktiengesellschaft Process for the structuration of a photoresist layer by optical lithography in the production of integrated circuits on a substrate
EP0379604A1 (en) * 1989-01-23 1990-08-01 Siemens Aktiengesellschaft Process for fabrication of a silicon nitride layer as an anti-reflection layer in photolithography processes during the manufacture of high density semiconductor circuits
EP0501178A1 (en) * 1991-02-25 1992-09-02 International Business Machines Corporation Bilayer metallization cap for photolithography
WO1996017376A1 (en) * 1994-11-29 1996-06-06 Advanced Micro Devices, Inc. Structure and method for exposing photoresist
DE19852852A1 (en) * 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographic process used in emitter structuring of bipolar transistors comprises forming photo-lacquer layer on antireflection layer on substrate and etching
WO2004073049A2 (en) * 2003-02-07 2004-08-26 Asml Holdings B.V. Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3022748A1 (en) * 1979-06-18 1981-01-22 Hitachi Ltd PHOTOGRAPHY

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3022748A1 (en) * 1979-06-18 1981-01-22 Hitachi Ltd PHOTOGRAPHY

Non-Patent Citations (8)

* Cited by examiner, † Cited by third party
Title
Barry, J.A. et.al.: TMSDEA as an adhesion promotorfor photoresists. In: IBM TDB, Bd 23, Nr. 10, März 1981, S. 4484 *
Bollinger, D. et.al.: Reactiv Ion Etching: In Basis and Future. In: Solid State Technology, Jni 1984, S. 167-173 *
Kazmerski, L.L: Polycristalline and Amorphous ThinFilms and Devices Academic Press, New York 1980 *
Light, R.W. and Bell, H.B.: Profile Control of Polysilicon lines with an SF?6?/O?2? Plasma Etch Process. In: Journal of the Electrochem. Soc.: Solid-State Science and Technology, July 1983, S. 1563-1571
Marks, R.F. et al.: Laser RIE Process for Etching of Organic Materials. In: IBM TDB, Bd. 27, Nr. 1B, Juni 1984, S. 783 *
S.P. Murarka: Refractory silicides for integrated circuits. In: J. Vac. Sci. Technol, 17(4), Jul/Aug1980, S. 775-791 *
Turban, G. et.al.: Dry Etching of Polyimide in O¶2¶-CF¶4¶ and O¶2¶-SF¶6¶ Plasmas. In: J. Electrochem. Soc.: Solid State Science and Technology, Nov. 1983, S. 2231-2236 *
Winkler, U.: VLSI Polysilicon Etching. In: Solid State Technology, April 1983, S. 169-172 *

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0257255A3 (en) * 1986-08-25 1990-09-26 International Business Machines Corporation Photoresist process for reactive ion etching of metal patterns for semiconductor devices
EP0257255A2 (en) * 1986-08-25 1988-03-02 International Business Machines Corporation Photoresist process for reactive ion etching of metal patterns for semiconductor devices
DE3930655A1 (en) * 1988-09-13 1990-03-22 Mitsubishi Electric Corp Semiconductor module with laminated coupling layer - has coupling section, extending over insulating film on semiconductor substrate main surface
DE3930639A1 (en) * 1988-09-14 1990-05-17 Mitsubishi Electric Corp SEMICONDUCTOR STORAGE DEVICE
US5153689A (en) * 1988-09-14 1992-10-06 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device having bit lines formed of an interconnecting layer of lower reflectance material than the material of the word lines
EP0379604A1 (en) * 1989-01-23 1990-08-01 Siemens Aktiengesellschaft Process for fabrication of a silicon nitride layer as an anti-reflection layer in photolithography processes during the manufacture of high density semiconductor circuits
EP0379120A3 (en) * 1989-01-23 1990-11-07 Siemens Aktiengesellschaft Process for the structuration of a photoresist layer by optical lithography in the production of integrated circuits on a substrate
EP0379120A2 (en) * 1989-01-23 1990-07-25 Siemens Aktiengesellschaft Process for the structuration of a photoresist layer by optical lithography in the production of integrated circuits on a substrate
EP0501178A1 (en) * 1991-02-25 1992-09-02 International Business Machines Corporation Bilayer metallization cap for photolithography
US5219788A (en) * 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
WO1996017376A1 (en) * 1994-11-29 1996-06-06 Advanced Micro Devices, Inc. Structure and method for exposing photoresist
US5626967A (en) * 1994-11-29 1997-05-06 Advanced Micro Devices, Inc. Structure and method for exposing photoresist
US5854132A (en) * 1994-11-29 1998-12-29 Advanced Micro Devices, Inc. Method for exposing photoresist
DE19852852A1 (en) * 1998-11-11 2000-05-18 Inst Halbleiterphysik Gmbh Lithographic process used in emitter structuring of bipolar transistors comprises forming photo-lacquer layer on antireflection layer on substrate and etching
WO2004073049A2 (en) * 2003-02-07 2004-08-26 Asml Holdings B.V. Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment
WO2004073049A3 (en) * 2003-02-07 2004-11-04 Asml Holdings B V Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment
CN100490063C (en) * 2003-02-07 2009-05-20 Asml控股股份有限公司 Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment

Similar Documents

Publication Publication Date Title
DE19525745B4 (en) Method of forming a cover pattern
DE3782683T2 (en) METHOD FOR PRODUCING A THIN FILM TRANSISTOR.
DE2448535C2 (en) Process for depositing thin conductive films on an inorganic substrate
DE4231312C2 (en) Anti-reflective layer and method for the lithographic structuring of a layer
EP0008359A2 (en) Process for making a thin-film structure
DE2754396C2 (en)
DE3130122C2 (en)
DE1597803A1 (en) Photo mask for exposing selected parts of a light-sensitive layer
DE4318688C2 (en) Manufacturing process for a field effect transistor
DE2723944C2 (en) Method for producing an arrangement from a structured layer and a pattern
EP0654817A1 (en) Process for fabrication of a matrix of thin film transistors
DE19808990A1 (en) Thin film transistor and manufacturing process therefor
DD206607A1 (en) METHOD AND DEVICE FOR ELIMINATING INTERFERENCE EFFECTS
EP0057254B1 (en) Method of producing extremely fine features
DE2722557A1 (en) METHOD OF APPLYING METALIZATION PATTERNS ON A SEMICONDUCTOR SUBSTRATE
DE2709986A1 (en) METHOD OF PRODUCING COPLANAR LAYERS FROM THIN FILMS
DE4138999C2 (en) Exposure method for the manufacture of a semiconductor device
DE2636971C2 (en) Method for producing an insulating layer with a flat surface on an uneven surface of a substrate
DE4102422A1 (en) METHOD FOR PRODUCING A LADDER STRUCTURE OF A SEMICONDUCTOR DEVICE ARRANGED IN MULTIPLE LEVELS
DE2746778A1 (en) PROCESS FOR MANUFACTURING MULTI-LAYER CABLE SYSTEMS FOR INTEGRATED SEMICONDUCTOR ARRANGEMENTS
DE3820421C2 (en)
DE3435750A1 (en) Method for achieving constant dimensional accuracy of printed conductors in integrated circuits
DE19721310C2 (en) Method of repairing a semiconductor memory
DE3019851A1 (en) METHOD FOR PRODUCING A LITHOGRAPHY MASK AND MASK PRODUCED BY SUCH A METHOD
EP0121869A1 (en) Method to prevent short circuits or shunts on a large-surface thin-layer solar cell

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8125 Change of the main classification

Ipc: H01L 21/90

8131 Rejection