DE2911976A1 - STRUCTURE FOR LIGHT PROTECTION LAYERS, ESPECIALLY SUITABLE FOR PHOTOLITHOGRAPHIC SUPPORT OF PARALLEL METAL STRIPS ON A BASE SUBSTRATE AND METHOD FOR REALIZING THEM - Google Patents

STRUCTURE FOR LIGHT PROTECTION LAYERS, ESPECIALLY SUITABLE FOR PHOTOLITHOGRAPHIC SUPPORT OF PARALLEL METAL STRIPS ON A BASE SUBSTRATE AND METHOD FOR REALIZING THEM

Info

Publication number
DE2911976A1
DE2911976A1 DE19792911976 DE2911976A DE2911976A1 DE 2911976 A1 DE2911976 A1 DE 2911976A1 DE 19792911976 DE19792911976 DE 19792911976 DE 2911976 A DE2911976 A DE 2911976A DE 2911976 A1 DE2911976 A1 DE 2911976A1
Authority
DE
Germany
Prior art keywords
photoresist
photolithographic
dipl
base substrate
aromatic solvent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE19792911976
Other languages
German (de)
Inventor
Giampiero Donzelli
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CISE Centro Informazioni Studi e Esperienze SpA
Original Assignee
CISE Centro Informazioni Studi e Esperienze SpA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by CISE Centro Informazioni Studi e Esperienze SpA filed Critical CISE Centro Informazioni Studi e Esperienze SpA
Publication of DE2911976A1 publication Critical patent/DE2911976A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66848Unipolar field-effect transistors with a Schottky gate, i.e. MESFET
    • H01L29/66856Unipolar field-effect transistors with a Schottky gate, i.e. MESFET with an active layer made of a group 13/15 material
    • H01L29/66863Lateral single gate transistors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28575Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds
    • H01L21/28587Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds characterised by the sectional shape, e.g. T, inverted T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

DIPL -ING. WILHELM STELLRECHT M.Sc. *»DIPL -ING. WILHELM LEGAL LAW M.Sc. * »

DIPL -PHYS. DB. DIETER GRiESSBACH ODIPL -PHYS. DB. DIETER GRiESSBACH O

DIPL.-PHYS. WALTER HAECKER
DIPL.-PHYS. DR- ULRiCH BÖHME
DIPL.-PHYS. WALTER HAECKER
DIPL.-PHYS. DR- ULRiCH BÖHME

PATENTANWÄLTE
UHLANDSTR. 14c - 7000 STUTTGART 1
PATENT LAWYERS
UHLANDSTR. 14c - 7000 STUTTGART 1

Anmelder: CISE Centro Informazioni Studi Esperienze S.p.A. MAILAND, ItalienApplicant: CISE Centro Informazioni Studi Esperienze S.p.A. MILAN, Italy

"Struktur fuer Lichtschutzschichten, besonders geeignet fuer photolithographische Auflage paralleler metallischer Streifen auf ein Basis-Substrat und Verfahren zu deren Verwirklichung" "Structure for light protection layers, particularly suitable for photolithographic overlay of parallel metallic strips on a basic substrate and method for its realization "

BESCHREIBUNGDESCRIPTION

Die vorliegende Erfindung hat eine neue Struktur fuer Licht— schutzschichten zum Gegenstand, die besonders geeignet ist fuer die photolithographische Auflage paralleler metallischer Streifen auf ein Basis-Substrat, ferner das Verfahren zu deren Verwirklichung. The present invention has a new structure for light- protective layers to the object, which is particularly suitable for the photolithographic application of parallel metallic strips on a base substrate, furthermore the method for their realization.

909883/0583909883/0583

Im Nachstehenden wird der Kuerze wegen fuer Lichtschutzschichten der englische Ausdruck "Photoresist" gebraucht.In the following, the abbreviation is used for light protection layers the English term "photoresist" is used.

Die Verwendung von Photoresist (Lichtwiderstandsmaterial) bei photolithographischen Methoden verschiedener Art ist bekannt und gebraeuchlich, insbesondere bei Anwendungen auf optischem und auf mikroelektronischem Gebiet, die das Auflegen von parallelen metallischen Streifen auf ein Basis—Substrat vorsehen. The use of photoresist (light resistance material) in various types of photolithographic methods is known and in use, particularly in optical and microelectronic applications that involve the application of provide parallel metallic strips on a base substrate.

Sollen parallele Streifen eines einzigen Metalls erhalten werden, wie es der Fall ist bei optischen Gittern, wird auf das Basis-Substrat eine Photoresist-Schicht aufgebracht, von der dann durch Schablonierung, photographischen Druck und Entwicklung soviele parallele Flaechenstuecke abgetragen werden, als metallische Streifen auf das Substrat aufzulegen sind. Durch die besagten abgetragenen Flaechenstuecke wird das Metall nachher auf dem Substrat niedergeschlagen, waehrend das auf den verbliebenen Teilen von Photoresist niedergeschlagene schliesslich zusammen mit eben diesem Photoresist durch bekannte Techniken wie die sogenannte "Lift-off"-Technik (Loesen mit einem Loesungsmittel) abgetragen wird.If parallel strips of a single metal are to be obtained, as is the case with optical gratings, then on A photoresist layer is applied to the base substrate, of which is then applied by stenciling, photographic printing and development as many parallel areas are removed, are to be placed on the substrate as metallic strips. The metal becomes through the ablated pieces of surface afterwards deposited on the substrate, while the deposited on the remaining parts of photoresist finally together with this photoresist by known techniques such as the so-called "lift-off" technique (loosening with a Solvent) is removed.

Wird hingegen gewuenscht, dass die besagten metallischen Streifen mit anderen eines verschiedenen Metalls abwechseln, wie im Fall elektronischer Zubehoerteile wie beispielsweise Schottky-Dioden mit Planargeometrie und Transistoren mit Feldeffekt (MESFET), wird die Photoresist-Schicht nicht mehr unmittelbar auf das Basis-Substrat aufgebracht, sondern auf eine Zwischenschicht des besagten verschiedenen Metalls, die dannIf, on the other hand, you want the said metallic strips to alternate with others of a different metal, as in the case of electronic accessories such as Schottky diodes with planar geometry and transistors with field effect (MESFET), the photoresist layer is no longer applied directly to the base substrate, but to a Intermediate layer of said different metal, which then

909883/0583909883/0583

chemisch angegriffen und in den Bereichen abgetragen wird, die den abgetragenen Photoresistbereichen entsprechen. chemically attacked and removed in the areas corresponding to the removed photoresist areas.

In beiden Faellen bestehen Grenzen fuer die Abmessungen und Abstaende der Streifen, die von den Schablonen und den dazugehoerigen Ausruestungen abhaengen und nur auf Kosten betraecht-Iieher Komplizierungen der Strukturen und Erhoehung der Kosten reduziei'bar sind.In both cases there are limits to the dimensions and spacing of the strips, which depend on the templates and the associated equipment and can only be reduced at the expense of considerable complications in the structures and increased costs .

Ferner bestehen Probleme beim Aneinanderreihen von StnsLfen verschiedener Metalle. Furthermore, there are problems when lining up stems of different metals.

Aufgabe der vorliegenden Erfindung ist es, eine Photoresist-Rest struktur zu schaffen, d.h. also nach Abtragung paralleler Bereiche, die es gestatte, die Abmessungen und die Abstaende der Metallstreifen bei sonst gleicher Schablonierung und verwendeter Ausruestung betraechtlich herabzusetzen. The object of the present invention is to create a photoresist residual structure , ie after removing parallel areas that allow the dimensions and spacing of the metal strips to be reduced considerably with otherwise the same stencil and equipment.

Erfindungegemaess ist dieses Ziel nunmehr erreicht worden vermittelst einer Photoresist-Struktur, die dadurch gekennzeich net ist, dass sie mindestens einen Restsektor mit T-foermigem Querschnitt umfasst.Erfindungegemae s s is this goal has now been achieved by means of a photoresist pattern, which is characterized net gekennzeich that it comprises at least one residual sector with T-shaped cross section.

Ferner wird erfindungsgemaess diese Photoresist-Struktur mit einem Verfahren erhalten, welches dadurch gekennzeichnet ist, dass es das Eintauchen einer Photoresist—Schicht in ein Bad eines aromatischen organischen Loesungsmittels nach einer anfaenglichen Phase der Photoschablonierung und von einer Endphase der Entwicklung bei kontrollierter Zeit vorsieht. Es konnte in der Tat experimentell festgestellt werden, dass die Entwicklung eines/Aer Photoschablonierung unterworfenen und dann in ein Bad eines aromatischen organischen Loesungs— Furthermore, according to the invention, this photoresist structure is obtained by a method which is characterized in that it provides for the immersion of a photoresist layer in a bath of an aromatic organic solvent after an initial phase of photosenclosing and a final phase of development at a controlled time. In fact, it has been found experimentally that the development of an aerosolite is subjected to photo- stenciling and then immersed in a bath of an aromatic organic solution-

909883/0583909883/0583

-Jr--Jr-

mittels (wie Toluol, Chlorbenzol oder Benzol) eingetauchten Photoresist die Formgebung des Photoresist in Restsektoren mit T-Querschnitt veranlasst, deren Querabmessungen mit dem Wachsen der Entwicklungszeit abnehmen. Durch zweckmaessige Ueberwachung der Entwicklungszeit, und nicht nur der Belichtungszeit und der Eintauchzeit, hat sich so die Moeglichkeit ergeben, dem Photoresist die gewollten Querabmessungen zu verleihen, und insbesondere dieselben unter die sonst durch die verwendete Schablonierung bestimmten zu verringern, beispielsweise 0,7 Mikron fuer den vertikalen Ast und 1,2 Mikron fuer den horizontalen Ast gegen 3 Mikron Breite der betreffenden ZEiIe der Schablone. Es folgt daraus die Moeglichkeit viel schmalere Metallstreifen unterhalb der T-Sektoren des Photoresist zu erzielen sowie viel kleinere Abstaende zwischen den an den anliegenden leeren Stellen desselben Photoresist aufgebrachten Metallstreifen zu erzielen.by means of (such as toluene, chlorobenzene or benzene) dipped photoresist the shaping of the photoresist in remaining sectors with T-shaped cross-section, the transverse dimensions of which decrease as the development time increases. Through appropriate monitoring the development time, and not just the exposure time and the immersion time, the possibility has arisen to the To give photoresist the desired transverse dimensions, and in particular the same among those otherwise used by the Reduce stenciling, for example 0.7 microns for the vertical branch and 1.2 microns for the horizontal branch Branch about 3 microns wide of the line in question Template. This makes it possible to achieve much narrower metal strips below the T-sectors of the photoresist as well as much smaller gaps between the photoresist applied to the adjacent empty areas of the same photoresist To achieve metal strips.

Die Verwendungen des erfxndungsgemaessen Photoresist koen— nen zahlreiche sein. Insbesondere hat es sich bereits in der Optik und in der Mikroelektronik bei Erstellung folgender Strukturen als aeusserst nuetzlich erwiesen:The uses of the photoresist according to the invention may nen be numerous. In particular, it has already been used in optics and in microelectronics when creating the following Structures proven to be extremely useful:

1, Raster bzw. Gitter von Metallstreifen von bis zu 0,5 Mikron Breite mit Abstand untereinander gleich deren Breite, gemaess einer parallelen und periodischen auf isolierende Substrata aufgebrachten Struktur. Diese Strukturen koennen fuer optische Raster bzw. Gitter und Filter fuer Oberflaechenwellen benutzt werden.1, grid or grid of metal strips of up to 0.5 Micron width with distance between each other equal to their width, according to a parallel and periodic on insulating substrates applied structure. These structures can be used for optical grids or grids and filters for surface waves to be used.

2. Strukturen bestehend aus einem Metallstreifen A (beispielsweise Aluminium) mit Breite bis zu 0,5 Mikron, der auf2. Structures consisting of a metal strip A (for example Aluminum) with width up to 0.5 microns, which on

9098 8 3/05839098 8 3/0583

einem Halbleitermaterial (beispielsweise Galliumarsenid) aufgebracht ist und durch. Abstaende von bis zu 0,5 Mikron beiderseits von mit vom ersteren verschiedenen Metallen B metallisierten Bereichen getrennt ist. Diese Strukturen werden benutzt um elektronische Zubehoerteile zu bauen wie Schottky-Dioden mit planarer Geometrie und Transistoren mit Feld-Effekt, sogenannte MESFET.a semiconductor material (for example gallium arsenide) applied is and through. Distances of up to 0.5 microns on either side of metallized with metals B different from the former Areas is separated. These structures are used to build electronic accessories such as Schottky diodes with planar geometry and transistors with field effect, so-called MESFET.

3. MOS-Strukturen (Metall-Isolierstoff-Halbleiter), gekennzeichnet durch einen Metallstreifen mit Breite bis 0,5 Mikron aufgebracht auf eine duenne Schicht aus Isolierstoff (beispielsweise Kieselsaeure bzw. Siliziumoxyd), die ihrerseits auf Silizium aufgebracht ist. In der unterhalb des Metallstreifens (beispielsweise des Aluminiumstreifens) befindlichen Zone ist das Silizium von der p-Type, waehrend ausserhalb eine Oberflaeche entgegengesetzter Dotierung (n+—Type) vorhanden ist. Diese Struktur ist typisch fuer die MOS-Transistoren mit η-Kanal. Eine analoge Struktur kann fuer MOS mit p-Kanal verwirklicht werden.3. MOS structures (metal-insulating-material-semiconductors), marked applied by a metal strip up to 0.5 microns wide on a thin layer of insulating material (for example silica or silicon oxide), which in turn is applied to silicon. In the one below the metal strip (for example of the aluminum strip) located zone is the silicon of the p-type, while outside a surface of opposite doping (n + type) is present. This structure is typical for MOS transistors with η-channel. An analog structure can be implemented for MOS with p-channel.

Die aus der Verwendung des erfindungsgemaessen Photoresist zum Erzielen der oben genannten Strukturen mit photolithographischer Methode sich ergehenden Hauptvorteile sind folgende :The result of the use of the photoresist according to the invention to achieve the above-mentioned structures with photolithographic The main advantages of this method are as follows:

a) Diese Verwendung weist Merkmale selbsttaetiger Ausrichtung auf und gestattet daher selbsttaetig, ohne Notwendigkeit optischer oder mechanischer oder elektronischer Ausrichtung, den Metallstreifen A zwischen den beiden Metallbereichen B im Fall der Herstellung von Strukturen fuer elektronische Be-a) This usage has features of self-alignment and therefore allows automatically, without the need for optical, mechanical or electronic alignment, the metal strip A between the two metal areas B in the case of the production of structures for electronic loading

909883/0583909883/0583

standteile wie die im obigen Absatz 2 beschriebene einzustellen. components such as those described in paragraph 2 above.

b) Diese Verwendung gestattet es, duenne Abmessungen zu erzielen sei es, was die Breite der Metallstreifen der in den vorangehenden Absaetzen 1, 2 und 3 beschriebenen Strukturen betrifft, sei es, was die Zwischenraeume zwischen den verschiedenen Metallen der im Absatz 2 beschriebenen Struktur betrifft,b) This use makes it possible to obtain thin dimensions, whatever the width of the metal strips in the the structures described in paragraphs 1, 2 and 3 above concerns, be it with regard to the spaces between the different Concerns metals of the structure described in paragraph 2,

c) Es sind keine besonders duennen Geometrieen erforderlich: so gestatten beispielsweise 3 Mikron breite Linien auf der photolithographischen Schablone die Verwirklichung von Geometrieen der Groessenordnung von 0,5 Mikron.c) No particularly thin geometries are required: for example, 3 micron wide lines allow the photolithographic stencil enables the realization of geometries on the order of 0.5 microns.

d) Die Verringerung um genau einen Faktor 2 in der Teilung des Rasters bzw. Gitters, von dem im Absatz 1 die Rede ist, in Bezug auf die Teilung der urspruenglichen Schablone.d) The reduction by exactly a factor of 2 in the division of the grid or grid referred to in paragraph 1, in relation to the pitch of the original template.

e) Das Herstellungsverfahren mit einen hohen Wirkungsgrad, ist in hohem Grad reproduzierbar bzw. Wiederholbar und erfordert nicht den Einsatz hochqualifizierten und spezialisierten Personals.e) The manufacturing process with a high degree of efficiency is reproducible or repeatable to a high degree and requires not use highly skilled and specialized Staff.

Die Merkmale und Vorteile der vorliegenden Erfindung werden nachstehend unter Bezugnahme auf die nur beispielhaft gemeinten beigefuegten Zeichnungen, naeher erlaeutert; diese Zeihnungen haben I5 Figuren., wie folgt:The features and advantages of the present invention are hereinafter referred to with reference to those which are meant to be exemplary only attached drawings, explained in more detail; these assignments have I5 figures., as follows:

Die Figuren 1 bis 3 zeigen aufeinanderfolgende Arbeitsgaenge des Verfahrens zur Herstellung eines Photoresist gemaess Erfindung;Figures 1 to 3 show successive operations the method for producing a photoresist according to the invention;

Figur h zeigt durch Diagramme das Gesetz der Aenderung dor Abmessungen des Photoresist gemaess Erfindung in Abhaengig—Figure h shows by diagrams the law of the change in the dimensions of the photoresist according to the invention depending on

909883/0583909883/0583

keit von der Entwicklungszeit jfrom the development time j

die Figuren 5 bis 7 zeigen aufeinanderfolgende Arbeitsgaonge eines Verfahrens zur Herstellung von Rastern bzw. Gittern mit parallelen Metallstreifen, das ein Photoresist gemaess vorliegender Erfindung benutzt;FIGS. 5 to 7 show successive working gaongs a method for the production of grids or grids with parallel metal strips, which a photoresist according to used in the present invention;

die Figuren 8 bis 11 zeigen aufeinanderfolgende Arbeitsgaonge eines Verfahrens zur Herstellung, eines elektronischen Bauteils nach Art einer planaren Schottky-Diode bzw. eines MESFET-Transis tors, der ein Photoresist gemaess vorliegender Erfindung b enutζ t;FIGS. 8 to 11 show successive working gaongs a method for the production of an electronic component in the manner of a planar Schottky diode or a MESFET transistor, which is a photoresist according to the present Invention b enutζ t;

die Figuren 12 bis 15 zeigen aufeinanderfolgende Arboitsgaenge eines Verfahrens zur Herstellung eines MOS-Struktur, dio ein Photoresist gemaess vorliegender Erfindung benutzt.Figures 12 to 15 show successive operations a method of manufacturing a MOS structure using a photoresist according to the present invention.

Vie in den Figuren 1 bis 3 gezeigt, sieht das erflndungsgemaesse Verfahren allgemein gesehen die Aufbringung auf ein Basis-Substrat 11, einer Photoresist-Schicht 12 vor (Figur 1), die in der Folge beeindruckt wird ueber eine photοlithographische Schablone 13 (Figur 2), die fuer die geschuetzten und nicht geschuetzten Bereiche des Photoresist, Ik bzw. 15» verschiedene physikalische Bedingungen schafft. Letzteres wird dann in ein Bad von Toluol (ersetzbar durch Chlorbenzol oder Benzol oder durch ein anderes organisches aromatisches Loesungsmittel) auf eine Dauer getaucht, die a.bhaengig ist von der Staerke der Photoresistschicht (3 bis 10 Minuten bei einer Sfcaerke von etwa 1 Mikron). Dies bedingt eine weitere physikalische Differenzierung zwischen den Bereichen 14 und I5 des Photoresist, wobei anzunehmen ist, dass der Widerstand gegen As shown in FIGS. 1 to 3, the method according to the invention generally provides for application to a base substrate 11, a photoresist layer 12 (FIG. 1), which is subsequently impressed via a photolithographic stencil 13 (FIG. 2) which creates different physical conditions for the protected and unprotected areas of the photoresist, Ik or 15 ». The latter is then immersed in a bath of toluene (replaceable by chlorobenzene or benzene or by another organic aromatic solvent) for a duration that depends on the thickness of the photoresist layer (3 to 10 minutes with a thickness of about 1 micron) . This requires a further physical differentiation between the areas 14 and I5 of the photoresist, it being assumed that the resistance to

909883/0583909883/0583

ίοίο

die Entwicklung der geschuetzteti Zone 14 in staerkerem Maasze erhoeht wird in dem Teil, welcher der Angriffsfront des Loesungsmittel naelier steht uad in geringerem Maasz in dem weiter abliegenden Teil. Wenn es soweit ist, ist es durch Kontrolle der Entwicklungszeit moeglich, einen Restsektor 16 zu erhalten, der T-foermig profiliert ist mit Abmessungen, die verschieden sein koennen aber auf jeden Fall geringer sind als die urspruenglichen der geschuetzten Zone 14 (Figur 3). In Figur 4 ist die Entwicklungszeit Ln Minuten als Abszisse aufgetragen und sind die Abmessungen des Rest—Sektors 16 in Mikron als Ordinate aufgetragen, und sind die Aenderungskurven (a, b) der Breite des horizontalen Astes TJ bzw. des vertikalen Astes 18 des Rest-Sektors 16 dargestellt, fuer eine Eintauchzeit von 7 Minuten. ¥ie in derselben Figur 4, ist es moeglich, von einer urspruenglichen Dimension von 3 mikron, abhaengig von der Streifung der Schablone 13» auf eine Breite von 0,7 his 1,2 Mikron herunterzugehen, jeweils fuer die Breiten der beiden Aeste 18 und I7 des Restsektors 16 des Photoresist. the development of the protected zone 14 is increased to a greater extent in the part which is near the attack front of the solvent and to a lesser extent in the part further away. When the time comes, by checking the development time, it is possible to obtain a residual sector 16 which is T-shaped with dimensions that can be different but are in any case smaller than the original of the protected zone 14 (Figure 3). In FIG. 4, the development time Ln minutes is plotted as the abscissa and the dimensions of the residual sector 16 in microns are plotted as the ordinate, and the change curves (a, b) of the width of the horizontal branch TJ and of the vertical branch 18 of the residual sector are shown. Sector 16 shown for an immersion time of 7 minutes. ¥ ie in the same Figure 4, it is possible to go down from an original dimension of 3 microns, depending on the stripes of the template 13 », to a width of 0.7 to 1.2 microns, for the widths of the two branches 18 and I7 of the remaining sector 16 of the photoresist.

Das beschriebene Photoresist mit einem Rest-Sektor oder mit Rest-Sektoren 16 in T-Form kontrollierbarer Breite, ebenso wie das betreffende Herstellungsverfahren, ist zahlreichen Anwendungen zugaenglich, im allgemeinen fuer die Durchfuehrung photolithographischer Methoden zum Erzieleα von Strukturen mit parallelen metallischen Streifen.The photoresist described with a residual sector or with residual sectors 16 in T-shape controllable width, as well as the manufacturing process in question is open to numerous applications, generally for the implementation of photolithographic processes Methods for achieving structures with parallel metallic strips.

In den Figuren 5 bis 7 sind beispielsweise die verschiedenen Phasen eines Verfahrens zur Herstellung eines optischenIn FIGS. 5 to 7, for example, the various Phases of a process for making an optical

909883/0583909883/0583

Gitters gezeigt, das eben die Verwendung einer erfindungsgemaessen Photoresist—Struktur vorsieht. Dieses Verfahren sieht vor, dass auf einem Substrat 21 mit dem bereits beschriebenen Verfaliren eine geeignete Anzahl von T—Sektoren IG erzielt werde. Durch geneigte (Pfeile 22 und 23) Verdampfungen des gewuenschten Metalls (24) gelangt man dann, zur Situation der Figur 6 u.id schliesslich werden das Phoboresist und die darueber befindliche Metallisierung mittels an sich bekannter Techniken abgetragen, beispielsweise durch Lossea mit einem Loesungsmittel ("Lift-Off"). Es bleibt so auf dem Substrat 21 eine Reihe paralleler Metallstreifen 24, deren Abstand voneinander stark reduziert ist dank der ¥irkung der erfolgten Ausnutzung der '!•-Gestalt des Photoresist.Grid shown, which just provides the use of an inventive photoresist structure. This method provides for a suitable number of T sectors IG to be achieved on a substrate 21 using the method already described. By inclined (arrows 22 and 23) evaporation of the desired metal (24) one arrives at the situation in FIGS. "Lift-Off"). A row of parallel metal strips 24 remains on the substrate 21, the spacing of which is greatly reduced thanks to the effect of the utilization of the shape of the photoresist.

In den Figuren 8 bis 11 sind hingegen die verschiedenen Phasen eines Verfahrens zur Herstellvuig eitles elektronischen 3auteils gezeigt, beispielsweise einer planaren Schottky-Diode oder eines Transistors mit Feld-Effekt (MESFST), in dem ein Photoresist MLt zentralem T-foermigem Rest-Sektor 16 und seitlichen Rest-Sektoren 3I mit dem erfindjiigsgemaessen Verfahren erzielt wird auf einer Aluminiumschicht 32, deren Staerke in der Groessenordnung des Mikron liegt, aufgebracht durch Verdampfen im Vakuum auf einem Substrat 33 von GaAs, auf dem vorher eine aktive Halbleiterschicht 34 (Figuren 8 und 9) aufgelegt wurde. Das Aluminium 32 wird an den leeren Stellen des Photoresist abgetragen^ indem eine chemische Loesung benutzt wurde, die die darunterbefindliche Halbleiterschicht 34 nicht angreift (80 % H PO, bei 50°c), und an deren Stelle (und ueberIn the figures 8 to 11, however, the different phases of a method for manufacturing electronic components are shown, for example a planar Schottky diode or a transistor with field effect (MESFST) in which a photoresist MLt in the central T-shaped residual sector 16 and lateral residual sectors 3I is achieved with the method according to the invention on an aluminum layer 32, the thickness of which is in the order of magnitude of the micron, applied by evaporation in a vacuum on a substrate 33 of GaAs, on which an active semiconductor layer 34 (FIGS. 8 and 9 ) was launched. The aluminum 32 is removed from the empty areas of the photoresist by using a chemical solution that does not attack the semiconductor layer 34 underneath (80 % H PO, at 50 ° C.), and in its place (and over

90388 3/0 58390388 3/0 583

-yr--yr-

dem Photoresist) werden (Figur 1θ) Metallstreifen 35 (Ni-AuGe) verdampft, die dazu bestimmt sind die Ohm'sehen Kontakte abzugeben; die r-Struktur des zentralen Sektors 16 des Photoresist sichert die Ausrichtung des mittleren Aluminiumstreifens 32 unterhalb des zentralen Sektors selbst mit den beiden seitlichen Streifen aus verschiedenem Metall 35· Das Photoresist und die darueber befindliche Metallisierung werden dann mit an sich bekannten Techniken abgetragen, beispielsweise mittels Loesens mit einem Loesungsmittel ("Lift-Off")· Schliesslich werden die seitlichen Aliminiumreste abgetragen, die sich ausserhalb der aktiven Bereiche befinden, wodurch die fluide Anordnung der Figur 11 erhalten wird.the photoresist) (Figure 1θ) metal strips 35 (Ni-AuGe) vaporizes, which are intended to deliver the ohmic contacts; the r-structure of the central sector 16 of the photoresist ensures the alignment of the central aluminum strip 32 below the central sector itself with the two side strips of different metal 35 · The photoresist and the metallization located above it is then removed using techniques known per se, for example by means of Loesens with a solvent ("lift-off") · Finally the lateral aluminum residues, which are located outside the active areas, are removed, whereby the fluid Arrangement of Figure 11 is obtained.

Schliesslich sind in den Figuren 12 bis 15 die verschiedenen Arbeitsgaenge eines Verfahrens gezeigt, das die Phοtore— sist-Struktur und das Verfahren gemaess Erfindung fuer die Herstellung einer Silizium-MOS-Struktur benutzt. Und zwar wird ausgegangen von der in Figur 12 schematisierten Struktur, das heisst mit einem Substrat der Type ρ 41, Bereichen der Type n+ 42 und einer Siliziumoxydschicht 43, erzielbar durch ein normales Verfahren zur Herstellung der MOS, wird eine Aluminiumschicht 44 aufgetragen, auf der mit dem erfindungsgemaessen Verfahren eine Photoresist-Struktur gebildet wird, die aus einem zentralen T-foermigen Sektor 16 und aus seitlichen Sektoren 45 besteht (Figur I3)· Wenn es sowiet ist, wird das Aluminium von den den Durchbrechungen des Photoresist entsprechenden Bereichen abgetragen (Figur 14) und es wird zur Implantierung von Dotierungsdonoren geschritten, um eine n+-Schicht 46 inFinally, in FIGS. 12 to 15, the various Working steps of a process shown, which the photo- sist structure and the method according to the invention used for the production of a silicon MOS structure. And indeed will proceeded from the structure schematized in FIG. 12, that is to say with a substrate of the type ρ 41, areas of the type n + 42 and a silicon oxide layer 43, obtainable by a normal process for the production of the MOS, an aluminum layer 44 is applied, on which with the invention Method a photoresist structure is formed from a central T-shaped sector 16 and lateral sectors 45 (Figure I3). When it is, the aluminum is removed from the areas corresponding to the perforations in the photoresist (FIG. 14) and it becomes implantation stepped by doping donors to form an n + layer 46 in

909883/0583909883/0583

den nicht von den Aluminiumstreifen 44 schablonierten Bereichen zu erzielen, wodurch nach Abtragen des Photoresist die Endan— Ordnung gemaess Figur \$ erhalten wird.To achieve the non stencilled of the aluminum strip 44 areas, whereby, after removal of the photoresist, the end stop PROPERLY figure \ $ is obtained.

909883/0583909883/0583

Claims (5)

291197ζ291197ζ Da-ING. WILLY HÖGERDa-ING. WILLY HÖGER DIPL-ING. WILHELM STELLRECHT M SeDIPL-ING. WILHELM LEGAL RIGHT M Se DIPL-PHYS. DR. DGTEH QA1ESSBACH'DIPL-PHYS. DR. DGTEH QA 1 ESSBACH ' DIPL-PHYS. WALTER HAECKERDIPL-PHYS. WALTER HAECKER DIPL.-PHYS. DR. ULRICH BÖHMEDIPL.-PHYS. DR. ULRICH BÖHME PATENTANWÄLTE UHLANDSTR. 14c - 7000 STUTTGART 1PATENTANWÄLTE UHLANDSTR. 14c - 7000 STUTTGART 1 Anmelder: CISE Centro Informazioni Studi Esperienze S.p.A. MAILAND, ItalienApplicant: CISE Centro Informazioni Studi Esperienze S.p.A. MILAN, Italy "Struktur fuer Lichtschutzschichten, besonders geeignet fuer photolithographische Auflage paralleler metallischer Streifen auf ein Basis-Substrat und Verfahren zu deren Verwirklichung" "Structure for light protection layers, particularly suitable for photolithographic deposition of parallel metallic strips on a base substrate and process for their realization " PATENTANSPRUECHE PATENT VI A Zur photolithographischen Aufbringung von parallelen metallischen Streifen auf ein Basis-Substrat besonders geeignete Photoresist-Struktur, dadurch gekennzeichnet, dass sie mindestens einen Restsektor mit Querschnitt in T-Gestalt umfasst. VI A A photoresist structure which is particularly suitable for the photolithographic application of parallel metallic strips to a base substrate, characterized in that it comprises at least one residual sector with a T-shape cross-section. 2, Verfahren zur Herstellung der Photoresiststruktur nach An-2, method for producing the photoresist structure after an 909883/0583909883/0583 -Z--Z- spruch. 1, dadurch gekennzeichnet, dass vorgesehen ist, eine Photoresistschicht in ein Bad aus organischem aromatischem Loesungsmittel zu tauchen nach einer anfaenglichen Phase der Pho— toschablonxerung und von einer Endphase der Entwicklung bei kontrollierter Temperatur.saying. 1, characterized in that it is provided a Layer of photoresist in an organic aromatic solvent bath to dive in after an initial phase of photographic stenciling and a final phase of development controlled temperature. 3. Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass das besagte organische aromatische Loesungsmittel aus Toluol besteht. 3. The method according to claim 2, characterized in that the said organic aromatic solvent consists of toluene. k. Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass das besagte organische aromatische Loesungsmittel aus Chlorbenzol besteht. k. A method according to claim 2, characterized in that said organic aromatic solvent consists of chlorobenzene. 5. Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass das besagte organische aromatische Loesungsmittel aus Benzol besteht. 5. The method according to claim 2, characterized in that said organic aromatic solvent consists of benzene. 909883/0583909883/0583
DE19792911976 1978-06-26 1979-03-27 STRUCTURE FOR LIGHT PROTECTION LAYERS, ESPECIALLY SUITABLE FOR PHOTOLITHOGRAPHIC SUPPORT OF PARALLEL METAL STRIPS ON A BASE SUBSTRATE AND METHOD FOR REALIZING THEM Withdrawn DE2911976A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
IT24955/78A IT1096042B (en) 1978-06-26 1978-06-26 STRUCTURE OF PHOTORESIST PARTICULARLY SUITABLE FOR THE PHOTOLITHOGRAPHIC DEPOSITION OF PARALLEL METAL STRIPS ON A BASIC SUBSTRATE AND PROCEDURE FOR ITS REALIZATION

Publications (1)

Publication Number Publication Date
DE2911976A1 true DE2911976A1 (en) 1980-01-17

Family

ID=11215250

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19792911976 Withdrawn DE2911976A1 (en) 1978-06-26 1979-03-27 STRUCTURE FOR LIGHT PROTECTION LAYERS, ESPECIALLY SUITABLE FOR PHOTOLITHOGRAPHIC SUPPORT OF PARALLEL METAL STRIPS ON A BASE SUBSTRATE AND METHOD FOR REALIZING THEM

Country Status (4)

Country Link
DE (1) DE2911976A1 (en)
FR (1) FR2432727A1 (en)
GB (1) GB2023857B (en)
IT (1) IT1096042B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3138761A1 (en) * 1981-09-29 1983-04-14 Siemens AG, 1000 Berlin und 8000 München Method for producing overlap-weighted interdigital structures
GB8331158D0 (en) * 1983-11-22 1983-12-29 British Telecomm Metal/semiconductor deposition
US4525448A (en) * 1984-01-06 1985-06-25 International Telephone And Telegraph Corporation Method of fabricating sub-half-micron-size gates on semiconductor substrates
JPH0279437A (en) * 1988-09-14 1990-03-20 Mitsubishi Electric Corp Manufacture of semiconductor device
US7189751B2 (en) 2001-06-25 2007-03-13 Nippon Soda Co., Ltd. Oxa(thia)zolidine compounds, process for preparation thereof and anti-inflammatory agents

Also Published As

Publication number Publication date
IT7824955A0 (en) 1978-06-26
GB2023857B (en) 1982-09-15
GB2023857A (en) 1980-01-03
IT1096042B (en) 1985-08-17
FR2432727A1 (en) 1980-02-29

Similar Documents

Publication Publication Date Title
DE2424338C2 (en) Process for applying patterns of thin films to a substrate
DE10246827A1 (en) Mask frame assembly
DE2511925A1 (en) PROCESS FOR MANUFACTURING A VARIETY OF SEMICONDUCTOR COMPONENTS
DE2342538A1 (en) METAL MASK UMBRELLA FOR SCREEN PRINTING
EP0769196A1 (en) Micromechanical component and process for producing the same
DE19947604A1 (en) Screen printing device
EP0222738A2 (en) Process for the production of a transmission mask
DE2723465A1 (en) MASK FOR APPLYING A PATTERN TO A SUBSTRATE
DE872065C (en) Process for the production of sheets provided with deformable foils, for television sets and the like. like
DE2425464A1 (en) METHOD FOR MANUFACTURING THIN-FILM APERTURE PANELS FOR BODY BLASTING DEVICES
DE2911976A1 (en) STRUCTURE FOR LIGHT PROTECTION LAYERS, ESPECIALLY SUITABLE FOR PHOTOLITHOGRAPHIC SUPPORT OF PARALLEL METAL STRIPS ON A BASE SUBSTRATE AND METHOD FOR REALIZING THEM
DE3631804C2 (en)
DE2739530A1 (en) METHOD FOR FORMATION OF INDIVIDUAL PHOTODETECTOR ELEMENTS ON A SUBSTRATE AND A PHOTODETECTOR ARRANGEMENT PRODUCED BY THIS METHOD
DE2730358B2 (en) Process for the successive deposition of monocrystalline layers on a substrate according to liquid phase shift epitaxy
DE102010049312A1 (en) Process for the preparation of a conversion plate and conversion plate
DE2944576A1 (en) METHOD FOR PRODUCING A HOLE SHIELD, ABOUT FOR AN ELECTRON BEAM EXPOSURE DEVICE
DE10131225B4 (en) Contact element of components suitable for surface mounting and method for attaching solder to these contact elements
DE2446781A1 (en) PROCESS FOR THE ETCHING OF PERFORMANCE IN A METAL STRIP, IN PARTICULAR FOR THE PRODUCTION OF PUNCHING MASKS FOR TUBES OF COLOR TVS
DE1602001B2 (en) Method of manufacturing semiconductor elements
DE102019135208B4 (en) Printing unit, printing device and method for parallel extrusion of printing medium onto a substrate
WO2012136512A2 (en) Method for producing a screen printing mold and solar cell produced therewith
EP4223437B1 (en) Method and device for additive production of a component
DE2015841A1 (en) Process for the production of metal layers on a base body
DE102019135499B3 (en) Release element, release unit and method for releasing a semiconductor layer from a substrate
DE69214527T2 (en) Printing device for manufacturing liquid crystal devices

Legal Events

Date Code Title Description
OD Request for examination
8130 Withdrawal