DE102020116106A1 - SEMICONDUCTOR DEVICES AND MANUFACTURING METHODS - Google Patents

SEMICONDUCTOR DEVICES AND MANUFACTURING METHODS Download PDF

Info

Publication number
DE102020116106A1
DE102020116106A1 DE102020116106.9A DE102020116106A DE102020116106A1 DE 102020116106 A1 DE102020116106 A1 DE 102020116106A1 DE 102020116106 A DE102020116106 A DE 102020116106A DE 102020116106 A1 DE102020116106 A1 DE 102020116106A1
Authority
DE
Germany
Prior art keywords
redistribution structure
ipd
integrated passive
layer
vias
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102020116106.9A
Other languages
German (de)
Other versions
DE102020116106B4 (en
Inventor
Shin-puu Jeng
Po-Yao Chuang
Shuo-Mao Chen
Feng-Cheng Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/900,174 external-priority patent/US20210159182A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020116106A1 publication Critical patent/DE102020116106A1/en
Application granted granted Critical
Publication of DE102020116106B4 publication Critical patent/DE102020116106B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/228Terminals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/224Housing; Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/33Thin- or thick-film capacitors 
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/38Multiple capacitors, i.e. structural combinations of fixed capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • H01L2924/1533Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate
    • H01L2924/15331Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/19011Structure including integrated passive components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19105Disposition of discrete passive components in a side-by-side arrangement on a common die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Es werden Halbleitervorrichtungen und Herstellungsverfahren bereitgestellt, bei denen mehrere integrierte passive Vorrichtungen unter Verwendung eines integrierten Fan-out-Prozesses miteinander integriert werden, um eine größere Vorrichtung mit einer kleineren Grundfläche herzustellen. Bei speziellen Ausführungsformen sind die mehreren integrierten passiven Vorrichtungen Kondensatoren, die, wenn sie aufeinandergestapelt sind, verwendet werden können, um eine größere Gesamtkapazität bereitzustellen, als sie mit einer einzigen passiven Vorrichtung mit einer ähnlichen Grundfläche erzielt werden kann.Semiconductor devices and manufacturing methods are provided in which multiple integrated passive devices are integrated together using an integrated fan-out process to manufacture a larger device with a smaller footprint. In particular embodiments, the multiple integrated passive devices are capacitors that, when stacked one on top of the other, can be used to provide greater overall capacitance than can be achieved with a single passive device of similar footprint.

Description

Prioritätsanspruch und QuerverweisPriority claim and cross-reference

Die vorliegende Anmeldung beansprucht die Priorität der am 22. November 2019 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/939,147, die durch Bezugnahme aufgenommen ist.This application claims priority from U.S. provisional patent application filed on November 22, 2019 with file number 62 / 939 , 147, which is incorporated by reference.

Hintergrundbackground

Die Halbleiterindustrie hat ein rasches Wachstum auf Grund von ständigen Verbesserungen bei der Integrationsdichte verschiedener elektronischer Komponenten (z. B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) erfahren. Größtenteils ist diese Verbesserung der Integrationsdichte auf wiederholte Reduzierungen der kleinsten Strukturbreite zurückzuführen, wodurch mehr Komponenten auf einer gegebenen Fläche integriert werden können. Da die Forderung nach Verkleinerung von elektronischen Vorrichtungen drängernder geworden ist, ist ein Bedarf an Methoden zum kleineren und kreativeren Packaging für Halbleiter-Dies entstanden. Ein Beispiel für solche Packaging-Systeme ist die Package-on-Package(PoP)-Technologie. Bei einer PoP-Vorrichtung wird ein oberes Halbleiter-Package auf ein unteres Halbleiter-Package gestapelt, um einen hohen Integrationsgrad und eine hohe Komponentendichte zu erzielen. Die PoP-Technologie ermöglicht die Herstellung von Halbleitervorrichtungen mit verbesserten Funktionalitäten und kleinen Grundflächen auf einer gedruckten Leiterplatte (PCB).The semiconductor industry has experienced rapid growth due to constant improvements in the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.). For the most part, this improvement in integration density is due to repeated reductions in the smallest feature width, which allows more components to be integrated in a given area. As the demand for miniaturization of electronic devices has become more pressing, a need for smaller and more creative packaging methods for semiconductor dies has arisen. Package-on-Package (PoP) technology is an example of such packaging systems. In a PoP device, an upper semiconductor package is stacked on a lower semiconductor package in order to achieve a high degree of integration and a high component density. PoP technology enables semiconductor devices with improved functionality and small footprints to be fabricated on a printed circuit board (PCB).

FigurenlisteFigure list

Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.

  • 1 zeigt die Herstellung einer Umverteilungsstruktur gemäß einigen Ausführungsformen.
  • Die 2A bis 2C zeigen ein Platzieren einer ersten integrierten passiven Vorrichtung gemäß einigen Ausführungsformen.
  • 3 zeigt ein Verkapseln der ersten integrierten passiven Vorrichtung gemäß einigen Ausführungsformen.
  • 4 zeigt ein Herstellen einer weiteren Umverteilungsstruktur gemäß einigen Ausführungsformen.
  • Die 5A und 5B zeigen ein Herstellen eines Stapels von integrierten passiven Vorrichtungen gemäß einigen Ausführungsformen.
  • 6 zeigt ein Platzieren des Stapels von integrierten passiven Vorrichtungen auf einer weiteren Umverteilungsstruktur gemäß einigen Ausführungsformen.
  • 7 zeigt eine Verbindung der Umverteilungsstruktur mit einem Substrat gemäß einigen Ausführungsformen.
  • 8 zeigt den Stapel von integrierten passiven Vorrichtungen, für den eine Vorderseite-an-Rückseite-Konfiguration verwendet wird, gemäß einigen Ausführungsformen.
  • Die 9A bis 9C zeigen eine Durchkontaktierung mit mehreren Verbindungen gemäß einigen Ausführungsformen.
  • Die 10A und 10B zeigen einen dreischichtigen Stapel von integrierten passiven Vorrichtungen gemäß einigen Ausführungsformen.
  • 11 zeigt einen fünfschichtigen Stapel von integrierten passiven Vorrichtungen gemäß einigen Ausführungsformen.
  • 12 zeigt eine Top-Down-Ansicht des Stapels von integrierten passiven Vorrichtungen gemäß einigen Ausführungsformen.
Aspects of the present invention can be best understood from the following detailed description in conjunction with the accompanying drawings. It should be noted that, in accordance with common industry practice, various elements are not drawn to scale. Rather, for the sake of clarity of the discussion, the dimensions of the various elements can be enlarged or reduced as desired.
  • 1 Figure 12 shows the manufacture of a redistribution structure in accordance with some embodiments.
  • The 2A to 2C FIG. 11 depicts placement of a first integrated passive device in accordance with some embodiments.
  • 3rd FIG. 10 shows encapsulation of the first integrated passive device in accordance with some embodiments.
  • 4th FIG. 10 shows manufacturing of another redistribution structure in accordance with some embodiments.
  • The 5A and 5B FIG. 12 depicts fabricating a stack of integrated passive devices in accordance with some embodiments.
  • 6th Figure 12 shows placing the stack of integrated passive devices on another redistribution structure in accordance with some embodiments.
  • 7th Figure 12 shows a connection of the redistribution structure to a substrate in accordance with some embodiments.
  • 8th Figure 12 shows the stack of integrated passive devices using a front-to-back configuration, in accordance with some embodiments.
  • The 9A to 9C illustrate a via with multiple connections in accordance with some embodiments.
  • The 10A and 10B show a three-layer stack of integrated passive devices in accordance with some embodiments.
  • 11 Figure 11 shows a five-layer stack of integrated passive devices in accordance with some embodiments.
  • 12th Figure 12 shows a top-down view of the stack of integrated passive devices in accordance with some embodiments.

Detaillierte BeschreibungDetailed description

Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element hergestellt werden können, sodass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.The description below provides many different embodiments or examples for implementing various features of the invention. Specific examples of components and arrangements are described below in order to simplify the present invention. These are of course only examples and are not intended to be limiting. For example, the manufacture of a first element over or on a second element in the description below may include embodiments in which the first and second elements are made in direct contact, and it can also include embodiments in which additional elements are placed between the first and the second element can be made so that the first and second elements are not in direct contact. In addition, in the present invention, reference numbers and / or letters may be repeated in the various examples. This repetition is for the sake of simplicity and clarity and does not in itself write any relationship between the various embodiments and / or configurations discussed.

Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.In addition, spatially relative terms such as "below", "below", "lower" / "lower", "above", "upper" / "upper" and the like can be used for simplicity Description of the relationship of an element or structure to one or more other elements or structures shown in the figures. The spatially relative terms are intended to include other orientations of the device in use or in operation in addition to the orientation shown in the figures. The device can be oriented differently (rotated 90 degrees or in a different orientation) and the spatially relative descriptors used herein can also be interpreted accordingly.

Die 1 bis 5 zeigen Schnittansichten von Zwischenstufen während eines Prozesses zum Herstellen eines ersten Stapels von integrierten passiven Vorrichtungen (IPD-Stapels) 500 (der in 1 nicht vollständig dargestellt ist, aber in 5A dargestellt ist) gemäß einigen Ausführungsformen. Gezeigt ist ein erster Package-Bereich 100A, der zu einem zweiten Package-Bereich (nicht einzeln dargestellt) benachbart sein kann, und in jedem der Package-Bereiche (d. h., in dem ersten Package-Bereich 100A und dem zweiten Package-Bereich) werden ein oder mehrere erste IPD-Dies 50A zu einem Integrierter-Schaltkreis-Package verkappt. Die Integrierter-Schaltkreis-Packages können auch als integrierte Fan-out(InFO)-Packages bezeichnet werden.The 1 to 5 Figure 12 shows cross-sectional views of intermediate stages during a process of making a first stack of Integrated Passive Devices (IPD stacks) 500 (the in 1 is not shown in full, but in 5A is shown) according to some embodiments. A first package area is shown 100A , which may be adjacent to a second package area (not shown individually), and in each of the package areas (ie, in the first package area 100A and the second package area) one or more first IPD dies 50A capped to form an integrated circuit package. The integrated circuit packages can also be referred to as integrated fan-out (InFO) packages.

In 1 wird ein Trägersubstrat 102 bereitgestellt, und auf dem Trägersubstrat 102 wird eine Ablöseschicht 104 hergestellt. Das Trägersubstrat 102 kann ein Glas-Trägersubstrat, ein Keramik-Trägersubstrat oder dergleichen sein. Das Trägersubstrat 102 kann ein Wafer sein, sodass mehrere Packages gleichzeitig auf dem Trägersubstrat 102 hergestellt werden können.In 1 becomes a carrier substrate 102 provided, and on the carrier substrate 102 becomes a release layer 104 produced. The carrier substrate 102 can be a glass carrier substrate, a ceramic carrier substrate or the like. The carrier substrate 102 can be a wafer, so that several packages are simultaneously on the carrier substrate 102 can be produced.

Die Ablöseschicht 104 kann aus einem Material auf Polymerbasis hergestellt werden, das zusammen mit dem Trägersubstrat 102 von darüber befindlichen Strukturen (z. B. einer rückseitigen Umverteilungsstruktur 106), die in späteren Schritten hergestellt werden, entfernt werden kann. Bei einigen Ausführungsformen ist die Ablöseschicht 104 ein durch Wärme ablösbares Material auf Epoxidbasis, das beim Erwärmen sein Haftvermögen verliert, wie etwa ein LTHC-Ablösebelag (LTHC: Licht-Wärme-Umwandlung). Bei anderen Ausführungsformen kann die Ablöseschicht 104 ein Ultraviolett(UV)-Klebstoff sein, der sein Haftvermögen verliert, wenn er mit UV-Licht bestrahlt wird. Die Ablöseschicht 104 kann als eine Flüssigkeit verteilt werden und gehärtet werden, oder sie kann eine Laminatschicht, mit der das Trägersubstrat 102 beschichtet wird, oder dergleichen sein. Eine Oberseite der Ablöseschicht 104 kann egalisiert werden und kann ein hohes Maß an Planarität haben.The release layer 104 can be made from a polymer-based material that coexists with the support substrate 102 of structures above (e.g. a rear redistribution structure 106 ) that will be made in later steps can be removed. In some embodiments, the release liner is 104 an epoxy-based heat-releasable material that loses its adhesion when heated, such as an LTHC (light-to-heat conversion) release liner. In other embodiments, the release layer 104 an ultraviolet (UV) adhesive that loses its adhesion when exposed to UV light. The release layer 104 can be distributed as a liquid and cured, or it can be a laminate layer with which the carrier substrate 102 is coated, or the like. A top of the release liner 104 can be leveled and can have a high degree of planarity.

1 zeigt auch, dass eine rückseitige Umverteilungsstruktur 106 auf der Ablöseschicht 104 hergestellt werden kann. Bei der dargestellten Ausführungsform weist die rückseitige Umverteilungsstruktur 106 eine dielektrische Schicht 108, eine oder mehrere Metallisierungsstrukturen 110 (die gelegentlich als Umverteilungsschichten oder Umverteilungsleitungen bezeichnet werden) und eine oder mehrere dielektrische Schichten 112 auf. Die rückseitige Umverteilungsstruktur 106 ist optional. Bei einigen Ausführungsformen wird statt der rückseitigen Umverteilungsstruktur 106 eine dielektrische Schicht ohne Metallisierungsstrukturen auf der Ablöseschicht 104 hergestellt. 1 also shows that a rearward redistribution structure 106 on the release liner 104 can be produced. In the embodiment shown, the rear-side redistribution structure 106 a dielectric layer 108 , one or more metallization structures 110 (sometimes referred to as redistribution layers or redistribution lines) and one or more dielectric layers 112 on. The rear redistribution structure 106 is optional. In some embodiments, instead of the rear redistribution structure 106 a dielectric layer with no metallization structures on the release layer 104 produced.

Auf der Ablöseschicht 104 kann die dielektrische Schicht 108 hergestellt werden. Eine Unterseite der dielektrischen Schicht 108 kann in Kontakt mit der Oberseite der Ablöseschicht 104 sein. Bei einigen Ausführungsformen wird die dielektrische Schicht 108 aus einem Polymer hergestellt, wie etwa Polybenzoxazol (PBO), Polyimid, BCB oder dergleichen. Bei anderen Ausführungsformen wird die dielektrische Schicht 108 aus einem Nitrid, wie etwa Siliziumnitrid; einem Oxid, wie etwa Siliziumoxid, Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG) oder dergleichen; oder dergleichen hergestellt. Die dielektrische Schicht 108 kann mit jedem geeigneten Abscheidungsverfahren, wie etwa Schleuderbeschichtung, CVD, Laminierung oder dergleichen, oder einer Kombination davon hergestellt werden.On the release layer 104 can be the dielectric layer 108 getting produced. An underside of the dielectric layer 108 can be in contact with the top of the release liner 104 be. In some embodiments, the dielectric layer is 108 made of a polymer such as polybenzoxazole (PBO), polyimide, BCB, or the like. In other embodiments, the dielectric layer is 108 a nitride such as silicon nitride; an oxide such as silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), borophosphosilicate glass (BPSG), or the like; or the like. The dielectric layer 108 can be made by any suitable deposition method such as spin coating, CVD, lamination, or the like, or a combination thereof.

Auf der dielektrischen Schicht 108 kann die Metallisierungsstruktur 110 hergestellt werden. Zum Beispiel kann die Metallisierungsstruktur 110 dadurch hergestellt werden, dass zunächst eine Seedschicht über der dielektrischen Schicht 108 hergestellt wird. Bei einigen Ausführungsformen ist die Seedschicht eine Metallschicht, die eine einzelne Schicht oder eine Verbundschicht mit einer Mehrzahl von Teilschichten sein kann, die aus unterschiedlichen Materialien hergestellt sind. Bei einigen Ausführungsformen umfasst die Seedschicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seedschicht kann zum Beispiel durch physikalische Aufdampfung (PVD) oder dergleichen hergestellt werden. Dann wird auf der Seedschicht ein Fotoresist hergestellt, das anschließend strukturiert wird. Das Fotoresist kann durch Schleuderbeschichtung oder dergleichen hergestellt werden und kann für die Strukturierung belichtet werden. Die Struktur des Fotoresists entspricht der Metallisierungsstruktur 110. Durch das Strukturieren werden Öffnungen durch das Fotoresist erzeugt, um die Seedschicht freizulegen. In den Öffnungen des Fotoresists und auf den freigelegten Teilen der Seedschicht wird ein leitfähiges Material abgeschieden. Das leitfähige Material kann durch Plattierung, wie etwa Elektroplattierung oder stromlose Plattierung, oder dergleichen abgeschieden werden. Das leitfähige Material kann ein Metall wie Kupfer, Titan, Wolfram, Aluminium oder dergleichen sein. Dann werden das Fotoresist und die Teile der Seedschicht entfernt, auf denen das leitfähige Material nicht abgeschieden worden ist. Das Fotoresist kann mit einem geeigneten Ablösungs- oder Stripping-Verfahren, zum Beispiel unter Verwendung eines Sauerstoff-Plasmas oder dergleichen, entfernt werden. Nachdem das Fotoresist entfernt worden ist, werden freigelegte Teile der Seedschicht zum Beispiel mit einem geeigneten Ätzprozess, wie etwa durch Nass- oder Trockenätzung, entfernt. Die verbliebenen Teile der Seedschicht und das leitfähige Material bilden die Metallisierungsstruktur 110.On the dielectric layer 108 can the metallization structure 110 getting produced. For example, the metallization structure 110 be made by first placing a seed layer over the dielectric layer 108 will be produced. In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer with a plurality of sub-layers made from different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer can be produced, for example, by physical vapor deposition (PVD) or the like. A photoresist is then produced on the seed layer, which is then structured. The photoresist can be prepared by spin coating or the like and can be exposed for patterning. The structure of the photoresist corresponds to the metallization structure 110 . The patterning creates openings through the photoresist in order to expose the seed layer. In the Openings in the photoresist and a conductive material is deposited on the exposed parts of the seed layer. The conductive material can be deposited by plating such as electroplating or electroless plating, or the like. The conductive material can be a metal such as copper, titanium, tungsten, aluminum, or the like. Then the photoresist and the parts of the seed layer on which the conductive material has not been deposited are removed. The photoresist can be removed by a suitable stripping or stripping process, for example using an oxygen plasma or the like. After the photoresist has been removed, exposed parts of the seed layer are removed, for example using a suitable etching process, such as wet or dry etching. The remaining parts of the seed layer and the conductive material form the metallization structure 110 .

Auf der Metallisierungsstruktur 110 und der dielektrischen Schicht 108 kann die dielektrische Schicht 112 hergestellt werden. Bei einigen Ausführungsformen wird die dielektrische Schicht 112 aus einem Polymer hergestellt, das ein lichtempfindliches Material, wie etwa PBO, Polyimid, BCB oder dergleichen, sein kann und das unter Verwendung einer lithografischen Maske strukturiert werden kann. Bei anderen Ausführungsformen wird die dielektrische Schicht 112 aus einem Nitrid, wie etwa Siliziumnitrid; einem Oxid, wie etwa Siliziumoxid, PSG, BSG oder BPSG; oder dergleichen hergestellt. Die dielektrische Schicht 112 kann durch Schleuderbeschichtung, CVD, Laminierung oder dergleichen oder eine Kombination davon hergestellt werden. Die dielektrische Schicht 112 wird dann strukturiert, um Öffnungen zu erzeugen, die Teile der Metallisierungsstruktur 110 freilegen. Das Strukturieren kann mit einem geeigneten Verfahren durchgeführt werden, wie etwa durch Belichten der dielektrischen Schicht 112, wenn die dielektrische Schicht 112 ein lichtempfindliches Material ist, oder durch Ätzen, zum Beispiel durch anisotropes Ätzen. Wenn die dielektrische Schicht 112 ein lichtempfindliches Material ist, kann sie nach dem Belichten entwickelt werden.On the metallization structure 110 and the dielectric layer 108 can be the dielectric layer 112 getting produced. In some embodiments, the dielectric layer is 112 made of a polymer which can be a photosensitive material such as PBO, polyimide, BCB, or the like, and which can be patterned using a lithographic mask. In other embodiments, the dielectric layer is 112 a nitride such as silicon nitride; an oxide such as silica, PSG, BSG, or BPSG; or the like. The dielectric layer 112 can be made by spin coating, CVD, lamination, or the like, or a combination thereof. The dielectric layer 112 is then patterned to create openings which are parts of the metallization structure 110 uncover. The patterning can be carried out using a suitable method, such as by exposing the dielectric layer to light 112 when the dielectric layer 112 is a photosensitive material, or by etching, for example by anisotropic etching. When the dielectric layer 112 is a photosensitive material, it can be developed after exposure.

Es dürfte wohlverstanden sein, dass die rückseitige Umverteilungsstruktur 106 jede Anzahl von dielektrischen Schichten und Metallisierungsstrukturen aufweisen kann, wie etwa eine oder mehrere dielektrische Schichten und Metallisierungsstrukturen. Wenn mehr dielektrische Schichten und Metallisierungsstrukturen hergestellt werden sollen, können Schritte und Prozesse, die vorstehend erörtert worden sind, wiederholt werden. Die Metallisierungsstrukturen können leitfähige Leitungen und leitfähige Durchkontaktierungen umfassen. Die leitfähigen Durchkontaktierungen können während der Herstellung der Metallisierungsstruktur dadurch hergestellt werden, dass die Seedschicht und das leitfähige Material der Metallisierungsstruktur in einer Öffnung der darunter befindlichen dielektrischen Schicht abgeschieden werden. Die leitfähigen Durchkontaktierungen können die verschiedenen leitfähigen Leitungen elektrisch miteinander verbinden.It should be understood that the rearward redistribution structure 106 may include any number of dielectric layers and metallization structures, such as one or more dielectric layers and metallization structures. If more dielectric layers and metallization structures are to be fabricated, the steps and processes discussed above can be repeated. The metallization structures can include conductive lines and conductive vias. The conductive vias can be produced during the production of the metallization structure in that the seed layer and the conductive material of the metallization structure are deposited in an opening of the dielectric layer located below. The conductive vias can electrically connect the various conductive lines to one another.

In 2A werden in den Öffnungen leitfähige Durchkontaktierungen 116 so hergestellt, dass sie sich von der obersten dielektrischen Schicht der rückseitigen Umverteilungsstruktur 106 (z. B. der dielektrischen Schicht 112) weg erstrecken. Als ein Beispiel zum Herstellen der ersten Durchkontaktierungen 116 wird eine Seedschicht (nicht dargestellt) über der rückseitigen Umverteilungsstruktur 106 hergestellt, z. B. auf der dielektrischen Schicht 112 und auf Teilen der Metallisierungsstruktur 110, die von den Öffnungen freigelegt werden. Bei einigen Ausführungsformen ist die Seedschicht eine Metallschicht, die eine einzelne Schicht oder eine Verbundschicht mit einer Mehrzahl von Teilschichten sein kann, die aus unterschiedlichen Materialien hergestellt sind. Bei einer speziellen Ausführungsform umfasst die Seedschicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seedschicht kann zum Beispiel durch PVD oder dergleichen hergestellt werden. Dann wird auf der Seedschicht ein Fotoresist hergestellt, das anschließend strukturiert wird. Das Fotoresist kann durch Schleuderbeschichtung oder dergleichen hergestellt werden und kann für die Strukturierung belichtet werden. Die Struktur des Fotoresists entspricht den leitfähigen Durchkontaktierungen 116. Durch das Strukturieren werden Öffnungen durch das Fotoresist erzeugt, um die Seedschicht freizulegen. In den Öffnungen des Fotoresists und auf den freigelegten Teilen der Seedschicht wird ein leitfähiges Material abgeschieden. Das leitfähige Material kann durch Plattierung, wie etwa Elektroplattierung oder stromlose Plattierung, oder dergleichen abgeschieden werden. Das leitfähige Material kann ein Metall wie Kupfer, Titan, Wolfram, Aluminium oder dergleichen sein. Dann werden das Fotoresist und die Teile der Seedschicht entfernt, auf denen das leitfähige Material nicht abgeschieden worden ist. Das Fotoresist kann mit einem geeigneten Ablösungs- oder Stripping-Verfahren, zum Beispiel unter Verwendung eines Sauerstoff-Plasmas oder dergleichen, entfernt werden. Nachdem das Fotoresist entfernt worden ist, werden freigelegte Teile der Seedschicht zum Beispiel mit einem geeigneten Ätzprozess, wie etwa durch Nass- oder Trockenätzung, entfernt. Die verbliebenen Teile der Seedschicht und das leitfähige Material bilden die ersten Durchkontaktierungen 116.In 2A become conductive vias in the openings 116 made to stand out from the top dielectric layer of the rear redistribution structure 106 (e.g. the dielectric layer 112 ) extend away. As an example of making the first vias 116 becomes a seed layer (not shown) over the rear redistribution structure 106 manufactured, e.g. B. on the dielectric layer 112 and on parts of the metallization structure 110 exposed by the openings. In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer with a plurality of sub-layers made from different materials. In a particular embodiment, the seed layer comprises a titanium layer and a copper layer over the titanium layer. The seed layer can be made, for example, by PVD or the like. A photoresist is then produced on the seed layer, which is then structured. The photoresist can be prepared by spin coating or the like and can be exposed for patterning. The structure of the photoresist corresponds to the conductive vias 116 . The patterning creates openings through the photoresist in order to expose the seed layer. A conductive material is deposited in the openings of the photoresist and on the exposed parts of the seed layer. The conductive material can be deposited by plating such as electroplating or electroless plating, or the like. The conductive material can be a metal such as copper, titanium, tungsten, aluminum, or the like. Then the photoresist and the parts of the seed layer on which the conductive material has not been deposited are removed. The photoresist can be removed by a suitable stripping or stripping process, for example using an oxygen plasma or the like. After the photoresist has been removed, exposed parts of the seed layer are removed, for example using a suitable etching process, such as wet or dry etching. The remaining parts of the seed layer and the conductive material form the first vias 116 .

2A zeigt außerdem, dass ein, zwei oder mehr IPD-Dies 50 mit einem Klebstoff 221 z. B. mit einem Pick-and-Place-Prozess an die dielektrische Schicht 112 angeklebt werden. In jedem der Package-Bereiche (z. B. in dem ersten Package-Bereich 100A) werden IPD-Dies 50 einer gewünschten Art und in einer gewünschten Menge angeklebt. Bei der dargestellten Ausführungsform werden mehrere IPD-Dies 50, die einen ersten IPD-Die 50A und einen zweiten IPD-Die 50B umfassen, nebeneinander geklebt. Der erste IPD-Die 50A und der zweite IPD-Die 50B können Dies sein, die passive Komponenten aufweisen, wie etwa Tiefer-Graben-Kondensatoren (z. B. mit MOM- oder MIM-Kondensatoren), mehrschichtige Keramikkondensatoren (MLCCs), Induktionsspulen, Schichtwiderstände, Mikrostreifenleitungen, Impedanzanpassungselemente, Symmetrierglieder, Kombinationen davon oder dergleichen. 2A also shows that one, two or more IPD dies 50 with an adhesive 221 z. B. with a pick-and-place process to the dielectric layer 112 be glued on. In each of the package areas (e.g. in the first package area 100A) will be IPD dies 50 of a desired type and in a desired amount. In the In the illustrated embodiment, multiple IPD dies 50 who have favourited a First IPD Die 50A and a second IPD die 50B embrace, glued side by side. The first IPD die 50A and the second IPD die 50B These can be those that have passive components, such as deep trench capacitors (e.g. with MOM or MIM capacitors), multilayer ceramic capacitors (MLCCs), induction coils, film resistors, microstrip lines, impedance matching elements, baluns, combinations thereof or the like .

Die 2B und 2C zeigen Nahansichten des ersten IPD-Dies 50A, wobei 2C eine Nahansicht eines gestrichelten Kastens 201 von 2B zeigt. Wie in 2C zu sehen ist, kann bei einer Ausführungsform, bei der der erste IPD-Die 50A ein Tiefer-Graben-Kondensator ist, der erste IPD-Die 50A ein zweites Substrat 203 und Öffnungen 205 aufweisen, die mit mehreren Schichten aus einem leitfähigen Material 207 im Wechsel mit Schichten aus einem dielektrischen Material 209 gefüllt sind. Der erste IPD-Die 50A kann mehr als einen Tiefer-Graben-Kondensator aufweisen, die parallel geschaltet sind, wobei jeder Tiefer-Graben-Kondensator zwei Öffnungen 205 aufweist, die mit dem leitfähigen Material 207 und dem dielektrischen Material 209 gefüllt sind. Das zweite Substrat 203 kann massives Silizium, das dotiert oder undotiert ist, oder eine aktive Schicht eines Silizium-auf-Isolator-Substrats (SOI-Substrats) sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, wie etwa Silizium, Germanium, Siliziumgermanium, SOI, Siliziumgermanium auf Isolator (SGOI) oder eine Kombination davon. Andere Substrate, wie etwa mehrschichtige Substrate, Gradient-Substrate oder Hybridorientierungssubstrate, können ebenfalls verwendet werden.The 2 B and 2C show close-up views of the first IPD die 50A , in which 2C a close-up view of a dashed box 201 of 2 B shows. As in 2C can be seen, in an embodiment in which the first IPD Die 50A is a deep trench capacitor, the first IPD die 50A a second substrate 203 and openings 205 having multiple layers of a conductive material 207 alternating with layers of a dielectric material 209 are filled. The first IPD die 50A may have more than one deep trench capacitor connected in parallel, with each deep trench capacitor having two openings 205 having that with the conductive material 207 and the dielectric material 209 are filled. The second substrate 203 may be bulk silicon, doped or undoped, or an active layer of a silicon-on-insulator (SOI) substrate. In general, an SOI substrate comprises a layer of a semiconductor material such as silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or a combination thereof. Other substrates, such as multilayer substrates, gradient substrates, or hybrid orientation substrates, can also be used.

Nachdem die Öffnungen 205 erzeugt worden sind, kann ein Belag 211 abgeschieden werden, um die Öffnungen 205 auszukleiden, und anschließend werden mehrere wechselnde Schichten aus dem leitfähigem Material 207 und dem dielektrischen Material 209 abgeschieden. Bei einer Ausführungsform kann der Belag 211 ein dielektrisches Material wie Siliziumoxid sein, das leitfähige Material 207 kann ein leitfähiges Material wie Titannidrid sein, und das dielektrische Material 209 kann eine oder mehrere Schichten aus dielektrischen High-k-Materialien umfassen, wie etwa Zirconiumoxid, Aluminiumoxid oder Hafniumoxid, einer Kombination davon oder dergleichen. Die Schichten können jeweils mit einem Abscheidungsverfahren wie CVD, PVD, ALD, einer Kombination davon oder dergleichen abgeschieden werden, bis vier Schichten aus dem leitfähigen Material 207 und vier Schichten aus dem dielektrischen Material 209 abgeschieden worden sind. Es können jedoch alle geeigneten Materialien, Verfahren und Anzahlen von wechselnden Schichten verwendet werden.After the openings 205 have been generated, a coating 211 deposited around the openings 205 line, and then several alternating layers of the conductive material are made 207 and the dielectric material 209 deposited. In one embodiment, the covering 211 a dielectric material such as silicon oxide, the conductive material 207 may be a conductive material such as titanium hydride, and the dielectric material 209 may comprise one or more layers of high-k dielectric materials, such as zirconia, alumina, or hafnium oxide, a combination thereof, or the like. The layers can each be deposited using a deposition method such as CVD, PVD, ALD, a combination thereof, or the like, up to four layers of the conductive material 207 and four layers of the dielectric material 209 have been deposited. However, any suitable materials, methods, and numbers of alternating layers can be used.

Nachdem die Schichten aus dem leitfähigen Material 207 und die Schichten aus dem dielektrischen Material 209 hergestellt worden sind, können die Schichten z. B. mit einem oder mehreren fotolithografischen Maskierungs- und Ätzprozessen strukturiert werden, eine Kontakt-Ätzstoppschicht kann abgeschieden werden, und Kontakte 213 mit darüber befindlichen Metallisierungsschichten 215 können hergestellt werden. Bei einer Ausführungsform können die Kontakte 213 und die darüber befindlichen Metallisierungsschichten 215 mit einem Single- oder Dual-Damascene-Prozess wie folgt hergestellt werden: Abscheiden einer dielektrischen Schicht (nicht einzeln dargestellt); Strukturieren der dielektrischen Schicht, um das darunter befindliche leitfähige Material freizulegen; Überfüllen der Öffnungen mit einem weiteren leitfähigen Material; und Planarisieren des leitfähigen Materials, um die Kontakte 213 und die Metallisierungsschichten 215 herzustellen. Es können jedoch alle geeigneten Verfahren zum Herstellen der Kontakte 213 und der Metallisierungsschichten 215 verwendet werden.After the layers of the conductive material 207 and the layers of dielectric material 209 have been made, the layers can e.g. B. be patterned with one or more photolithographic masking and etching processes, a contact etch stop layer can be deposited, and contacts 213 with overlying metallization layers 215 can be made. In one embodiment, the contacts 213 and the overlying metallization layers 215 be produced with a single or dual damascene process as follows: depositing a dielectric layer (not shown individually); Patterning the dielectric layer to expose the conductive material underneath; Overfilling the openings with another conductive material; and planarizing the conductive material around the contacts 213 and the metallization layers 215 to manufacture. However, any suitable method for making the contacts can be used 213 and the metallization layers 215 be used.

Kommen wir wieder zu 2B zurück. Nachdem die gewünschte Anzahl von Metallisierungsschichten 215 hergestellt worden ist, können Die-Außenkontakte 217 hergestellt werden, um Außenanschlüsse mit den intern hergestellten Kondensatoren herzustellen. Bei einer Ausführungsform können die Die-Außenkontakte 217 leitfähige Säulen, wie etwa Kupfersäulen, sein und können ein oder mehrere leitfähigen Materialien aufweisen, wie etwa Kupfer, Wolfram, andere leitfähige Metalle oder dergleichen, und sie können zum Beispiel durch Elektroplattierung, stromlose Plattierung oder dergleichen mit einer Seedschicht und einem platzierten und strukturierten Fotoresist hergestellt werden. Bei einer Ausführungsform wird ein Elektroplattierungsprozess verwendet, bei dem die Seedschicht und das Fotoresist in ein Galvanisierbad, wie etwa eine Kupfersulfat(CuSO4)-haltige Lösung, getaucht werden. Die Oberfläche der Seedschicht wird mit der negativen Seite einer externen Gleichstromquelle elektrisch verbunden, sodass bei dem Elektroplattierungsprozess die Seedschicht als die Katode fungiert. Eine feste leitfähige Anode, wie etwa eine Kupferanode, wird ebenfalls in das Bad getaucht und wird an der passiven Seite der Stromquelle befestigt. Die Atome von der Anode werden in dem Bad freigesetzt, und die Katode, z. B. die Seedschicht, nimmt die freigesetzten Atome auf, wodurch die freiliegenden leitfähigen Bereiche der Seedschicht in der Öffnung des Fotoresists plattiert werden. Nach der Herstellung der Die-Außenkontakte 217 kann das Fotoresist entfernt werden, und die darunter befindliche freiliegende Seedschicht kann ebenfalls entfernt werden.Let's come back to 2 B back. After the desired number of metallization layers 215 has been established, the external contacts can 217 to make external connections with the internally manufactured capacitors. In one embodiment, the die external contacts 217 conductive pillars, such as copper pillars, and can comprise one or more conductive materials, such as copper, tungsten, other conductive metals, or the like, and they can be made, for example, by electroplating, electroless plating, or the like with a seed layer and a placed and patterned photoresist getting produced. In one embodiment, an electroplating process is used in which the seed layer and photoresist are immersed in an electroplating bath, such as a solution containing copper sulfate (CuSO 4 ). The surface of the seed layer is electrically connected to the negative side of an external DC power source so that the seed layer functions as the cathode in the electroplating process. A solid conductive anode, such as a copper anode, is also immersed in the bath and attached to the passive side of the power source. The atoms from the anode are released into the bath and the cathode, e.g. B. the seed layer, picks up the released atoms, thereby plating the exposed conductive areas of the seed layer in the opening of the photoresist. After making the external contacts 217 For example, the photoresist can be removed and the exposed seed layer underneath can also be removed.

Bei einer weiteren Ausführungsform können die Die-Außenkontakte 217 Kontakthügel, wie etwa Mikrobumps oder C4-Kontakthügel (C4: Chipverbindung mit kontrolliertem Kollaps), sein, und sie können ein Material wie Zinn oder andere geeignete Materialien, wie etwa Silber oder Kupfer, aufweisen. Bei einer Ausführungsform, bei der die Die-Außenkontakte 217 Kontakthügel sind, können die Die-Außenkontakte 217 ein Material wie Zinn oder andere geeignete Materialien aufweisen, wie etwa Silber, bleifreies Zinn oder Kupfer. Bei einer Ausführungsform, bei der die Die-Außenkontakte 217 Lötzinn-Kontakthügel sind, können die Die-Außenkontakte 217 dadurch hergestellt werden, dass zunächst eine Schicht aus Zinn mit solchen allgemein üblichen Verfahren wie Aufdampfung, Elektroplattierung, Drucken, Lotübertragung, Kugelplatzierung usw. mit einer Dicke von z. B. etwa 100 µm hergestellt wird. Nachdem die Schicht aus Zinn auf der Struktur hergestellt worden ist, kann ein Aufschmelzprozess durchgeführt werden, um das Material in die gewünschten Kontakthügelformen zu bringen.In a further embodiment, the die external contacts 217 Contact bumps, such as microbumps or C 4 contact bumps (C 4 : Controlled Collapse Chip Bonding), and can comprise a material such as tin or other suitable materials such as silver or copper. In one embodiment in which the die external contacts 217 Contact bumps are, the die-external contacts can 217 comprise a material such as tin or other suitable materials such as silver, lead-free tin, or copper. In one embodiment in which the die external contacts 217 Are solder bumps, the die-external contacts 217 be made by first forming a layer of tin with such commonly used methods as vapor deposition, electroplating, printing, solder transfer, ball placement, etc. to a thickness of e.g. B. is made about 100 microns. After the layer of tin has been produced on the structure, a reflow process can be performed to bring the material into the desired bump shapes.

Nachdem die Die-Außenkontakte 217 hergestellt worden sind, kann eine Passivierungsschicht 219 über den Die-Außenkontakten 217 hergestellt werden. Bei einer Ausführungsform kann die Passivierungsschicht 219 Polybenzoxazol (PBO) sein, aber es kann jedes geeignete Material, wie etwa ein Polyimid oder ein Polyimid-Derivat, zum Einsatz kommen. Die Passivierungsschicht 219 kann z. B. mit einem Schleuderbeschichtungsprozess mit einer Dicke von etwa 5 µm bis etwa 25 µm, z. B. von etwa 7 µm, aufgebracht werden, aber es können alle geeigneten Verfahren und Dicken verwendet werden. Anschließend kann die Passivierungsschicht 219 mit den Die-Außenkontakten 217 z. B. mit einem CMP-Prozess planarisiert werden.After the die-external contacts 217 have been produced, a passivation layer 219 over the external contacts 217 getting produced. In one embodiment, the passivation layer 219 Polybenzoxazole (PBO), but any suitable material such as a polyimide or a polyimide derivative can be used. The passivation layer 219 can e.g. B. with a spin coating process with a thickness of about 5 microns to about 25 microns, e.g. About 7 microns, but any suitable method and thickness can be used. Then the passivation layer 219 with the external contacts 217 z. B. be planarized with a CMP process.

Es ist zwar ein Prozess beschrieben worden, bei dem die Die-Außenkontakte 217 hergestellt werden und dann von der Passivierungsschicht 219 umschlossen werden, aber diese Reihenfolge soll nur erläuternd und nicht beschränkend sein. Vielmehr kann jede geeignete Reihenfolge von Prozessschritten verwendet werden, wie etwa zunächst Abscheiden der Passivierungsschicht 219, Strukturieren der Passivierungsschicht 219 zum Erzeugen von Öffnungen für die Die-Außenkontakte 217 und anschließend Herstellen der Die-Außenkontakte 217 in den Öffnungen. Es können alle geeigneten Verfahren zum Herstellen der Die-Außenkontakte 217 und der Passivierungsschicht 219 verwendet werden, und alle diese Verfahren sollen vollständig innerhalb des Schutzumfangs der Ausführungsformen liegen.Although a process has been described in which the die-external contacts 217 and then from the passivation layer 219 but this order is intended to be illustrative and not restrictive. Rather, any suitable sequence of process steps can be used, such as initially depositing the passivation layer 219 Structuring the passivation layer 219 to create openings for the external contacts of the die 217 and then making the die external contacts 217 in the openings. Any suitable method for producing the die external contacts can be used 217 and the passivation layer 219 can be used, and all of these methods are intended to be entirely within the scope of the embodiments.

Bei einigen Ausführungsformen können der erste IPD-Die 50A und der zweite IPD-Die 50B in Prozessen mit dem gleichen Technologieknoten oder in Prozessen mit unterschiedlichen Technologieknoten hergestellt werden. Zum Beispiel kann der erste IPD-Die 50A ein Die eines moderneren Prozessknotens als der zweite IPD-Die 50B sein. Die ersten IPD-Dies 50A und 50B können unterschiedliche Größen (z. B. unterschiedliche Höhen und/oder Flächeninhalte) haben, oder sie können die gleichen Größen (z. B. die gleichen Höhen und/oder Flächeninhalte) haben.In some embodiments, the first IPD die 50A and the second IPD die 50B are produced in processes with the same technology node or in processes with different technology nodes. For example, the first IPD Die 50A a die of a more modern process node than the second IPD die 50B be. The first IPD dies 50A and 50B can have different sizes (e.g. different heights and / or areas), or they can be the same sizes (e.g. the same heights and / or areas).

Kommen wir zu 2A zurück. Der Klebstoff 221 wird auf Rückseiten der ersten IPD-Dies 50A und 50B platziert und klebt die ersten IPD-Dies 50A und 50B an die rückseitige Umverteilungsstruktur 106 an, wie etwa an die dielektrische Schicht 112. Der Klebstoff 221 kann jeder geeignete Klebstoff, ein Epoxid, eine Die-Befestigungsschicht (DAF) oder dergleichen sein. Der Klebstoff kann auf die Rückseiten der ersten IPD-Dies 50A und 50B oder aber über der Oberfläche des Trägersubstrats 102 aufgebracht werden. Zum Beispiel kann der Klebstoff auf die Rückseiten der ersten IPD-Dies 50A und 50B aufgebracht werden, bevor die ersten IPD-Dies 50A und 50B vereinzelt werden.We come to 2A back. The adhesive 221 is on the back of the first IPD dies 50A and 50B places and sticks the first IPD dies 50A and 50B to the rear redistribution structure 106 such as the dielectric layer 112 . The adhesive 221 can be any suitable adhesive, epoxy, die attach layer (DAF), or the like. The adhesive can be applied to the backs of the first IPD dies 50A and 50B or over the surface of the carrier substrate 102 be applied. For example, the adhesive can be on the backs of the first IPD dies 50A and 50B applied before the first IPD dies 50A and 50B be isolated.

In 3 wird ein Verkapselungsmaterial 120 auf den und um die verschiedenen Komponenten hergestellt, um eine erste untere Schicht 301 des ersten IPD-Stapels 500 herzustellen. Nach seiner Herstellung verkapselt das Verkapselungsmaterial 120 die ersten Durchkontaktierungen 116 und die ersten IPD-Dies 50A und 50B. Das Verkapselungsmaterial 120 kann eine Formmasse, ein Epoxid oder dergleichen sein. Das Verkapselungsmaterial 120 kann durch Formpressen, Pressspritzen oder dergleichen aufgebracht werden und kann so über dem Trägersubstrat 102 aufgebracht werden, dass die ersten Durchkontaktierungen 116 und/oder die ersten IPD-Dies 50A und 50B vergraben oder verdeckt werden. Das Verkapselungsmaterial 120 wird außerdem in Spaltbereichen zwischen den IPD-Dies 50 aufgebracht. Das Verkapselungsmaterial 120 kann in einer flüssigen oder halbflüssigen Form aufgebracht werden und anschließend gehärtet werden.In 3rd becomes an encapsulation material 120 on and around the various components made to form a first lower layer 301 of the first batch of IPDs 500 to manufacture. After its manufacture, the encapsulation material encapsulates 120 the first vias 116 and the first IPD dies 50A and 50B . The encapsulation material 120 can be a molding compound, an epoxy or the like. The encapsulation material 120 can be applied by compression molding, compression molding or the like and can thus be applied over the carrier substrate 102 be applied that the first vias 116 and / or the first IPD dies 50A and 50B buried or covered. The encapsulation material 120 is also used in gap areas between the IPD dies 50 upset. The encapsulation material 120 can be applied in a liquid or semi-liquid form and then cured.

3 zeigt außerdem, dass ein Planarisierungsprozess an dem Verkapselungsmaterial 120 durchgeführt wird, um die ersten Durchkontaktierungen 116 und die Die-Außenkontakte 217 freizulegen. Mit dem Planarisierungsprozess kann auch Material der ersten Durchkontaktierungen 116, der Passivierungsschicht 219 und/oder der Die-Außenkontakte 217 entfernt werden, bis die Die-Außenkontakte 217 und die ersten Durchkontaktierungen 116 freigelegt sind. Nach dem Planarisierungsprozess sind Oberseiten der ersten Durchkontaktierungen 116, der Die-Außenkontakte 217, der Passivierungsschicht 219 und des Verkapselungsmaterials 120 koplanar. Der Planarisierungsprozess kann zum Beispiel eine chemisch-mechanische Polierung (CMP), ein Schleifprozess oder dergleichen sein. Bei einigen Ausführungsformen kann die Planarisierung entfallen, zum Beispiel wenn die ersten Durchkontaktierungen 116 und/oder die Die-Außenkontakte 217 bereits freiliegen. 3rd also shows a planarization process on the encapsulation material 120 is performed to the first vias 116 and the external contacts 217 to expose. With the planarization process, material of the first vias 116 , the passivation layer 219 and / or the die-external contacts 217 be removed until the die-external contacts 217 and the first vias 116 are exposed. After the planarization process, there are tops of the first vias 116 , the die-external contacts 217 , the passivation layer 219 and the encapsulation material 120 coplanar. The planarization process can be, for example, chemical mechanical polishing (CMP), a grinding process, or the like. In some embodiments, the planarization can be omitted, for example when the first vias 116 and / or the die external contacts 217 already exposed.

Nach ihrer Herstellung kann die erste untere Schicht 301 Abmessungen haben, die zum Verkleinern der Gesamtgrundfläche des ersten IPD-Stapels 500 beitragen, wobei immer noch ein Anstieg eines gewünschten Parameters, wie etwa der Kapazität, erzielt wird. Zum Beispiel kann ein erster der ersten IPD-Dies 50A eine erste Höhe H1 von etwa 40 µm bis etwa 500 µm, z. B. von etwa 90 µm, haben, während ein zweiter der IPD-Dies 50B eine zweite Höhe H2 haben kann, die gleich der ersten Höhe H1 oder von dieser verschieden sein kann, wobei die zweite Höhe H2 etwa 40 µm bis etwa 500 µm, z. B. etwa 90 µm, betragen kann. Ebenso kann der erste der ersten IPD-Dies 50A eine erste Breite W1 von etwa 0,1 mm bis etwa 20 mm, z. B. von etwa 5 mm, haben, während der zweite der IPD-Dies 50B eine zweite Breite W2 haben kann, die gleich der ersten Breite W1 oder von dieser verschieden sein kann, wobei die zweite Breite W2 etwa 0,1 mm bis etwa 20 mm, z. B. etwa 5 mm, betragen kann. Es können jedoch alle geeigneten Abmessungen verwendet werden.After its manufacture, the first lower layer can be used 301 Have dimensions that reduce the total footprint of the first IPD stack 500 contribute while still achieving an increase in a desired parameter such as capacity. For example, a first one of the first IPD dies 50A a first height H 1 of about 40 µm to about 500 µm, e.g. B. of about 90 microns, while a second the IPD dies 50B may have a second height H 2 , which may be the same as or different from the first height H 1 , the second height H 2 being about 40 μm to about 500 μm, e.g. B. about 90 microns. Likewise, the first of the first IPD dies 50A a first width W 1 of about 0.1 mm to about 20 mm, e.g. B. of about 5 mm, while the second of the IPD dies 50B may have a second width W 2 which may be the same as or different from the first width W 1 , the second width W 2 from about 0.1 mm to about 20 mm, e.g. B. about 5 mm. However, any suitable dimensions can be used.

Ebenso kann das Verkapselungsmaterial 120 eine dritte Höhe H3 haben, die größer als die erste Höhe H1 und die zweite Höhe H2 ist und etwa 50 µm bis etwa 700 µm, z. B. etwa 100 µm, beträgt. Die rückseitige Umverteilungsstruktur 106 kann eine vierte Höhe H4 haben, die kleiner als die dritte Höhe H3 ist und zum Beispiel etwa 10 µm bis etwa 150 µm, z. B. etwa 40 µm, beträgt. Es können jedoch alle geeigneten Höhen für das Verkapselungsmaterial 120 und die rückseitige Umverteilungsstruktur 106 verwendet werden.The encapsulation material can likewise 120 have a third height H 3 that is greater than the first height H 1 and the second height H 2 and about 50 µm to about 700 µm, e.g. B. about 100 microns. The rear redistribution structure 106 may have a fourth height H 4 that is less than the third height H 3 and, for example, about 10 µm to about 150 µm, e.g. B. about 40 microns. However, any suitable heights for the encapsulation material can be used 120 and the rear redistribution structure 106 be used.

Schließlich kann der erste der ersten IPD-Dies 50A von einem Rand des Verkapselungsmaterials 120 beabstandet sein. Bei einer Ausführungsform kann der erste der ersten IPD-Dies 50A mit einer dritten Breite W3 beabstandet sein, die kleiner als die erste Breite W1 ist und etwa 50 µm bis etwa 2000 µm, z. B. etwa 500 µm, beträgt. Es können jedoch alle geeigneten Abmessungen verwendet werden.Finally, the first of the first IPD may be dies 50A from an edge of the encapsulation material 120 be spaced. In one embodiment, the first of the first IPD dies 50A be spaced with a third width W 3 which is smaller than the first width W 1 and about 50 µm to about 2000 µm, e.g. B. about 500 microns. However, any suitable dimensions can be used.

In 4 wird eine vorderseitige Umverteilungsstruktur 122 über dem Verkapselungsmaterial 120, den ersten Durchkontaktierungen 116 und den ersten IPD-Dies 50A und 50B und in elektrischer Verbindung mit den ersten Durchkontaktierungen 116 und den Die-Außenkontakten 217 hergestellt. Die vorderseitige Umverteilungsstruktur 122 umfasst dielektrische Schichten 124, 128 und 132 und Metallisierungsstrukturen 126, 130 und 134. Die Metallisierungsstrukturen können auch als Umverteilungsschichten oder Umverteilungsleitungen bezeichnet werden. Die vorderseitige Umverteilungsstruktur 122 ist als ein Beispiel mit drei Schichten von Metallisierungsstrukturen gezeigt. In der vorderseitigen Umverteilungsstruktur 122 können mehr oder weniger dielektrische Schichten und Metallisierungsstrukturen und dielektrische Schichten hergestellt werden. Wenn weniger dielektrische Schichten und Metallisierungsstrukturen hergestellt werden sollen, können nachstehend beschriebene Schritte und Prozesse weggelassen werden. Wenn mehr dielektrische Schichten und Metallisierungsstrukturen hergestellt werden sollen, können nachstehend beschriebene Schritte und Prozesse wiederholt werden.In 4th becomes a front-end redistribution structure 122 over the encapsulation material 120 , the first vias 116 and the first IPD dies 50A and 50B and in electrical communication with the first vias 116 and the external contacts 217 produced. The front-end redistribution structure 122 includes dielectric layers 124 , 128 and 132 and metallization structures 126 , 130 and 134 . The metallization structures can also be referred to as redistribution layers or redistribution lines. The front-end redistribution structure 122 is shown as an example with three layers of metallization structures. In the front redistribution structure 122 more or less dielectric layers and metallization structures and dielectric layers can be produced. If fewer dielectric layers and metallization structures are to be produced, the steps and processes described below can be omitted. If more dielectric layers and metallization structures are to be fabricated, the steps and processes described below can be repeated.

Bei einer Ausführungsform wird die dielektrische Schicht 124 auf dem Verkapselungsmaterial 120, den ersten Durchkontaktierungen 116 und den Die-Außenkontakten 217 abgeschieden. Bei einigen Ausführungsformen wird die dielektrische Schicht 124 aus einem lichtempfindlichen Material, wie etwa PBO, Polyimid, BCB oder dergleichen, hergestellt, das unter Verwendung einer lithografischen Maske strukturiert werden kann. Die dielektrische Schicht 124 kann durch Schleuderbeschichtung, Laminierung, CVD oder dergleichen oder eine Kombination davon hergestellt werden. Anschließend wird die dielektrische Schicht 124 strukturiert. Durch das Strukturieren werden Öffnungen erzeugt, die Teile der ersten Durchkontaktierungen 116 und der Die-Außenkontakte 217 freilegen. Das Strukturieren kann mit einem geeigneten Verfahren erfolgen, wie etwa durch Belichten der dielektrischen Schicht 124, wenn sie ein lichtempfindliches Material ist, oder durch Ätzen, zum Beispiel anisotropes Ätzen. Wenn die dielektrische Schicht 124 ein lichtempfindliches Material ist, kann sie nach der Belichtung entwickelt werden.In one embodiment, the dielectric layer is 124 on the encapsulation material 120 , the first vias 116 and the external contacts 217 deposited. In some embodiments, the dielectric layer is 124 made of a photosensitive material such as PBO, polyimide, BCB, or the like, which can be patterned using a lithographic mask. The dielectric layer 124 can be made by spin coating, lamination, CVD, or the like, or a combination thereof. Then the dielectric layer 124 structured. The structuring creates openings, which are parts of the first vias 116 and the external contacts 217 uncover. The structuring can be carried out using a suitable method, such as for example by exposing the dielectric layer to light 124 if it is a photosensitive material, or by etching, for example, anisotropic etching. When the dielectric layer 124 is a photosensitive material, it can be developed after exposure.

Dann wird die Metallisierungsstruktur 126 hergestellt. Die Metallisierungsstruktur 126 umfasst Leitungsteile (die auch als leitfähige Leitungen bezeichnet werden) auf und entlang der Hauptfläche der dielektrischen Schicht 124. Die Metallisierungsstruktur 126 umfasst weiterhin Durchkontaktierungsteile (die auch als leitfähige Durchkontaktierungen bezeichnet werden), die sich durch die dielektrische Schicht 124 erstrecken, um die ersten Durchkontaktierungen 116 und die Die-Außenkontakte 217 physisch und elektrisch zu verbinden. Zum Beispiel kann die Metallisierungsstruktur 126 dadurch hergestellt werden, dass eine Seedschicht über der dielektrischen Schicht 124 und in den Öffnungen hergestellt wird, die sich durch die dielektrische Schicht 124 erstrecken. Bei einigen Ausführungsformen ist die Seedschicht eine Metallschicht, die eine einzelne Schicht oder eine Verbundschicht mit einer Mehrzahl von Teilschichten sein kann, die aus unterschiedlichen Materialien hergestellt sind. Bei einigen Ausführungsformen umfasst die Seedschicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seedschicht kann zum Beispiel durch PVD oder dergleichen hergestellt werden. Dann wird auf der Seedschicht ein Fotoresist hergestellt, das anschließend strukturiert wird. Das Fotoresist kann durch Schleuderbeschichtung oder dergleichen hergestellt werden und kann für die Strukturierung belichtet werden. Die Struktur des Fotoresists entspricht der Metallisierungsstruktur 126. Durch das Strukturieren werden Öffnungen durch das Fotoresist erzeugt, um die Seedschicht freizulegen. In den Öffnungen des Fotoresists und auf den freigelegten Teilen der Seedschicht wird dann ein leitfähiges Material abgeschieden. Das leitfähige Material kann durch Plattierung, wie etwa Elektroplattierung oder stromlose Plattierung, oder dergleichen abgeschieden werden. Das leitfähige Material kann ein Metall wie Kupfer, Titan, Wolfram, Aluminium oder dergleichen sein. Die Kombination aus dem leitfähigen Material und darunter befindlichen Teilen der Seedschicht bildet die Metallisierungsstruktur 126. Dann werden das Fotoresist und die Teile der Seedschicht entfernt, auf denen das leitfähige Material nicht abgeschieden worden ist. Das Fotoresist kann mit einem geeigneten Ablösungs- oder Stripping-Verfahren, zum Beispiel unter Verwendung eines Sauerstoff-Plasmas oder dergleichen, entfernt werden. Nachdem das Fotoresist entfernt worden ist, werden freigelegte Teile der Seedschicht zum Beispiel mit einem geeigneten Ätzprozess, wie etwa durch Nass- oder Trockenätzung, entfernt.Then the metallization structure 126 produced. The metallization structure 126 includes line portions (also referred to as conductive lines) on and along the major surface of the dielectric layer 124 . The metallization structure 126 further includes via portions (also referred to as conductive vias) extending through the dielectric layer 124 extend to the first vias 116 and the external contacts 217 physically and electrically connect. For example, the metallization structure 126 be made by having a seed layer over the dielectric layer 124 and made in the openings extending through the dielectric layer 124 extend. In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer with a plurality of sub-layers made from different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer can be made, for example, by PVD or the like. A photoresist is then produced on the seed layer, which is then structured. The photoresist can be prepared by spin coating or the like and can be used for patterning be exposed. The structure of the photoresist corresponds to the metallization structure 126 . The patterning creates openings through the photoresist in order to expose the seed layer. A conductive material is then deposited in the openings of the photoresist and on the exposed parts of the seed layer. The conductive material can be deposited by plating such as electroplating or electroless plating, or the like. The conductive material can be a metal such as copper, titanium, tungsten, aluminum, or the like. The combination of the conductive material and parts of the seed layer underneath it forms the metallization structure 126 . Then the photoresist and the parts of the seed layer on which the conductive material has not been deposited are removed. The photoresist can be removed by a suitable stripping or stripping process, for example using an oxygen plasma or the like. After the photoresist has been removed, exposed parts of the seed layer are removed, for example using a suitable etching process, such as wet or dry etching.

Auf der Metallisierungsstruktur 126 und der dielektrischen Schicht 124 wird die dielektrische Schicht 128 abgeschieden. Die dielektrische Schicht 128 kann in einer ähnlichen Weise und aus einem ähnlichen Material wie die dielektrische Schicht 124 hergestellt werden. Nach ihrer Herstellung kann die dielektrische Schicht 128 strukturiert werden, um darunter befindliche Teile der Metallisierungsstruktur 126 z. B. mit einem fotolithografischen Maskierungs- und Ätzprozess freizulegen. Es können jedoch alle geeigneten Verfahren und Materialien verwendet werden.On the metallization structure 126 and the dielectric layer 124 becomes the dielectric layer 128 deposited. The dielectric layer 128 may be made in a similar manner and material as the dielectric layer 124 getting produced. After its production, the dielectric layer 128 can be structured to form parts of the metallization structure underneath 126 z. B. to expose with a photolithographic masking and etching process. However, any suitable method and material can be used.

Dann wird die Metallisierungsstruktur 130 hergestellt. Die Metallisierungsstruktur 130 umfasst Leitungsteile auf und entlang der Hauptfläche der dielektrischen Schicht 128. Die Metallisierungsstruktur 130 umfasst weiterhin Durchkontaktierungsteile, die sich durch die dielektrische Schicht 128 erstrecken, um die Metallisierungsstruktur 126 physisch und elektrisch zu verbinden. Die Metallisierungsstruktur 130 kann in einer ähnlichen Weise und aus einem ähnlichen Material wie die Metallisierungsstruktur 126 hergestellt werden. Bei einigen Ausführungsformen hat die Metallisierungsstruktur 130 eine andere Größe als die Metallisierungsstruktur 126. Zum Beispiel können die leitfähigen Leitungen und/oder Durchkontaktierungen der Metallisierungsstruktur 130 breiter oder dicker als die leitfähigen Leitungen und/oder Durchkontaktierungen der Metallisierungsstruktur 126 sein. Außerdem kann die Metallisierungsstruktur 130 mit einem größeren Abstand als die Metallisierungsstruktur 126 hergestellt werden.Then the metallization structure 130 produced. The metallization structure 130 includes lead portions on and along the major surface of the dielectric layer 128 . The metallization structure 130 further includes via portions extending through the dielectric layer 128 extend to the metallization structure 126 physically and electrically connect. The metallization structure 130 can be made in a similar manner and material as the metallization structure 126 getting produced. In some embodiments, the metallization structure has 130 a different size than the metallization structure 126 . For example, the conductive lines and / or vias of the metallization structure 130 wider or thicker than the conductive lines and / or vias of the metallization structure 126 be. In addition, the metallization structure 130 with a greater distance than the metallization structure 126 getting produced.

Auf der Metallisierungsstruktur 130 und der dielektrischen Schicht 128 wird die dielektrische Schicht 132 abgeschieden. Die dielektrische Schicht 132 kann in einer ähnlichen Weise und aus einem ähnlichen Material wie die dielektrische Schicht 124 hergestellt werden. Nach ihrer Herstellung kann die dielektrische Schicht 132 strukturiert werden, um darunter befindliche Teile der Metallisierungsstruktur 130 z. B. mit einem fotolithografischen Maskierungs- und Ätzprozess freizulegen. Es können jedoch alle geeigneten Verfahren und Materialien verwendet werden.On the metallization structure 130 and the dielectric layer 128 becomes the dielectric layer 132 deposited. The dielectric layer 132 may be made in a similar manner and material as the dielectric layer 124 getting produced. After its production, the dielectric layer 132 can be structured to form parts of the metallization structure underneath 130 z. B. to expose with a photolithographic masking and etching process. However, any suitable method and material can be used.

Dann wird die Metallisierungsstruktur 134 hergestellt. Bei der dargestellten Ausführungsform umfasst die Metallisierungsstruktur 134 nur Durchkontaktierungsteile, die sich durch die dielektrische Schicht 132 erstrecken, um die Metallisierungsstruktur 130 physisch und elektrisch zu verbinden, aber bei anderen Ausführungsformen können außer den Durchkontaktierungsteilen auch Leitungsteile verwendet werden. Die Metallisierungsstruktur 134 kann in einer ähnlichen Weise und aus einem ähnlichen Material wie die Metallisierungsstruktur 126 hergestellt werden. Es können jedoch alle geeigneten Verfahren, wie etwa Single- und Dual-Damascene-Prozesse, und alle geeigneten Materialien zum Einsatz kommen.Then the metallization structure 134 produced. In the illustrated embodiment, the metallization structure comprises 134 only via parts that extend through the dielectric layer 132 extend to the metallization structure 130 physically and electrically, but in other embodiments lead parts can be used in addition to the via parts. The metallization structure 134 can be made in a similar manner and material as the metallization structure 126 getting produced. However, all suitable methods, such as single and dual damascene processes, and all suitable materials can be used.

Die Metallisierungsstruktur 134 ist die oberste Metallisierungsstruktur der vorderseitigen Umverteilungsstruktur 122. Daher befinden sich alle Zwischen-Metallisierungsstrukturen der vorderseitigen Umverteilungsstruktur 122 (z. B. die Metallisierungsstrukturen 126 und 130) zwischen der Metallisierungsstruktur 134 und den ersten IPD-Dies 50A und 50B. Bei einigen Ausführungsformen hat die Metallisierungsstruktur 134 eine andere Größe als die Metallisierungsstrukturen 126 und 130. Zum Beispiel können die leitfähigen Leitungen und/oder Durchkontaktierungen der Metallisierungsstruktur 134 breiter oder dicker als die leitfähigen Leitungen und/oder Durchkontaktierungen der Metallisierungsstrukturen 126 und 130 sein. Außerdem kann die Metallisierungsstruktur 134 mit einem größeren Abstand als die Metallisierungsstruktur 130 hergestellt werden.The metallization structure 134 is the top metallization structure of the front redistribution structure 122 . All intermediate metallization structures are therefore located in the front-side redistribution structure 122 (e.g. the metallization structures 126 and 130 ) between the metallization structure 134 and the first IPD dies 50A and 50B . In some embodiments, the metallization structure has 134 a different size than the metallization structures 126 and 130 . For example, the conductive lines and / or vias of the metallization structure 134 wider or thicker than the conductive lines and / or vias of the metallization structures 126 and 130 be. In addition, the metallization structure 134 with a greater distance than the metallization structure 130 getting produced.

5A zeigt ein Platzieren von zweiten IPD-Dies 50C und 50D. Bei einer Ausführungsform können die zweiten IPD-Dies 50C und 50D den ersten IPD-Dies 50A und 50B ähnlich sein, und sie sind so konzipiert, dass sie in Verbindung mit den ersten IPD-Dies 50A und 50B funktionieren, um eine robustere Funktionalität bereitzustellen, als es ansonsten auf einer solchen kleinen Grundfläche möglich wäre. Zum Beispiel funktioniert bei Ausführungsformen, bei denen die ersten IPD-Dies 50A und 50B und die zweiten IPD-Dies 50C und 50D Kondensator-Dies, wie etwa Tiefer-Graben-Kondensator-Dies, sind, die Kombination aus den ersten IPD-Dies 50A und 50B und den zweiten IPD-Dies 50C und 50D so, dass eine größere Kapazität auf einer kleineren Grundfläche bereitgestellt wird, als es bei einer einzelnen Schicht von Vorrichtungen möglich ist. 5A shows placement of second IPD dies 50C and 50D . In one embodiment, the second IPD can die 50C and 50D the first IPD dies 50A and 50B be similar, and they are designed to work in conjunction with the initial IPD dies 50A and 50B work to provide more robust functionality than would otherwise be possible on such a small footprint. For example, in embodiments where the initial IPD dies 50A and 50B and the second IPD dies 50C and 50D Capacitor dies, such as deeper trench capacitor dies, are the combination of the first IPD dies 50A and 50B and the second IPD dies 50C and 50D so as to provide greater capacitance in a smaller footprint than is possible with a single layer of devices.

Bei einer Ausführungsform können die zweiten IPD-Dies 50C und 50D den ersten IPD-Dies 50A und 50B insofern ähnlich sein, als dass sie zum Beispiel ein drittes Substrat 503 (das dem zweiten Substrat 203 ähnlich ist) mit darin und darauf hergestellten Tiefer-Graben-Kondensatoren, zweite Die-Außenkontakte 505 (die den ersten Die-Außenkontakten 217 ähnlich sind) und eine zweite Passivierungsschicht 511 (die der Passivierungsschicht 219 ähnlich ist) aufweisen. Es können jedoch alle geeigneten Strukturen verwendet werden.In one embodiment, the second IPD can die 50C and 50D the first IPD dies 50A and 50B be similar in that they are, for example, a third substrate 503 (that of the second substrate 203 is similar) with deep trench capacitors manufactured in and on it, second die external contacts 505 (the first die-outside contacts 217 are similar) and a second passivation layer 511 (that of the passivation layer 219 is similar). However, any suitable structure can be used.

Bei einer Ausführungsform können die zweiten IPD-Dies 50C und 50D z. B. mit einem Pick-and-Place-Prozess in Kontakt mit der Metallisierungsstruktur 134 gebracht werden, um die zweiten Die-Außenkontakte 505 in physischen Kontakt mit der Metallisierungsstruktur 134 zu bringen. Anschließend können die zweiten IPD-Dies 50C und 50D mit einem geeigneten Bondverfahren, wie etwa Schmelzbondung, Hybridbondung oder Metall-Metall-Bondung, einer Kombination davon oder dergleichen, mit der Metallisierungsstruktur 134 verbunden werden. Es kann jedoch jedes geeignete Bondverfahren zum Einsatz kommen.In one embodiment, the second IPD can die 50C and 50D z. B. with a pick-and-place process in contact with the metallization structure 134 be brought to the second die-external contacts 505 in physical contact with the metallization structure 134 bring to. Then the second IPD dies 50C and 50D with a suitable bonding method, such as melt bonding, hybrid bonding or metal-to-metal bonding, a combination thereof or the like, with the metallization structure 134 get connected. However, any suitable bonding method can be used.

5A zeigt außerdem, dass ein Verkapselungsmaterial 136 auf den und um die zweiten IPD-Dies 50C und 50D hergestellt wird, um eine erste obere Schicht 501 des ersten IPD-Stapels 500 herzustellen. Nach seiner Herstellung verkapselt das Verkapselungsmaterial 136 die zweiten IPD-Dies 50C und 50D. Das Verkapselungsmaterial 136 kann eine Formmasse, ein Epoxid oder dergleichen sein. Das Verkapselungsmaterial 136 kann durch Formpressen, Pressspritzen oder dergleichen aufgebracht werden und kann so über dem Trägersubstrat 102 aufgebracht werden, dass die zweiten IPD-Dies 50C und 50D vergraben oder verdeckt werden. Das Verkapselungsmaterial 136 wird außerdem in Spaltbereichen zwischen den zweiten IPD-Dies 50C und 50D aufgebracht. Das Verkapselungsmaterial 136 kann in einer flüssigen oder halbflüssigen Form aufgebracht werden und anschließend gehärtet werden. 5A also shows that an encapsulation material 136 on and around the second IPD dies 50C and 50D is made to be a first top layer 501 of the first batch of IPDs 500 to manufacture. After its manufacture, the encapsulation material encapsulates 136 the second IPD dies 50C and 50D . The encapsulation material 136 can be a molding compound, an epoxy or the like. The encapsulation material 136 can be applied by compression molding, compression molding or the like and can thus be applied over the carrier substrate 102 be applied that the second IPD dies 50C and 50D buried or covered. The encapsulation material 136 is also used in gap areas between the second IPD dies 50C and 50D upset. The encapsulation material 136 can be applied in a liquid or semi-liquid form and then cured.

Bei einigen Ausführungsformen kann der zweite IPD-Die 50C eine fünfte Höhe H5 von etwa 40 µm bis etwa 500 µm, z. B. etwa 90 µm, haben. Der zweite IPD-Die 50D kann eine sechste Höhe H6 haben, die gleich der oder größer oder kleiner als die fünfte Höhe H5 sein kann und etwa 40 µm bis etwa 500 µm, z. B. 90 µm, betragen kann. Es können jedoch alle geeigneten Höhen verwendet werden.In some embodiments, the second IPD die 50C a fifth height H 5 of about 40 µm to about 500 µm, e.g. B. about 90 microns. The second IPD die 50D may have a sixth height H 6 , which may be equal to or greater than or less than the fifth height H 5 and about 40 µm to about 500 µm, e.g. B. 90 microns, can be. However, any suitable heights can be used.

Außerdem kann das Verkapselungsmaterial 136 mit einer siebenten Höhe H7 hergestellt werden, die größer als die fünfte Höhe H5 und die sechste Höhe H6 sein kann. Zum Beispiel kann das Verkapselungsmaterial 136 so hergestellt werden, dass die siebente Höhe H7 etwa 50 µm etwa 700 µm, z. B. etwa 100 µm, beträgt. Es können jedoch alle geeigneten Höhen verwendet werden.In addition, the encapsulation material 136 can be made with a seventh height H 7 , which can be greater than the fifth height H 5 and the sixth height H 6 . For example, the encapsulation material 136 be made so that the seventh height H 7 about 50 microns about 700 microns, z. B. about 100 microns. However, any suitable heights can be used.

Schließlich kann der erste der zweiten IPD-Dies 50C von einem Rand des Verkapselungsmaterials 136 beabstandet sein. Bei einer Ausführungsform kann der erste der zweiten IPD-Dies 50C mit einer vierten Breite W4 beabstandet sein, die größer oder kleiner als die oder gleich der dritten Breite W3 (in der ersten unteren Schicht 301) ist und etwa 50 µm bis etwa 2000 µm, z. B. etwa 500 µm, beträgt. Bei Ausführungsformen, bei denen die vierte Breite W4 größer als die dritte Breite W3 ist, kann die Struktur Verformungen in der gesamten Struktur besser kompensieren. Jedoch können bei Ausführungsformen, bei denen die vierte Breite W4 größer als die dritte Breite W3 ist, die zweiten IPD-Dies 50C größer sein, was zu einer höheren Gesamtkapazität führt. Es können jedoch alle geeigneten Abmessungen verwendet werden.Finally, the first of the second IPD dies 50C from an edge of the encapsulation material 136 be spaced. In one embodiment, the first of the second IPD dies 50C be spaced with a fourth width W 4 that is greater than or less than or equal to the third width W 3 (in the first lower layer 301 ) and about 50 µm to about 2000 µm, e.g. B. about 500 microns. In embodiments in which the fourth width W 4 is greater than the third width W 3 , the structure can better compensate for deformations in the entire structure. However, in embodiments where the fourth width W 4 is greater than the third width W 3 , the second IPD dies 50C be larger, resulting in a higher total capacity. However, any suitable dimensions can be used.

5A zeigt außerdem eine Trägersubstrat-Ablösung zum Ablösen des Trägersubstrats 102 von der rückseitigen Umverteilungsstruktur 106, z. B. der dielektrischen Schicht 108. Bei einigen Ausführungsformen umfasst das Ablösen ein Projizieren von Licht, wie etwa von Laserlicht oder UV-Licht, auf die Ablöseschicht 104, sodass sich die Ablöseschicht 104 durch die Wärme des Lichts zersetzt und das Trägersubstrat 102 entfernt werden kann. Dann wird die Struktur gewendet und auf einem Band platziert. 5A also shows a carrier substrate detachment for detaching the carrier substrate 102 from the rear redistribution structure 106 , e.g. B. the dielectric layer 108 . In some embodiments, the stripping includes projecting light, such as laser light or UV light, onto the release liner 104 so that the release layer 104 decomposed by the heat of light and the carrier substrate 102 can be removed. Then the structure is turned over and placed on a belt.

Leitfähige Verbindungselemente 152 werden so hergestellt, dass sie sich durch die dielektrische Schicht 108 erstrecken, um die Metallisierungsstruktur 110 zu kontaktieren. Bei einer Ausführungsform können die leitfähigen Verbindungselemente 152 dadurch platziert werden, dass zunächst Öffnungen durch die dielektrische Schicht 108 erzeugt werden, um Teile der Metallisierungsstruktur 110 freizulegen. Die Öffnungen können zum Beispiel durch Laserbohren, Ätzen oder dergleichen erzeugt werden. Die leitfähigen Verbindungselemente 152 können Kontakthügel, wie etwa Mikrobumps oder C4-Kontakthügel, sein, und sie können ein Material wie Zinn oder andere geeignete Materialien, wie etwa Silber oder Kupfer, aufweisen. Bei einer Ausführungsform, bei der die leitfähigen Verbindungselemente 152 Kontakthügel sind, können die leitfähigen Verbindungselemente 152 ein Material wie Zinn oder andere geeignete Materialien aufweisen, wie etwa Silber, bleifreies Zinn oder Kupfer. Bei einer Ausführungsform, bei der die leitfähigen Verbindungselemente 152 Lötzinn-Kontakthügel sind, können die leitfähigen Verbindungselemente 152 dadurch hergestellt werden, dass zunächst eine Schicht aus Zinn mit solchen allgemein üblichen Verfahren wie Aufdampfung, Elektroplattierung, Drucken, Lotübertragung, Kugelplatzierung usw. mit einer Dicke von z. B. etwa 100 µm hergestellt wird. Nachdem die Schicht aus Zinn auf der Struktur hergestellt worden ist, kann ein Aufschmelzprozess durchgeführt werden, um das Material in die gewünschten Kontakthügelformen zu bringen.Conductive fasteners 152 are manufactured in such a way that they penetrate the dielectric layer 108 extend to the metallization structure 110 to contact. In one embodiment, the conductive connection elements 152 are placed by first opening openings through the dielectric layer 108 are generated to parts of the metallization structure 110 to expose. The openings can be produced, for example, by laser drilling, etching or the like. The conductive connecting elements 152 can be bumps such as microbumps or C 4 bumps, and they can comprise a material such as tin or other suitable materials such as silver or copper. In one embodiment in which the conductive connecting elements 152 Contact bumps are, the conductive connecting elements 152 comprise a material such as tin or other suitable materials such as silver, lead-free tin, or copper. In one embodiment in which the conductive connecting elements 152 Solder bumps can be conductive Fasteners 152 be made by first forming a layer of tin with such commonly used methods as vapor deposition, electroplating, printing, solder transfer, ball placement, etc. to a thickness of e.g. B. is made about 100 microns. After the layer of tin has been produced on the structure, a reflow process can be performed to bring the material into the desired bump shapes.

Bei anderen Ausführungsformen können die leitfähigen Verbindungselemente 152 leitfähige Säulen, wie etwa Kupfersäulen, sein, und sie können ein oder mehrere leitfähige Materialien, wie etwa Kupfer, Wolfram, andere leitfähige Materialien oder dergleichen, aufweisen und können zum Beispiel durch Elektroplattierung, stromlose Plattierung oder dergleichen mit einer Seedschicht und einem platzierten und strukturierten Fotoresist hergestellt werden. Bei einer Ausführungsform wird ein Elektroplattierungsprozess verwendet, bei dem die Seedschicht und das Fotoresist in ein Galvanisierbad, wie etwa eine Kupfersulfat(CuSO4)-haltige Lösung, getaucht werden. Die Oberfläche der Seedschicht wird mit der negativen Seite einer externen Gleichstromquelle elektrisch verbunden, sodass bei dem Elektroplattierungsprozess die Seedschicht als die Katode fungiert. Eine feste leitfähige Anode, wie etwa eine Kupferanode, wird ebenfalls in das Bad getaucht und wird an der passiven Seite der Stromquelle befestigt. Die Atome von der Anode werden in das Bad freigesetzt, und die Katode, z. B. die Seedschicht, nimmt die freigesetzten Atome auf, wodurch die freiliegenden leitfähigen Bereiche der Seedschicht in der Öffnung des Fotoresists plattiert werden. Nach der Herstellung der leitfähigen Verbindungselemente 152 kann das Fotoresist entfernt werden, und die darunter befindliche freiliegende Seedschicht kann ebenfalls entfernt werden.In other embodiments, the conductive connection elements 152 conductive pillars, such as copper pillars, and they can comprise one or more conductive materials, such as copper, tungsten, other conductive materials, or the like, and can be, for example, by electroplating, electroless plating, or the like with a seed layer and a placed and patterned Photoresist can be produced. In one embodiment, an electroplating process is used in which the seed layer and photoresist are immersed in an electroplating bath, such as a solution containing copper sulfate (CuSO 4 ). The surface of the seed layer is electrically connected to the negative side of an external DC power source so that the seed layer functions as the cathode in the electroplating process. A solid conductive anode, such as a copper anode, is also immersed in the bath and attached to the passive side of the power source. The atoms from the anode are released into the bath and the cathode, e.g. B. the seed layer, picks up the released atoms, thereby plating the exposed conductive areas of the seed layer in the opening of the photoresist. After the conductive connection elements have been made 152 For example, the photoresist can be removed and the exposed seed layer underneath can also be removed.

Außerdem können die leitfähigen Verbindungselemente 152 in einer Matrix von Zeilen und Spalten entlang einer Unterseite der dielektrischen Schicht 108 angeordnet werden. Weiterhin kann eine einzelne Zeile nur Erdanschlüsse umfassen, während benachbarte Zeilen nur Stromanschlüsse umfassen können. Daher gibt es parallele Leitungen mit Erdanschlüssen und Stromanschlüssen entlang der Unterseite der dielektrischen Schicht 108. Es kann jedoch jede geeignete Anordnung verwendet werden.In addition, the conductive connecting elements 152 in a matrix of rows and columns along a bottom of the dielectric layer 108 to be ordered. Furthermore, a single row can only include ground connections, while adjacent rows can only include power connections. Hence there are parallel lines with earth connections and power connections along the bottom of the dielectric layer 108 . However, any suitable arrangement can be used.

Nachdem die zweiten IPD-Dies 50C und 50D verkapselt worden sind, wird ein Vereinzelungsprozess durch Zersägen entlang Ritzgrabenbereichen, z. B. zwischen dem ersten Package-Bereich 100A und anderen Package-Bereichen, durchgeführt, um den ersten IPD-Stapel 500 herzustellen. Der resultierende vereinzelte erste IPD-Stapel 500 stammt aus dem ersten Package-Bereich 100A. Es kann jedoch jeder Vereinzelungsprozess verwendet werden.After the second IPD dies 50C and 50D have been encapsulated, a dicing process is carried out by sawing along scribed trench areas, e.g. B. between the first package area 100A and other package areas, carried out to the first IPD stack 500 to manufacture. The resulting isolated first IPD stack 500 comes from the first package area 100A . However, any singulation process can be used.

5B zeigt eine Äquivalenzschaltung, die die Ersatzkapazität darstellt, die mit dem ersten IPD-Stapel 500 erzielt werden kann. Bei dieser Ausführungsform wird eine Kapazität (Ca), die von der ersten unteren Schicht 301 erhalten werden kann, in einem gestrichelten Kasten 507 dargestellt (wobei die einzelnen Kapazitäten der einzelnen Kondensatoren mit C1, C2, ... bezeichnet sind), während eine Kapazität (Cb), die von der ersten oberen Schicht 501 erhalten werden kann, in einem gestrichelten Kasten 509 dargestellt ist (wobei die einzelnen Kapazitäten der einzelnen Kondensatoren mit C1, C2, ... bezeichnet sind). Wie zu erkennen ist, können dadurch, dass die Kondensatoren in jedem der IPD-Dies (z. B. den ersten IPD-Dies 50A und 50B und den zweiten IPD-Dies 50C und 50D) aufeinandergestapelt und miteinander verbunden werden, die IPD-Dies miteinander parallel geschaltet werden. Daher kann die Gesamtkapazität (CT) für den ersten IPD-Stapel 500 die Summe aus der Kapazität (Ca), die von der ersten unteren Schicht 301 erhalten werden kann, und der Kapazität (Cb) sein, die von der ersten oberen Schicht 501 erhalten werden kann (d. h., CT = Ca + Cb). Daher kann eine größere Kapazität erhalten werden, ohne die Gesamtgrundfläche zu vergrößern. 5B Figure 12 shows an equivalent circuit representing the equivalent capacitance obtained with the first IPD stack 500 can be achieved. In this embodiment, a capacitance (C a ) used by the first lower layer 301 can be obtained in a dashed box 507 shown (where the individual capacitances of the individual capacitors are labeled C 1 , C 2 , ...), while a capacitance (C b ), which is from the first upper layer 501 can be obtained in a dashed box 509 is shown (whereby the individual capacitances of the individual capacitors are labeled C 1 , C 2 , ...). As can be seen, the capacitors in each of the IPD dies (e.g. the first IPD dies 50A and 50B and the second IPD dies 50C and 50D) stacked on top of each other and connected to each other, the IPD dies are connected in parallel with each other. Therefore, the total capacity (C T ) for the first IPD stack can be 500 the sum of the capacitance (C a ) by the first lower layer 301 can be obtained and the capacitance (C b ) that of the first upper layer 501 can be obtained (ie, C T = C a + C b ). Therefore, a larger capacity can be obtained without increasing the total footprint.

6 zeigt ein Platzieren des ersten IPD-Stapels 500 auf einer dritten Umverteilungsstruktur 138. Bei einer Ausführungsform kann die dritte Umverteilungsstruktur 138 ähnlich wie die rückseitige Umverteilungsstruktur 106 hergestellt werden. Zum Beispiel kann die dritte Umverteilungsstruktur 138 auf einem Trägersubstrat (nicht einzeln dargestellt) hergestellt werden, und dann können eine oder mehrere Seiten der dritten Umverteilungsstruktur 138 freigelegt werden, um Stellen zum weiteren Bonden bereitzustellen. Es können jedoch alle geeigneten Verfahren und Materialien zum Herstellen der dritten Umverteilungsstruktur 138 zum Einsatz kommen. 6th shows a placement of the first IPD stack 500 on a third redistribution structure 138 . In one embodiment, the third redistribution structure 138 similar to the rear redistribution structure 106 getting produced. For example, the third redistribution structure 138 on a carrier substrate (not shown individually), and then one or more sides of the third redistribution structure 138 are exposed to provide sites for further bonding. However, any suitable methods and materials for producing the third redistribution structure can be used 138 come into use.

Nachdem die dritte Umverteilungsstruktur 138 hergestellt worden ist, kann der erste IPD-Stapel 500 an der dritten Umverteilungsstruktur 138 befestigt werden. Bei einer Ausführungsform kann der erste IPD-Stapel 500 z. B. mit einem Pick-and-Place-Prozess in Kontakt mit der dritten Umverteilungsstruktur 138 gebracht werden. Nach der Kontaktherstellung kann der erste IPD-Stapel 500 mit einem geeigneten Bondverfahren, wie etwa Aufschmelzung, Schmelzbondung, Hybridbondung oder Metall-Metall-Bondung, einer Kombination davon oder dergleichen, an die dritte Umverteilungsstruktur 138 gebondet werden.After the third redistribution structure 138 has been established, the first IPD stack can 500 on the third redistribution structure 138 attached. In one embodiment, the first IPD stack can 500 z. B. with a pick-and-place process in contact with the third redistribution structure 138 to be brought. After making contact, the first IPD stack 500 with a suitable bonding method, such as melting, fusion bonding, hybrid bonding or metal-to-metal bonding, a combination thereof or the like, to the third redistribution structure 138 be bonded.

6 zeigt weiterhin, dass außer dem ersten IPD-Stapel 500 auch ein erster funktioneller Die 60A und ein zweiter funktioneller Die 60B an die dritte Umverteilungsstruktur 138 gebondet werden. Bei einer Ausführungsform kann der erste funktionelle Die 60A eine Logikvorrichtung sein, wie etwa ein System-on-a-Chip (SoC), ein Hauptprozessor (CPU), ein Grafikprozessor (GPU), ein Microcontroller oder dergleichen. Der zweite funktionelle Die 60B kann eine Speichervorrichtung sein, wie etwa ein HBM-Modul (HBM: Speicher mit hoher Bandbreite), ein DRAM-Die (DRAM: dynamischer Direktzugriffsspeicher), ein SRAM-Die (SRAM: statischer Direktzugriffsspeicher), ein HMC-Modul (HMC: Hybridspeicherwürfel) oder dergleichen. Bei einigen Ausführungsformen kann der erste funktionelle Die 60A ein SoC-Die sein, und der zweite funktionelle Die 60B kann ein Speicher mit hoher Bandbreite sein. Der erste funktionelle Die 60A und der zweite funktionelle Die 60B können in Prozessen des gleichen Technologieknotens oder in Prozessen mit unterschiedlichen Technologieknoten hergestellt werden. Zum Beispiel kann der erste funktionelle Die 60A ein Die eines moderneren Prozessknotens als der zweite funktionelle Die 60B sein. Der erste funktionelle Die 60A und der zweite funktionelle Die 60B können unterschiedliche Größen (z. B. unterschiedliche Höhen und/oder Flächeninhalte) haben, oder sie können die gleiche Größe (z. B. gleiche Höhen und/oder gleiche Flächeninhalte) haben. 6th still shows that except for the first IPD stack 500 also a first functional die 60A and a second functional die 60B to the third redistribution structure 138 be bonded. In one embodiment, the first functional die 60A be a logic device like for example a system-on-a-chip (SoC), a main processor (CPU), a graphics processor (GPU), a microcontroller or the like. The second functional die 60B may be a storage device such as an HBM module (HBM: high bandwidth memory), a DRAM die (DRAM: dynamic random access memory), an SRAM die (SRAM: static random access memory), an HMC module (HMC: hybrid memory cube ) or similar. In some embodiments, the first functional die 60A one SoC die, and the second functional die 60B can be high bandwidth storage. The first functional die 60A and the second functional die 60B can be produced in processes of the same technology node or in processes with different technology nodes. For example, the first functional Die 60A one die of a more modern process node than the second functional die 60B be. The first functional die 60A and the second functional die 60B can have different sizes (e.g. different heights and / or areas), or they can have the same size (e.g. same heights and / or same areas).

Bei einer Ausführungsform können der erste funktionelle Die 60A und der zweite funktionelle Die 60B z. B. mit einem Pick-and-Place-Prozess in Kontakt mit der dritten Umverteilungsstruktur 138 gebracht werden, wodurch Außenanschlüsse (die bei einigen Ausführungsformen den leitfähigen Verbindungselementen 152 ähnlich sind) in physischen Kontakt mit leitfähigen Teilen der dritten Umverteilungsstruktur 138 gebracht werden. Nach der Kontaktherstellung können der erste funktionelle Die 60A und der zweite funktionelle Die 60B mit einem geeigneten Bondverfahren, wie etwa Aufschmelzung, Schmelzbondung, Hybridbondung oder Metall-Metall-Bondung, einer Kombination davon oder dergleichen, mit der dritten Metallisierungsstruktur 138 verbunden werden.In one embodiment, the first functional die 60A and the second functional die 60B z. B. with a pick-and-place process in contact with the third redistribution structure 138 are brought, whereby external connections (which in some embodiments the conductive connecting elements 152 are similar) in physical contact with conductive parts of the third redistribution structure 138 to be brought. After making contact, the first functional die 60A and the second functional die 60B with a suitable bonding method, such as melting, fusion bonding, hybrid bonding or metal-metal bonding, a combination thereof or the like, with the third metallization structure 138 get connected.

Bei einigen Ausführungsformen kann eine Unterfüllung 144 zwischen der dritten Umverteilungsstruktur 138 und dem ersten funktionellen Die 60A, zwischen der dritten Umverteilungsstruktur 138 und dem zweiten funktionellen Die 60B und zwischen der dritten Umverteilungsstruktur 138 und dem ersten IPD-Stapel 500 hergestellt werden. Die Unterfüllung 144 kann eine mechanische Spannung reduzieren und Verbindungsstellen schützen, die durch das Aufschmelzen der leitfähigen Verbindungselemente 152 entstehen. Die Unterfüllung 144 kann mit einem Kapillarfluss-Verfahren hergestellt werden, nachdem der erste funktionelle Die 60A, der zweite funktionelle Die 60B und der erste IPD-Stapel 500 befestigt worden sind, oder sie kann mit einem geeigneten Abscheidungsverfahren hergestellt werden, bevor der erste funktionelle Die 60A, der zweite funktionelle Die 60B und der erste IPD-Stapel 500 befestigt werden.In some embodiments, an underfill 144 between the third redistribution structure 138 and the first functional die 60A , between the third redistribution structure 138 and the second functional die 60B and between the third redistribution structure 138 and the first IPD batch 500 getting produced. The underfill 144 can reduce mechanical tension and protect connection points caused by the melting of the conductive connection elements 152 arise. The underfill 144 can be made with a capillary flow process after the first functional die 60A , the second functional die 60B and the first IPD batch 500 have been attached, or they can be fabricated with a suitable deposition process prior to the first functional die 60A , the second functional die 60B and the first IPD batch 500 attached.

6 zeigt außerdem, dass ein Verkapselungsmaterial 146 auf und um den ersten funktionellen Die 60A, den zweiten funktionellen Die 60B und den ersten IPD-Stapel 500 aufgebracht wird, um eine erste verkappte Struktur 601 herzustellen. Das Verkapselungsmaterial 146 kann durch Formpressen, Pressspritzen oder dergleichen aufgebracht werden und kann so um den ersten funktionellen Die 60A, den zweiten funktionellen Die 60B und den ersten IPD-Stapel 500 aufgebracht werden, dass diese vergraben oder verdeckt werden. Das Verkapselungsmaterial 146 wird außerdem in Spaltbereichen zwischen dem ersten IPD-Stapel 500, dem ersten funktionellen Die 60A und dem zweiten funktionellen Die 60B aufgebracht. Das Verkapselungsmaterial 146 kann in einer flüssigen oder halbflüssigen Form aufgebracht werden und anschließend gehärtet werden. 6th also shows that an encapsulation material 146 on and around the first functional die 60A , the second functional die 60B and the first batch of IPDs 500 is applied to a first capped structure 601 to manufacture. The encapsulation material 146 can be applied by compression molding, transfer molding or the like and can thus be applied around the first functional die 60A , the second functional die 60B and the first batch of IPDs 500 applied so that they are buried or covered. The encapsulation material 146 will also be in gap areas between the first IPD stack 500 , the first functional die 60A and the second functional die 60B upset. The encapsulation material 146 can be applied in a liquid or semi-liquid form and then cured.

6 zeigt weiterhin, dass ein Planarisierungsprozess an dem Verkapselungsmaterial 146 durchgeführt wird. Mit dem Planarisierungsprozess kann auch Material des ersten IPD-Stapels 500, des ersten funktionellen Dies 60A und des zweiten funktionellen Dies 60B entfernt werden. Nach dem Planarisierungsprozess sind Oberseiten des ersten IPD-Stapels 500, des ersten funktionellen Dies 60A, des zweiten funktionellen Dies 60B und des Verkapselungsmaterials 146 koplanar. Der Planarisierungsprozess kann zum Beispiel eine chemisch-mechanische Polierung (CMP), ein Schleifprozess oder dergleichen sein. Bei einigen Ausführungsformen kann die Planarisierung entfallen. 6th further shows that a planarization process on the encapsulation material 146 is carried out. Material from the first IPD stack can also be used with the planarization process 500 , the first functional dies 60A and the second functional die 60B removed. After the planarization process, there are tops of the first IPD stack 500 , the first functional dies 60A , the second functional die 60B and the encapsulation material 146 coplanar. The planarization process can be, for example, chemical mechanical polishing (CMP), a grinding process, or the like. In some embodiments, the planarization can be omitted.

Nachdem das Verkapselungsmaterial 146 platziert worden ist, können zweite leitfähige Verbindungselemente 603 auf einer dem ersten IPD-Stapel 500 gegenüberliegenden Seite der dritten Umverteilungsstruktur 138 platziert oder hergestellt werden. Bei einer Ausführungsform können die zweiten leitfähigen Verbindungselemente 603 den leitfähigen Verbindungselementen 152 insofern ähnlich sein, als dass sie leitfähige Kugeln, wie etwa Lotkugeln, oder leitfähige Säulen sind. Es können jedoch alle geeigneten Materialien und Verfahren zum Einsatz kommen.After the encapsulation material 146 has been placed, second conductive connecting elements can 603 on one of the first IPD stack 500 opposite side of the third redistribution structure 138 placed or manufactured. In one embodiment, the second conductive connection elements 603 the conductive connecting elements 152 be similar in that they are conductive balls, such as solder balls, or conductive pillars. However, any suitable materials and methods can be used.

7 zeigt, dass nach dem Verkapseln des ersten IPD-Stapels 500, des ersten funktionellen Dies 60A und des zweiten funktionellen Dies 60B die erste verkappte Struktur 601 an einem Substrat 150 befestigt werden kann. Bei einer Ausführungsform kann das Substrat 150 einen isolierenden Kern, wie etwa einen glasfaserverstärktes Harzkern, aufweisen. Ein beispielhaftes Kernmaterial ist Glasfaser-Harz, wie etwa FR4. Bei anderen Ausführungsformen ist das Kernmaterial ein Bismaleimid-Triazin(BT)-Harz oder ein anderes Leiterplatten(PCB)-Material oder -Schicht. Aufbauschichten, wie etwa eine Ajinomoto-Aufbauschicht (ABF), oder andere Schichtstoffe können ebenfalls für das Substrat 150 verwendet werden. 7th shows that after encapsulating the first IPD stack 500 , the first functional dies 60A and the second functional die 60B the first masked structure 601 on a substrate 150 can be attached. In one embodiment, the substrate 150 an insulating core such as a glass fiber reinforced resin core. An exemplary core material is fiberglass resin such as FR4. In other embodiments, the core material is a bismaleimide triazine (BT) resin or other printed circuit board (PCB) material or layer. Build-up layers, such as an Ajinomoto build-up layer (ABF), or other laminates can also be used for the substrate 150 be used.

Das Substrat 150 kann aktive und passive Vorrichtungen (nicht dargestellt) aufweisen. Zum Erfüllen der baulichen und Funktionsanforderungen an den Entwurf kann eine breite Palette von Vorrichtungen verwendet werden, wie etwa Transistoren, Kondensatoren oder Widerstände, Kombinationen davon oder dergleichen.The substrate 150 may include active and passive devices (not shown). A wide variety of devices, such as transistors, capacitors or resistors, combinations thereof, or the like, can be used to meet the structural and functional requirements of the design.

Das Substrat 150 kann Metallisierungsschichten und leitfähige Durchkontaktierungen 208 auf beiden Seiten des Isolierkerns aufweisen. Die Metallisierungsstrukturen können über den aktiven und passiven Vorrichtungen hergestellt werden und können so konzipiert sein, dass sie die verschiedenen Vorrichtungen zu funktionellen Schaltungen verbinden. Die Metallisierungsschichten können aus wechselnden Schichten aus dielektrischem Material (z. B. einem dielektrischen Low-k-Material) und leitfähigem Material (z. B. Kupfer) hergestellt werden, wobei Durchkontaktierungen die Schichten aus leitfähigem Material miteinander verbinden, und die Metallisierungsschichten können mit jedem geeigneten Verfahren (z. B. Abscheidung, Single- oder Dual-Damascene-Prozess oder dergleichen) hergestellt werden. Bei anderen Ausführungsformen weist das Substrat 150 keine aktiven und passiven Vorrichtungen auf.The substrate 150 can metallization layers and conductive vias 208 on both sides of the insulating core. The metallization structures can be fabricated over the active and passive devices and can be designed to interconnect the various devices into functional circuits. The metallization layers can be made from alternating layers of dielectric material (e.g. a low-k dielectric material) and conductive material (e.g. copper), with vias connecting the layers of conductive material together, and the metallization layers can with any suitable method (e.g. deposition, single or dual damascene process, or the like). In other embodiments, the substrate 150 no active or passive devices.

Das Substrat 150 kann Bondpads 04 auf einer ersten Seite des Substrats 150 und Bondpads 206 auf einer zweiten Seite des Substrats 150 haben (wobei die zweite Seite der ersten Seite des Substrats 150 gegenüberliegt), um die zweiten leitfähigen Verbindungselemente 603 zu verbinden. Bei einigen Ausführungsformen werden die Bondpads 204 und 206 durch Erzeugen von Aussparungen (nicht dargestellt) in dielektrischen Schichten (nicht dargestellt) auf der ersten und der zweiten Seite des Substrats 150 hergestellt. Die Aussparungen können so erzeugt werden, dass die Bondpads 204 und 206 in die dielektrischen Schichten eingebettet werden können. Bei anderen Ausführungsformen werden die Aussparungen weggelassen, da die Bondpads 204 und 206 auf der dielektrischen Schicht hergestellt werden können. Bei einigen Ausführungsformen umfassen die Bondpads 204 und 206 eine dünne Seedschicht (nicht dargestellt), die aus Kupfer, Titan, Nickel, Gold, Palladium oder dergleichen oder einer Kombination davon hergestellt ist. Das leitfähige Material für die Bondpads 204 und 206 kann über der dünnen Seedschicht abgeschieden werden. Das leitfähige Material kann durch elektrochemische Plattierung, stromlose Plattierung, CVD, ALD, PVD oder dergleichen oder eine Kombination davon abgeschieden werden. Bei einer Ausführungsform ist das leitfähige Material der Bondpads 204 und 206 Kupfer, Wolfram, Aluminium, Silber, Gold oder dergleichen oder eine Kombination davon.The substrate 150 can bond pads 04 on a first side of the substrate 150 and bond pads 206 on a second side of the substrate 150 have (the second side being the first side of the substrate 150 opposite) to the second conductive connecting elements 603 connect to. In some embodiments, the bond pads 204 and 206 by creating recesses (not shown) in dielectric layers (not shown) on the first and second sides of the substrate 150 produced. The recesses can be created in such a way that the bond pads 204 and 206 can be embedded in the dielectric layers. In other embodiments, the recesses are omitted because the bond pads 204 and 206 can be fabricated on the dielectric layer. In some embodiments, the bond pads include 204 and 206 a thin seed layer (not shown) made of copper, titanium, nickel, gold, palladium, or the like, or a combination thereof. The conductive material for the bond pads 204 and 206 can be deposited over the thin seed layer. The conductive material can be deposited by electrochemical plating, electroless plating, CVD, ALD, PVD, or the like, or a combination thereof. In one embodiment, the conductive material is the bond pads 204 and 206 Copper, tungsten, aluminum, silver, gold, or the like, or a combination thereof.

Bei einer Ausführungsform sind die Bondpads 204 und 206 UBMs, die drei Schichten aus leitfähigen Materialien aufweisen, wie etwa eine Schicht aus Titan, eine Schicht aus Kupfer und eine Schicht aus Nickel. Es können aber auch andere Anordnungen von Materialien und Schichten, wie etwa eine Anordnung Chrom / Chrom-Kupfer-Legierung / Kupfer / Gold, eine Anordnung Titan / Titan-Wolfram / Kupfer oder eine Anordnung Kupfer / Nickel / Gold, für die Herstellung der Bondpads 204 und 206 verwendet werden. Alle geeigneten Materialien oder Materialschichten, die für die Bondpads 204 und 206 verwendet werden können, sollen vollständig innerhalb des Schutzumfangs der vorliegenden Anmeldung liegen.In one embodiment, the bond pads are 204 and 206 UBMs that have three layers of conductive materials, such as a layer of titanium, a layer of copper, and a layer of nickel. However, other arrangements of materials and layers, such as an arrangement of chromium / chromium-copper alloy / copper / gold, an arrangement of titanium / titanium-tungsten / copper or an arrangement of copper / nickel / gold, can also be used for the production of the bond pads 204 and 206 be used. Any suitable materials or layers of material used for the bond pads 204 and 206 are intended to be entirely within the scope of the present application.

Bei einigen Ausführungsformen kann eine Unterfüllung 154 zwischen der ersten verkappten Struktur 601 und dem Substrat 150 hergestellt werden. Die Unterfüllung 154 kann eine mechanische Spannung reduzieren und Verbindungsstellen schützen, die durch das Aufschmelzen der zweiten leitfähigen Verbindungselemente 603 entstehen. Die Unterfüllung 154 kann mit einem Kapillarfluss-Verfahren hergestellt werden, nachdem der Struktur befestigt worden ist, oder sie kann mit einem geeigneten Abscheidungsverfahren hergestellt werden, bevor die Struktur befestigt wird.In some embodiments, an underfill 154 between the first masked structure 601 and the substrate 150 getting produced. The underfill 154 can reduce mechanical stress and protect connection points caused by the melting of the second conductive connection elements 603 arise. The underfill 154 can be made with a capillary flow process after the structure has been attached, or it can be made with a suitable deposition process before the structure is attached.

Bei einigen Ausführungsformen werden die zweiten leitfähigen Verbindungselemente 603 aufgeschmolzen, um die erste verkappte Struktur 601 an den Bondpads 206 zu befestigen. Die zweiten leitfähigen Verbindungselemente 603 verbinden die Strukturen, wie etwa die Metallisierungsschichten 208 in dem Substrat 150, elektrisch und/oder physisch mit der ersten verkappten Struktur 601. Bei einigen Ausführungsformen wird ein Lotresist auf dem Substratkern hergestellt. Die erste verkappte Struktur 601 kann in Öffnungen in dem Lotresist angeordnet werden, um mit den Bondpads 206 elektrisch und mechanisch verbunden zu werden. Das Lotresist kann zum Schützen von Bereichen des Substrats 150 gegen äußere Beschädigung verwendet werden.In some embodiments, the second conductive connection elements are 603 melted to the first masked structure 601 on the bond pads 206 to fix. The second conductive connection elements 603 connect the structures, such as the metallization layers 208 in the substrate 150 , electrically and / or physically with the first capped structure 601 . In some embodiments, a solder resist is made on the substrate core. The first hidden structure 601 can be placed in openings in the solder resist to connect with the bond pads 206 to be connected electrically and mechanically. The solder resist can be used to protect areas of the substrate 150 can be used against external damage.

Durch Verwenden des ersten IPD-Stapels 500 kann der Gesamtstruktur eine erhöhte Kapazität verliehen werden, damit sie mit dem ersten funktionellen Die 60A und dem zweiten funktionellen Die 60B funktionieren kann. Dies kann erreicht werden, ohne dass eine größere Grundfläche benötigt wird, was die Gesamtgröße der Vorrichtung beeinträchtigen würde. Schließlich kann durch Wählen der Anzahl und Größe der einzelnen IPD-Dies eine exakte Kapazität erhalten werden, ohne dass die Gesamtstruktur völlig neu konzipiert werden muss.By using the first batch of IPDs 500 the overall structure can be given an increased capacity so that it can cope with the first functional die 60A and the second functional die 60B can work. This can be achieved without requiring a larger footprint, which would affect the overall size of the device. Finally, by choosing the number and size of each IPD die, exact capacity can be obtained without having to completely redesign the overall structure.

8 zeigt eine weitere Ausführungsform, bei der die ersten IPD-Dies 50A und 50B und die zweiten IPD-Dies 50C und 50D in einer Vorderseite-an-Rückseite-Konfiguration verbunden werden, statt in einer Vorderseite-an-Vorderseite-Konfiguration verbunden zu werden, wie sie vorstehend unter Bezugnahme auf die 2 bis 7 beschrieben worden ist. Insbesondere werden bei dieser Ausführungsform die ersten IPD-Dies 50A und 50B physisch und elektrisch an die rückseitige Umverteilungsstruktur 106 gebondet, bevor das Verkapselungsmaterial 120 aufgebracht wird, statt sie mit einem Klebstoff an der rückseitigen Umverteilungsstruktur 106 zu befestigen. 8th shows another embodiment in which the first IPD dies 50A and 50B and the second IPD dies 50C and 50D in a Rather than being connected in a front-to-front configuration as described above with reference to FIG 2 to 7th has been described. In particular, in this embodiment, the first IPD dies 50A and 50B physically and electrically to the rear redistribution structure 106 bonded before the encapsulation material 120 is applied instead of using an adhesive on the rear redistribution structure 106 to fix.

Bei einer speziellen Ausführungsform werden die ersten IPD-Dies 50A und 50B unter Verwendung der Die-Außenkontakte 217 und eines Prozesses, der dem unter Bezugnahme auf 5A beschriebenen Prozess zum Bonden der zweiten IPD-Dies 50C und 50D an die vorderseitige Umverteilungsstruktur 122 ähnlich ist, an die rückseitige Umverteilungsstruktur 106 gebondet. Zum Beispiel kann ein Pick-and-Place-Prozess verwendet werden, um die ersten IPD-Dies 50A und 50B in physischen und elektrischen Kontakt mit der rückseitigen Umverteilungsstruktur 106 zu bringen. Nach der Kontaktherstellung werden die ersten IPD-Dies 50A und 50B z. B. mit einem Hybridbondprozess, einem Dielektrikum-Bondprozess oder einem anderen geeigneten Bondprozess gebondet. Es kann jedoch jeder geeignete Bondprozess oder ein anderer Verbindungsprozess verwendet werden.In a particular embodiment, the first IPD dies 50A and 50B using the die external contacts 217 and a process similar to the one referring to 5A described process for bonding the second IPD dies 50C and 50D to the front redistribution structure 122 is similar to the rear redistribution structure 106 bonded. For example, a pick-and-place process can be used to make the first IPD dies 50A and 50B in physical and electrical contact with the rear redistribution structure 106 bring to. After making contact, the first IPD dies 50A and 50B z. B. bonded with a hybrid bonding process, a dielectric bonding process or another suitable bonding process. However, any suitable bonding process or other joining process can be used.

Nachdem die ersten IPD-Dies 50A und 50B gebondet worden sind, kann das Verfahren so fortgesetzt werden, wie es vorstehend unter Bezugnahme auf die 3 bis 8 beschrieben worden ist. Zum Beispiel können die folgenden Schritte ausgeführt werden: Aufbringen und Dünnen des Verkapselungsmaterials 120, um die ersten Durchkontaktierungen 116 freizulegen (aber das Verkapselungsmaterial 120 kann auch über den ersten IPD-Dies 50A und 50B bestehen bleiben, da keine elektrischen Verbindungen mit dieser Seite hergestellt werden müssen); Herstellen der vorderseitigen Umverteilungsstruktur 122, um eine elektrische Verbindung mit den ersten Durchkontaktierungen 116 herzustellen; Bonden der zweiten IPD-Dies 50C und 50D an die vorderseitige Umverteilungsstruktur 122; und Aufbringen des Verkapselungsmaterials 136, um die zweiten IPD-Dies 50C und 50D zu verkapseln, um den ersten IPD-Stapel 500 herzustellen. Außerdem kann der erste IPD-Stapel 500 zusammen mit dem ersten funktionellen Die 60A und dem zweiten funktionellen Die 60B auf die dritte Umverteilungsstruktur 138 platziert werden, das Verkapselungsmaterial 146 kann aufgebracht werden, und die Struktur kann mit dem Substrat 150 verbunden werden.After the first IPD dies 50A and 50B have been bonded, the method can continue as described above with reference to FIG 3rd to 8th has been described. For example, the following steps can be carried out: applying and thinning the encapsulation material 120 to get the first vias 116 to expose (but the encapsulation material 120 can also use the first IPD dies 50A and 50B remain in place as no electrical connections need to be made to this side); Manufacture the front redistribution structure 122 to make an electrical connection with the first vias 116 to manufacture; Bonding the second IPD dies 50C and 50D to the front redistribution structure 122 ; and applying the encapsulation material 136 to get the second IPD dies 50C and 50D to encapsulate to the first IPD stack 500 to manufacture. Also, the first IPD batch can 500 along with the first functional die 60A and the second functional die 60B on the third redistribution structure 138 are placed, the encapsulation material 146 can be applied and the structure can be with the substrate 150 get connected.

Die 9A bis 9C zeigen eine weitere Ausführungsform, bei der der erste IPD-Stapel 500 mit zweiten Außenanschlüssen 156 zusätzlich zu den ersten Durchkontaktierungen 116 hergestellt wird, um die rückseitige Umverteilungsstruktur 106 und die vorderseitige Umverteilungsstruktur 122 zu verbinden. Wie in 9A gezeigt ist, wird bei dieser Ausführungsform die rückseitige Umverteilungsstruktur 106 so hergestellt, wie es vorstehend unter Bezugnahme auf 1 dargelegt worden ist. Zum Beispiel wird die dielektrische Schicht 108 über dem Trägersubstrat 102 (in 9A nicht einzeln dargestellt) hergestellt, und die eine oder die mehreren Metallisierungsstrukturen 110 werden über der dielektrischen Schicht 108 hergestellt, um die rückseitige Umverteilungsstruktur 106 herzustellen.The 9A to 9C show another embodiment in which the first IPD stack 500 with second external connections 156 in addition to the first vias 116 is made to the rear redistribution structure 106 and the front-end redistribution structure 122 connect to. As in 9A is shown, in this embodiment, the rear redistribution structure 106 prepared as described above with reference to FIG 1 has been set out. For example, the dielectric layer 108 over the carrier substrate 102 (in 9A not shown individually) produced, and the one or more metallization structures 110 are over the dielectric layer 108 made to the rear redistribution structure 106 to manufacture.

Nach der Herstellung der rückseitigen Umverteilungsstruktur 106 können die ersten Durchkontaktierungen 116 in elektrischer Verbindung mit der rückseitigen Umverteilungsstruktur 106 hergestellt werden. Bei einer Ausführungsform kann die rückseitige Umverteilungsstruktur 106 so hergestellt werden, wie es vorstehend unter Bezugnahme auf 2A beschrieben worden ist. Zum Beispiel kann die rückseitige Umverteilungsstruktur 106 wie folgt hergestellt werden: Herstellen einer Seedschicht; Platzieren eines Fotoresists über der Seedschicht und anschließendes Strukturieren des Fotoresists; Plattieren der Materialien der ersten Durchkontaktierungen 116 in die Öffnungen des Fotoresists; Entfernen des Fotoresists; und Entfernen von unbedeckten Teilen der Seedschicht. Es können jedoch alle geeigneten Verfahren und Materialien zum Herstellen der ersten Durchkontaktierungen 116 verwendet werden.After making the rear redistribution structure 106 can make the first vias 116 in electrical connection with the rear redistribution structure 106 getting produced. In one embodiment, the rear redistribution structure 106 can be prepared as described above with reference to FIG 2A has been described. For example, the rear redistribution structure 106 can be produced as follows: producing a seed layer; Placing a photoresist over the seed layer and then patterning the photoresist; Plating the materials of the first vias 116 into the openings of the photoresist; Removing the photoresist; and removing uncovered portions of the seed layer. However, all suitable methods and materials can be used for producing the first vias 116 be used.

Bei dieser Ausführungsform sollen die ersten Durchkontaktierungen 116 jedoch nicht die einzige Verbindung zwischen der rückseitigen Umverteilungsstruktur 106 und der vorderseitigen Umverteilungsstruktur 122 sein. Daher brauchen die ersten Durchkontaktierungen 116 nicht so groß wie die ersten IPD-Dies 50A und 50B zu sein, und sie werden so hergestellt, dass sie eine kleinere Höhe als die ersten IPD-Dies 50A und 50B haben. Zum Beispiel können bei dieser Ausführungsform die ersten Durchkontaktierungen 116 so hergestellt werden, dass sie eine erste Dicke T1 von etwa 10 µm bis etwa 650 µm, z. B. von etwa 50 µm, haben. Es kann jedoch jede geeignete Dicke verwendet werden.In this embodiment, the first vias 116 but not the only link between the rear redistribution structure 106 and the front-end redistribution structure 122 be. Therefore the first need vias 116 not as big as the first IPD dies 50A and 50B and they are made to be of a smaller height than the first IPD dies 50A and 50B to have. For example, in this embodiment, the first vias 116 be made to have a first thickness T 1 of about 10 µm to about 650 µm, e.g. B. of about 50 microns. However, any suitable thickness can be used.

9B zeigt eine Herstellung der vorderseitigen Umverteilungsstruktur 122. Bei dieser Ausführungsform wird die vorderseitige Umverteilungsstruktur 122 jedoch nicht auf dem Verkapselungsmaterial 120 hergestellt, sondern sie wird von der rückseitigen Umverteilungsstruktur 106 getrennt, zum Beispiel indem sie auf einem zweiten Trägerwafer (nicht einzeln dargestellt), der dem Trägersubstrat 102 ähnlich ist, hergestellt wird. Zum Beispiel wird die dielektrische Schicht 124 über dem zweiten Trägerwafer und einer Ablöseschicht 104 hergestellt, und über der dielektrischen Schicht 124 werden eine oder mehrere Metallisierungsstrukturen 126 hergestellt. 9B Figure 12 shows a manufacture of the front redistribution structure 122 . In this embodiment, the front-side redistribution structure 122 but not on the encapsulation material 120 but it is created by the rear redistribution structure 106 separately, for example by placing them on a second carrier wafer (not shown individually), which is the carrier substrate 102 is similar, is produced. For example, the dielectric layer 124 over the second carrier wafer and a release liner 104 manufactured, and above the dielectric layer 124 become one or more metallization structures 126 produced.

9B zeigt außerdem, dass nach der Herstellung der vorderseitigen Umverteilungsstruktur 122 die zweiten IPD-Dies 50C und 50D an die vorderseitige Umverteilungsstruktur 122 gebondet werden. Bei einer Ausführungsform werden die zweiten IPD-Dies 50C und 50D so gebondet, wie es vorstehend unter Bezugnahme auf 5A dargelegt worden ist. Zum Beispiel werden die zweiten IPD-Dies 50C und 50D mit einem Pick-and-Place-Prozess platziert und dann z. B. mit einem Hybridbondprozess gebondet. Es kann jedoch jedes geeignete Verfahren zum Bonden der zweiten IPD-Dies 50C und 50D verwendet werden. 9B also shows that after the front redistribution structure is made 122 the second IPD dies 50C and 50D to the front redistribution structure 122 be bonded. In one embodiment, the second IPD dies 50C and 50D bonded as described above with reference to FIG 5A has been set out. For example, the second IPD will be dies 50C and 50D placed with a pick-and-place process and then z. B. bonded with a hybrid bonding process. However, any suitable method for bonding the second IPD dies can be used 50C and 50D be used.

Nachdem die zweiten IPD-Dies 50C und 50D an die vorderseitige Umverteilungsstruktur 122 gebondet worden sind, können sie mit dem Verkapselungsmaterial 136 verkapselt werden. Bei einer Ausführungsform kann das Verkapselungsmaterial 136 so aufgebracht werden, wie es vorstehend unter Bezugnahme auf 5A dargelegt worden ist. Es kann jedoch jede geeignete Verkapselungsverfahren verwendet werden.After the second IPD dies 50C and 50D to the front redistribution structure 122 have been bonded, they can with the encapsulation material 136 be encapsulated. In one embodiment, the encapsulation material can 136 applied as described above with reference to FIG 5A has been set out. However, any suitable encapsulation method can be used.

Schließlich zeigt 9B ein Platzieren der zweiten Außenanschlüsse 156 in elektrischer Verbindung mit der vorderseitigen Umverteilungsstruktur 122, wobei die zweiten Außenanschlüsse 156 zusammen mit den ersten Durchkontaktierungen 116 verwendet werden, um die rückseitige Umverteilungsstruktur 106 mit der vorderseitigen Umverteilungsstruktur 122 zu verbinden. Bei einer Ausführungsform kann die Platzierung der zweiten Außenanschlüsse 156 damit begonnen werden, dass zunächst der zweite Trägerwafer und die Klebstoffschicht entfernt werden, um die dielektrische Schicht 124 der vorderseitigen Umverteilungsstruktur 122 freizulegen. Bei einer Ausführungsform kann der zweite Trägerwafer so entfernt werden, wie es vorstehend für den ersten Trägerwafer dargelegt worden ist, aber es kann jedes geeignete Entfernungsverfahren zum Einsatz kommen.Finally shows 9B placing the second external connections 156 in electrical communication with the front redistribution structure 122 , the second external connections 156 along with the first vias 116 used to redistribute the rear structure 106 with the front redistribution structure 122 connect to. In one embodiment, the placement of the second external connections 156 begin by first removing the second carrier wafer and the adhesive layer to form the dielectric layer 124 the front-end redistribution structure 122 to expose. In one embodiment, the second carrier wafer can be removed as set forth above for the first carrier wafer, but any suitable removal method can be used.

Nachdem die dielektrische Schicht 124 freigelegt worden ist, kann sie strukturiert werden, um Teile der einen oder mehreren Metallisierungsstrukturen 126 freizulegen. Bei einer Ausführungsform kann die dielektrische Schicht 124 z. B. durch Laserbohren strukturiert werden. Bei diesem Verfahren wird zunächst eine Schutzschicht, wie etwa eine LTHC-Schicht (LTHC: Licht-Wärme-Umwandlung) oder eine HogoMax-Schicht (in 9B nicht einzeln dargestellt), über der dielektrischen Schicht 124 abgeschieden. Anschließend wird ein Laser auf die Teile der dielektrischen Schicht 124 gerichtet, die entfernt werden sollen. Während des Laserbohrens kann die Bohr-Energie etwa 0,1 mJ bis etwa 30 mJ betragen, und der Bohrwinkel kann etwa 0° bis etwa 85° senkrecht zu der dielektrischen Schicht 124 betragen. Es können jedoch alle geeigneten Verfahren verwendet werden, wie etwa fotolithografische Maskierungs- und Ätzverfahren.After the dielectric layer 124 Once exposed, it can be patterned to form portions of the one or more metallization structures 126 to expose. In one embodiment, the dielectric layer can 124 z. B. be structured by laser drilling. In this process, a protective layer, such as an LTHC layer (LTHC: light-heat conversion) or a HogoMax layer (in 9B not shown individually), over the dielectric layer 124 deposited. A laser is then applied to the parts of the dielectric layer 124 directed to be removed. During laser drilling, the drilling energy can be about 0.1 mJ to about 30 mJ and the drilling angle can be about 0 ° to about 85 ° perpendicular to the dielectric layer 124 be. However, any suitable method can be used, such as photolithographic masking and etching methods.

Nachdem die dielektrische Schicht 124 strukturiert worden ist, werden die zweiten Außenanschlüsse 156 durch die dielektrische Schicht 124 und in elektrischer Verbindung mit der vorderseitigen Umverteilungsstruktur 122 platziert. Die zweiten Außenanschlüsse 156 können Kontakthügel, wie etwa Mikrobumps oder C4-Kontakthügel, sein, und sie können ein Material wie Zinn oder andere geeignete Materialien, wie etwa Silber oder Kupfer, aufweisen. Bei einer Ausführungsform, bei der die zweiten Außenanschlüsse 156 Lötzinn-Kontakthügel sind, können die zweiten Außenanschlüsse 156 dadurch hergestellt werden, dass zunächst eine Schicht aus Zinn mit solchen allgemein üblichen Verfahren wie Aufdampfung, Elektroplattierung, Drucken, Lotübertragung, Kugelplatzierung usw. mit einer Dicke von z. B. etwa 100 µm hergestellt wird. Nachdem die Schicht aus Zinn auf der Struktur hergestellt worden ist, kann ein Aufschmelzprozess durchgeführt werden, um das Material in die gewünschten Kontakthügelformen zu bringen.After the dielectric layer 124 has been structured, the second external connections 156 through the dielectric layer 124 and in electrical communication with the front redistribution structure 122 placed. The second external connections 156 can be bumps such as microbumps or C 4 bumps, and they can comprise a material such as tin or other suitable materials such as silver or copper. In one embodiment in which the second external connections 156 Solder bumps are, the second external connections can 156 be made by first forming a layer of tin with such commonly used methods as vapor deposition, electroplating, printing, solder transfer, ball placement, etc. to a thickness of e.g. B. is made about 100 microns. After the layer of tin has been produced on the structure, a reflow process can be performed to bring the material into the desired bump shapes.

9C zeigt ein Bonden der zweiten Außenanschlüsse 156 an die ersten Durchkontaktierungen 116, wodurch die rückseitige Umverteilungsstruktur 106 und die vorderseitige Umverteilungsstruktur 122 elektrisch verbunden werden. Bei einer Ausführungsform werden die zweiten Außenanschlüsse 156 nach ihrer Herstellung zu den ersten Durchkontaktierungen 116 ausgerichtet und in physischen Kontakt mit diesen gebracht, und eine Bondung wird durchgeführt. Zum Beispiel kann bei einer Ausführungsform, bei der die zweiten Außenanschlüsse 156 Lötkontakthügel sind, der Bondprozess ein Aufschmelzprozess sein, bei dem die Temperatur der zweiten Außenanschlüsse 156 auf eine Temperatur erhöht wird, bei der die zweiten Außenanschlüsse 156 sich verflüssigen und fließen, sodass die zweiten Außenanschlüsse 156 an die ersten Durchkontaktierungen 116 gebondet werden, nachdem sich die zweiten Außenanschlüsse 156 wieder verfestigt haben. Es kann jedoch jedes geeignete Bondverfahren verwendet werden. 9C shows bonding of the second external terminals 156 to the first vias 116 , creating the rear redistribution structure 106 and the front-end redistribution structure 122 be electrically connected. In one embodiment, the second external connections 156 after their production to the first vias 116 aligned and brought into physical contact with them, and bonding is performed. For example, in one embodiment in which the second external connections 156 The bonding process is a reflow process in which the temperature of the second external connections 156 is increased to a temperature at which the second external connections 156 liquefy and flow, so that the second external connections 156 to the first vias 116 be bonded after the second external connections 156 have solidified again. However, any suitable bonding technique can be used.

9C zeigt außerdem, dass nach dem Bonden der zweiten Außenanschlüsse 156 an die ersten Durchkontaktierungen 116 das Verkapselungsmaterial 120 um die zweiten Außenanschlüsse 156, die ersten Durchkontaktierungen 116 und die ersten IPD-Dies 50A und 50B aufgebracht werden kann, um eine zusätzliche Abstützung zwischen der rückseitigen Umverteilungsstruktur 106 und der vorderseitigen Umverteilungsstruktur 122 bereitzustellen. Bei einer Ausführungsform kann das Verkapselungsmaterial 120 so aufgebracht werden, wie es vorstehend unter Bezugnahme auf 3 dargelegt worden ist. Zum Beispiel kann das Verkapselungsmaterial 120 durch Formpressen, Pressspritzen oder dergleichen aufgebracht werden. Es kann jedoch jedes geeignete Verfahren zum Aufbringen des Verkapselungsmaterials 120 zwischen der rückseitigen Umverteilungsstruktur 106 und der vorderseitigen Umverteilungsstruktur 122 verwendet werden. 9C also shows that after bonding the second external terminals 156 to the first vias 116 the encapsulation material 120 around the second external connections 156 , the first vias 116 and the first IPD dies 50A and 50B can be applied to provide additional support between the rear redistribution structure 106 and the front-end redistribution structure 122 provide. In one embodiment, the encapsulation material can 120 be upset as it is above with reference to 3rd has been set out. For example, the encapsulation material 120 be applied by compression molding, compression molding or the like. However, any suitable method for applying the encapsulation material can be used 120 between the rear redistribution structure 106 and the front-end redistribution structure 122 be used.

Bei einer weiteren Ausführungsform kann das Verkapselungsmaterial 120 ein Unterfüllungsmaterial sein. Bei dieser Ausführungsform kann das Verkapselungsmaterial 120 mit einem Kapillarfluss-Verfahren hergestellt werden, nachdem die zweiten Außenanschlüsse 156 an die ersten Durchkontaktierungen 116 gebondet worden sind. Es können jedoch alle geeigneten Verfahren und Materialien zum Einsatz kommen.In a further embodiment, the encapsulation material can 120 be an underfill material. In this embodiment, the encapsulation material 120 be made with a capillary flow process after the second external connections 156 to the first vias 116 have been bonded. However, any suitable method and material can be used.

Nachdem der erste IPD-Stapel 500 bei dieser Ausführungsform hergestellt worden ist, kann das Verfahren so fortgesetzt werden, wie es vorstehend unter Bezugnahme auf die 6 bis 8 dargelegt worden ist. Zum Beispiel kann der erste IPD-Stapel 500 zusammen mit dem ersten funktionellen Die 60A und dem zweiten funktionellen Die 60B auf die dritte Umverteilungsstruktur 138 platziert werden, das Verkapselungsmaterial 146 kann aufgebracht werden, und die Struktur kann mit dem Substrat 150 verbunden werden. Es können jedoch alle geeigneten Verfahren zum Verbinden des ersten IPD-Stapels 500 mit anderen Strukturen verwendet werden.After the first batch of IPD 500 In this embodiment, the method can be continued as described above with reference to FIG 6th to 8th has been set out. For example, the first IPD stack 500 along with the first functional die 60A and the second functional die 60B on the third redistribution structure 138 are placed, the encapsulation material 146 can be applied and the structure can be with the substrate 150 get connected. However, any suitable method for connecting the first IPD stack can be used 500 can be used with other structures.

10A zeigt eine noch weitere Ausführungsform, bei der erste IPD-Stapel 500 mit mehr Schichten als nur der ersten unteren Schicht 301 und der ersten oberen Schicht 501 hergestellt wird. Bei der Ausführungsform, die in 10A dargestellt ist, wird die erste untere Schicht 301 so hergestellt, wie es vorstehend unter Bezugnahme auf die 1 bis 8 dargelegt worden ist (wobei die dargestellte Ausführungsform eine Vorderseite-an-Rückseite-Konfiguration ist, aber es kann jede der offenbarten Konfigurationen verwendet werden). 10A Figure 12 shows yet another embodiment in which the first IPD stack 500 with more layers than just the first lower layer 301 and the first top layer 501 will be produced. In the embodiment shown in 10A is the first lower layer 301 prepared as described above with reference to FIG 1 to 8th has been set forth (wherein the illustrated embodiment is a front-to-back configuration, but any of the disclosed configurations can be used).

Nachdem die erste untere Schicht 301 hergestellt worden ist, wird eine erste mittlere Schicht 303 über der ersten unteren Schicht 301 hergestellt, bevor die erste obere Schicht 501 hergestellt wird. Bei einer Ausführungsform umfasst die erste mittlere Schicht 303 eine vierte Umverteilungsschicht 305, zweite Durchkontaktierungen 307, dritte IPD-Dies 50E und 50F und ein drittes Verkapselungsmaterial 309. Bei einer Ausführungsform wird die vierte Umverteilungsschicht 305 mit ähnlichen Verfahren und Materialien wie die vorderseitige Umverteilungsstruktur 122 hergestellt, die vorstehend unter Bezugnahme auf 4 beschrieben worden ist. Zum Beispiel werden mehrere dielektrische Schichten und Metallisierungsschichten abwechselnd abgeschieden, um die vierte Umverteilungsschicht 305 aufzubauen. Es können jedoch alle geeigneten Verfahren und Materialien zum Einsatz kommen.After the first lower layer 301 has been made, a first middle layer 303 over the first lower layer 301 made before the first top layer 501 will be produced. In one embodiment, the first middle layer comprises 303 a fourth redistribution layer 305 , second vias 307 , third IPD dies 50E and 50F and a third encapsulation material 309 . In one embodiment, the fourth redistribution layer 305 with similar processes and materials as the front redistribution structure 122 prepared above with reference to 4th has been described. For example, multiple dielectric layers and metallization layers are deposited alternately around the fourth redistribution layer 305 build up. However, any suitable method and material can be used.

Nachdem die vierte Umverteilungsschicht 305 hergestellt worden ist, werden die zweiten Durchkontaktierungen 307 in elektrischer Verbindung mit der vierten Umverteilungsschicht 305 hergestellt. Bei einer Ausführungsform können die zweiten Durchkontaktierungen 307 mit ähnlichen Verfahren und Materialien wie die ersten Durchkontaktierungen 116 hergestellt werden, die vorstehend unter Bezugnahme auf 2A beschrieben worden sind. Zum Beispiel können die zweiten Durchkontaktierungen 307 wie folgt hergestellt werden: Herstellen einer Seedschicht über der vierten Umverteilungsschicht 305; Platzieren eines Fotoresists über der Seedschicht und anschließendes Strukturieren des Fotoresists, wobei die zweiten Durchkontaktierungen 307 mit der Struktur des Fotoresists hergestellt werden; Entfernen des Fotoresists; und Entfernen von unbedeckten Teilen der Seedschicht. Es können jedoch alle geeigneten Verfahren und Materialien verwendet werden.After the fourth redistribution layer 305 has been made, the second vias 307 in electrical communication with the fourth redistribution layer 305 produced. In one embodiment, the second vias 307 with similar processes and materials as the first vias 116 prepared above with reference to FIG 2A have been described. For example, the second vias 307 can be made as follows: make a seed layer over the fourth redistribution layer 305 ; Placing a photoresist over the seed layer and then patterning the photoresist, with the second vias 307 made with the structure of the photoresist; Removing the photoresist; and removing uncovered portions of the seed layer. However, any suitable method and material can be used.

Nachdem die zweiten Durchkontaktierungen 307 hergestellt worden sind, können die dritten IPD-Dies 50E und 50F benachbart zu den zweiten Durchkontaktierungen 307 platziert werden. Bei einer Ausführungsform kann die dritten IPD-Dies 50E und 50F den ersten IPD-Dies 50A und 50B ähnlich sein (z. B. können sie Kondensator-Dies sein), und sie können z. B. mit einem Pick-and-Place-Prozess in physischen und elektrischen Kontakt mit der vierten Umverteilungsschicht 305 gebracht werden. Anschließend können die dritten IPD-Dies 50E und 50F z. B. mit einem Hybridbondprozess, einem Metall-Metall-Bondprozess, einem Dielektrikum-Bondprozess, einer Kombination davon oder dergleichen gebondet werden. Es können jedoch alle geeigneten Verfahren zum Einsatz kommen.After the second vias 307 have been established, the third IPD can die 50E and 50F adjacent to the second vias 307 to be placed. In one embodiment, the third IPD may be dies 50E and 50F the first IPD dies 50A and 50B be similar (e.g. they can be capacitor dies), and they can e.g. B. with a pick-and-place process in physical and electrical contact with the fourth redistribution layer 305 to be brought. Then the third IPD dies 50E and 50F z. B. be bonded with a hybrid bonding process, a metal-metal bonding process, a dielectric bonding process, a combination thereof or the like. However, any suitable method can be used.

10A zeigt außerdem, dass nach dem Bonden der dritten IPD-Dies 50E und 50F ein drittes Verkapselungsmaterial 309 über den dritten IPD-Dies 50E und 50F platziert werden kann und gedünnt werden kann, um die zweiten Durchkontaktierungen 307 freizulegen. Bei einer Ausführungsform kann das dritte Verkapselungsmaterial 309 mit ähnlichen Verfahren und Materialien wie das Verkapselungsmaterial 120 abgeschieden werden, das vorstehend unter Bezugnahme auf 3 beschrieben worden ist. Es können jedoch alle geeigneten Verfahren und Materialien verwendet werden. 10A also shows that after bonding the third IPD dies 50E and 50F a third encapsulation material 309 about the third IPD dies 50E and 50F can be placed and thinned to make the second vias 307 to expose. In one embodiment, the third encapsulation material can 309 with similar processes and materials as the encapsulation material 120 above with reference to FIG 3rd has been described. However, any suitable method and material can be used.

Nachdem die erste mittlere Schicht 303 hergestellt worden ist, kann die erste obere Schicht 501 über der ersten mittleren Schicht 303 hergestellt werden, und die leitfähigen Verbindungselemente 152 werden mit der ersten unteren Schicht 301 verbunden. Bei einer Ausführungsform kann die erste obere Schicht 501 so hergestellt werden, wie es vorstehend unter Bezugnahme auf die 4 und 5 dargelegt worden ist. Zum Beispiel kann die erste obere Schicht 501 wie folgt hergestellt werden: Herstellen der vorderseitigen Umverteilungsstruktur 122; Platzieren der zweiten IPD-Dies 50C und 50D und Bonden an die vorderseitige Umverteilungsstruktur 122; und Verkapseln der zweiten IPD-Dies 50C und 50D mit dem Verkapselungsmaterial 136. Ebenso können die leitfähigen Verbindungselemente 152 so platziert werden, wie es vorstehend unter Bezugnahme auf 5A dargelegt worden ist. Es können jedoch alle geeigneten Verfahren und Materialien zum Herstellen und/oder Platzieren der ersten oberen Schicht 501 und der leitfähigen Verbindungselemente 152 verwendet werden.After the first middle layer 303 can be the first top layer 501 over the first middle layer 303 are made, and the conductive connecting elements 152 be with the first lower layer 301 connected. In one embodiment, the first upper layer 501 be prepared as described above with reference to FIG 4th and 5 has been set out. For example, the first top layer 501 can be produced as follows: Production of the front-side redistribution structure 122 ; Place the second IPD dies 50C and 50D and bonding to the front redistribution structure 122 ; and encapsulating the second IPD dies 50C and 50D with the encapsulation material 136 . Likewise, the conductive connecting elements 152 be placed as described above with reference to 5A has been set out. However, any suitable method and material for making and / or placing the first top layer can be used 501 and the conductive connecting elements 152 be used.

10B zeigt eine Äquivalenzschaltung, die die Ersatzkapazität darstellt, die mit dem aus drei Schichten bestehenden ersten IPD-Stapel 500 erzielt werden kann. Bei dieser Ausführungsform wird die Kapazität (Ca), die von der ersten unteren Schicht 301 erhalten werden kann, in dem gestrichelten Kasten 507 dargestellt (wobei die einzelnen Kapazitäten der einzelnen Kondensatoren mit Ci, C2, ... bezeichnet sind), die Kapazität (Cb), die von der ersten oberen Schicht 501 erhalten werden kann, ist in dem gestrichelten Kasten 509 dargestellt ist (wobei die einzelnen Kapazitäten der einzelnen Kondensatoren mit C1, C2, ... bezeichnet sind), und eine Kapazität (Cc), die von der ersten mittleren Schicht 303 erhalten werden kann, ist in einem gestrichelten Kasten 1001 dargestellt ist (wobei die einzelnen Kapazitäten der einzelnen Kondensatoren mit C1, C2, ... bezeichnet sind). Wie zu erkennen ist, können dadurch, dass die einzelnen IPD-Dies in dem ersten IPD-Stapel 500 (z. B. die ersten IPD-Dies 50A und 50B, die zweiten IPD-Dies 50C und 50D und die dritten IPD-Dies 50E und 50F) aufeinandergestapelt und miteinander verbunden werden, die IPD-Dies miteinander parallel geschaltet werden. Daher kann die Gesamtkapazität (CT) für den ersten IPD-Stapel 500 die Summe aus den folgenden Kapazitäten sein: der Kapazität (Ca), die von der ersten unteren Schicht 301 erhalten werden kann, der Kapazität (Cb), die von der ersten oberen Schicht 501 erhalten werden kann, und der Kapazität (Ce), die von der ersten mittleren Schicht 303 erhalten werden kann (d. h., CT = Ca + CB + Cc). Daher kann eine größere Kapazität erhalten werden, ohne die Gesamtgrundfläche zu vergrößern, und die Kapazität kann bei Bedarf einfach durch Erhöhen oder Verringern der Anzahl von Schichten oder der Anzahl von IPD-Dies in jeder Schicht angepasst werden. 10B FIG. 13 shows an equivalent circuit representing the equivalent capacitance obtained with the three-layer first IPD stack 500 can be achieved. In this embodiment, the capacitance (Ca) used by the first lower layer 301 can be obtained in the dashed box 507 shown (whereby the individual capacitances of the individual capacitors are labeled Ci, C2, ...), the capacitance (Cb), that of the first upper layer 501 can be obtained is in the dashed box 509 is shown (where the individual capacitances of the individual capacitors are designated with C 1 , C 2 , ...), and a capacitance (C c ) that of the first middle layer 303 can be obtained is in a dashed box 1001 is shown (whereby the individual capacitances of the individual capacitors are labeled C 1 , C 2 , ...). As can be seen, the fact that the individual IPD dies in the first IPD stack 500 (e.g. the first IPD dies 50A and 50B who have favourited the second IPD dies 50C and 50D and the third IPD dies 50E and 50F) stacked on top of each other and connected to each other, the IPD dies are connected in parallel with each other. Therefore, the total capacity (C T ) for the first IPD stack can be 500 be the sum of the following capacities: the capacitance (C a ) by the first lower layer 301 can be obtained of the capacitance (C b ) that of the first upper layer 501 can be obtained and the capacitance (C e ) that of the first middle layer 303 can be obtained (ie, C T = C a + C B + C c ). Therefore, a larger capacity can be obtained without increasing the total footprint, and the capacity can be adjusted, if necessary, simply by increasing or decreasing the number of layers or the number of IPD dies in each layer.

11 zeigt eine noch weitere Ausführungsform, bei der fünf Schichten in dem ersten IPD-Stapel 500 verwendet werden. Zum Beispiel werden bei dieser Ausführungsform die erste untere Schicht 301, die erste mittlere Schicht 303 und die erste obere Schicht 501 in der hier beschriebenen Weise, aber mit nur einem der IPD-Dies in jeder Schicht, hergestellt. Zusätzlich werden bei dieser Ausführungsform eine zweite mittlere Schicht 1101 und eine dritte mittlere Schicht 1103 hergestellt, die der ersten mittleren Schicht 303 ähnlich sein können, die vorstehend unter Bezugnahme auf 10A beschrieben worden ist (aber mit nur einem der IPD-Dies). Es kann jedoch jede Anzahl von Schichten verwendet werden. 11 Figure 13 shows yet another embodiment where there are five layers in the first IPD stack 500 be used. For example, in this embodiment, the first lower layer 301 , the first middle layer 303 and the first top layer 501 made in the manner described here, but with only one of the IPD dies in each layer. In addition, a second middle layer is used in this embodiment 1101 and a third middle layer 1103 made that of the first middle layer 303 may be similar to those described above with reference to FIG 10A has been described (but with only one of the IPD dies). However, any number of layers can be used.

Bei dieser Ausführungsform kann der gesamte erste IPD-Stapel 500 mit fünf Schichten eine Gesamthöhe Ho von 670 µm haben (z. B. 100 µm je IPD-Die plus 30 µm je Umverteilungsschicht und Formmasse auf beiden Seiten von vier der IPD-Dies plus 50 µm für die Umverteilungsschicht und die Formmasse auf beiden Seiten der ersten oberen Schicht 501). Außerdem können bei Ausführungsformen, bei denen die einzelnen IPD-Dies jeweils eine Kapazität von 1,1 µF /mm2 und eine aktive Fläche von 32,27 mm2 haben können, die einzelnen Schichten jeweils eine Einzelschicht-Kapazität von 35,5 µP haben. Daher beträgt die Gesamtkapazität des ersten IPD-Stapels 500 bei dieser speziellen Ausführungsform etwa 178 µP. Es können jedoch alle geeigneten Parameter verwendet werden.In this embodiment, the entire first IPD stack 500 with five layers have a total height H o of 670 µm (e.g. 100 µm per IPD die plus 30 µm per redistribution layer and molding compound on both sides of four of the IPD dies plus 50 µm for the redistribution layer and the molding compound on both sides the first top layer 501 ). In addition, in embodiments in which the individual IPD dies can each have a capacitance of 1.1 μF / mm 2 and an active area of 32.27 mm 2 , the individual layers can each have a single-layer capacitance of 35.5 μP . Therefore, the total capacity of the first IPD stack is 500 in this particular embodiment about 178 µP. However, any suitable parameters can be used.

12 zeigt eine Top-Down-Variante eines möglichen Layouts mit der ersten verkappten Struktur und dem Substrat 150. Bei der dargestellten Ausführungsform wird der erste IPD-Stapel 500 auf das Substrat 150 zwischen einen ersten der zweiten funktionellen Dies 60B und einen zweiten der zweiten funktionellen Dies 60B (z. B. zwischen zwei Speicher-Dies mit hoher Bandbreite) platziert. Außerdem wird einer der ersten funktionellen Dies 60A (z. B. ein System-on-a-Chip-Die) mit dem Substrat 150 jeweils benachbart zu dem ersten der zweiten funktionellen Dies 60B, dem zweiten der zweiten funktionellen Dies 60B und dem ersten IPD-Stapel 500 verbunden. Es kann jedoch jedes geeignete Layout verwendet werden. 12th shows a top-down variant of a possible layout with the first capped structure and the substrate 150 . In the illustrated embodiment, the first IPD stack 500 on the substrate 150 between a first of the second functional dies 60B and a second of the second functional dies 60B (e.g. between two high-bandwidth storage dies). It also becomes one of the first functional dies 60A (e.g. a System-on-a-Chip-Die) with the substrate 150 each adjacent to the first of the second functional dies 60B , the second of the second functional dies 60B and the first IPD batch 500 connected. However, any suitable layout can be used.

Bei einer Ausführungsform kann jeder der ersten funktionellen Dies 60A eine erste Abmessung D1 von etwa 10 mm bis etwa 100 mm, z. B. von etwa 33 mm, und eine zweite Abmessung D2 von etwa 8 mm bis etwa 95 mm, z. B. von etwa 25 mm, haben. Ebenso kann jeder der zweiten funktionellen Dies 60B eine dritte Abmessung D3 von etwa 3 mm bis etwa 20 mm, z. B. von etwa 12 mm, und eine vierte Abmessung D4 von etwa 2 mm bis etwa 20 mm, z. B. von etwa 8 mm, haben. Es können jedoch alle geeigneten Abmessungen verwendet werden.In one embodiment, any of the first functional dies 60A a first dimension D 1 of about 10 mm to about 100 mm, e.g. B. of about 33 mm, and a second dimension D 2 of about 8 mm to about 95 mm, e.g. B. of about 25 mm. Likewise, each of the second functional dies can 60B a third dimension D 3 from about 3 mm to about 20 mm, e.g. B. of about 12 mm, and a fourth dimension D 4 of about 2 mm to about 20 mm, e.g. B. of about 8 mm. However, any suitable dimensions can be used.

Der erste IPD-Stapel 500 kann so hergestellt werden, dass er Abmessungen hat, die in die kleine Grundfläche passen, die von den ersten funktionellen Dies 60A und den zweiten funktionellen Dies 60B übriggelassen wird. Daher kann der erste IPD-Stapel 500 eine fünfte Abmessung D5 von etwa 2 mm bis etwa 20 mm, z. B. von etwa 8 mm, und eine sechste Abmessung D6 von ebenfalls etwa 2 mm bis etwa 20 mm, z. B. von etwa 8 mm, haben. Es können jedoch alle geeigneten Abmessungen verwendet werden.The first batch of IPDs 500 can be made to have dimensions that fit the small footprint offered by the first functional dies 60A and the second functional dies 60B is left over. Hence the first IPD batch 500 a fifth dimension D 5 from about 2 mm to about 20 mm, e.g. B. of about 8 mm, and a sixth dimension D 6 of also about 2 mm to about 20 mm, z. B. of about 8 mm. However, any suitable dimensions can be used.

Durch Verkappen mehrerer IPD-Dies in einem Package unter Verwendung des ersten IPD-Stapels 500 kann ein größerer Parameter (z. B. eine größere Kapazität) erhalten werden, ohne dass auch eine größere Grundfläche benötigt wird. Außerdem kann die gewünschte Kapazität durch Verwenden sowohl einer gewünschten Anzahl von Schichten als auch einer gewünschten Anzahl und/oder Größe von IPD-Dies exakt angepasst werden. Daher kann eine gewünschte Kapazität erzielt werden, ohne dass dies zu Lasten der Größe geht.By encapsulating multiple IPD dies in one package using the first IPD stack 500 a larger parameter (e.g. a larger capacity) can be obtained without also requiring a larger footprint. In addition, the desired capacity can be tailored exactly by using both a desired number of layers and a desired number and / or size of IPD dies. Therefore, a desired capacity can be achieved without sacrificing size.

Gemäß einer Ausführungsform weist eine Halbleitervorrichtung Folgendes auf: eine erste integrierte passive Vorrichtung (IPD); eine erste Formmasse, die die erste IPD verkapselt; eine Umverteilungsstruktur über und elektrisch verbunden mit der ersten IPD; eine zweite IPD auf einer der ersten IPD gegenüberliegenden Seite der Umverteilungsstruktur, wobei die zweite IPD durch die Umverteilungsstruktur mit der ersten IPD elektrisch verbunden ist; und eine zweite Formmasse, die die zweite IPD verkapselt. Bei einer Ausführungsform zeigt eine Vorderseite der ersten IPD zu einer Vorderseite der zweiten IPD. Bei einer Ausführungsform zeigt eine Vorderseite der ersten IPD zu einer Rückseite der zweiten IPD. Bei einer Ausführungsform weist die Halbleitervorrichtung weiterhin eine leitfähige Durchkontaktierung auf, die sich durch die erste Formmasse erstreckt. Bei einer Ausführungsform weist die Halbleitervorrichtung weiterhin ein leitfähiges Strukturelement auf, das sich durch die erste Formmasse erstreckt, wobei das leitfähige Strukturelement Folgendes umfasst: eine leitfähige Durchkontaktierung, und einen Lotbereich auf der leitfähigen Durchkontaktierung. Bei einer Ausführungsform ist die erste IPD durch eine Kupfersäule mit der Umverteilungsstruktur elektrisch verbunden. Bei einer Ausführungsform ist die erste IPD durch einen Lotbereich mit der Umverteilungsstruktur elektrisch verbunden.According to an embodiment, a semiconductor device comprises: a first integrated passive device (IPD); a first molding compound encapsulating the first IPD; a redistribution structure over and electrically connected to the first IPD; a second IPD on a side of the redistribution structure opposite the first IPD, the second IPD being electrically connected to the first IPD through the redistribution structure; and a second molding compound encapsulating the second IPD. In one embodiment, a front of the first IPD faces a front of the second IPD. In one embodiment, a front side of the first IPD faces a rear side of the second IPD. In one embodiment, the semiconductor device further has a conductive via that extends through the first molding compound. In one embodiment, the semiconductor device further comprises a conductive structure element extending through the first molding compound, the conductive structure element comprising: a conductive via, and a solder area on the conductive via. In one embodiment, the first IPD is electrically connected to the redistribution structure through a copper pillar. In one embodiment, the first IPD is electrically connected to the redistribution structure by a solder area.

Gemäß einer weiteren Ausführungsform weist eine Halbleitervorrichtung Folgendes auf: eine erste Umverteilungsstruktur; einen ersten funktionellen Die, der an die erste Umverteilungsstruktur gebondet ist; und einen ersten Stapel von integrierten passiven Vorrichtungen, der an die erste Umverteilungsstruktur gebondet ist, wobei der erste Stapel von integrierten passiven Vorrichtungen Folgendes umfasst: eine zweite Umverteilungsstruktur, eine erste integrierte passive Vorrichtung über der zweiten Umverteilungsstruktur, eine dritte Umverteilungsstruktur über der ersten integrierten passiven Vorrichtung, wobei die dritte Umverteilungsstruktur durch erste Durchkontaktierungen mit der zweiten Umverteilungsstruktur verbunden ist, und eine zweite integrierte passive Vorrichtung über der dritten Umverteilungsstruktur. Bei einer Ausführungsform weist die Halbleitervorrichtung weiterhin Folgendes auf: eine dritte integrierte passive Vorrichtung zwischen der zweiten Umverteilungsstruktur und der dritten Umverteilungsstruktur; und ein erstes Verkapselungsmaterial, das die dritte integrierte passive Vorrichtung und die erste integrierte passive Vorrichtung umschließt. Bei einer Ausführungsform umfassen die ersten Durchkontaktierungen Kupfersäulen. Bei einer Ausführungsform umfassen die ersten Durchkontaktierungen Folgendes: Kupfersäulen; und Lotkugeln in physischem Kontakt mit den Kupfersäulen. Bei einer Ausführungsform sind die erste integrierte passive Vorrichtung und die zweite integrierte passive Vorrichtung in einer Vorderseite-an-Vorderseite-Konfiguration konfiguriert. Bei einer Ausführungsform sind die erste integrierte passive Vorrichtung und die zweite integrierte passive Vorrichtung in einer Rückseite-an-Vorderseite-Konfiguration konfiguriert. Bei einer Ausführungsform weist der erste Stapel von integrierten passiven Vorrichtungen weiterhin Folgendes auf: eine vierte Umverteilungsstruktur über der zweiten integrierten passiven Vorrichtung, wobei die vierte Umverteilungsstruktur durch zweite Durchkontaktierungen mit der dritten Umverteilungsstruktur verbunden ist; und eine dritte integrierte passive Vorrichtung über der vierten Umverteilungsstruktur.According to a further embodiment, a semiconductor device comprises: a first redistribution structure; a first functional die bonded to the first redistribution structure; and a first stack of integrated passive devices bonded to the first redistribution structure, the first stack of integrated passive devices comprising: a second redistribution structure, a first integrated passive device over the second redistribution structure, a third redistribution structure over the first integrated passive Device, wherein the third redistribution structure is connected to the second redistribution structure by first vias, and a second integrated passive device over the third redistribution structure. In one embodiment, the semiconductor device further comprises: a third integrated passive device between the second redistribution structure and the third redistribution structure; and a first encapsulation material enclosing the third integrated passive device and the first integrated passive device. In one embodiment, the first vias comprise copper pillars. In one embodiment, the first vias include: copper pillars; and solder balls in physical contact with the copper pillars. In one embodiment, the first integrated passive device and the second integrated passive device are configured in a front-to-front configuration. In one embodiment, the first integrated passive device and the second integrated passive device are configured in a back-to-front configuration. In one embodiment, the first stack of integrated passive devices further comprises: a fourth redistribution structure over the second integrated passive device, the fourth redistribution structure being connected to the third redistribution structure by second vias; and a third integrated passive device over the fourth redistribution structure.

Gemäß einer noch weiteren Ausführungsform weist ein Verfahren zum Herstellen einer Halbleitervorrichtung die folgenden Schritte auf: Herstellen einer ersten Umverteilungsstruktur über einem Trägerwafer; Herstellen von Durchkontaktierungen über der ersten Umverteilungsstruktur; Platzieren einer ersten integrierten passiven Vorrichtung auf der ersten Umverteilungsstruktur benachbart zu den Durchkontaktierungen; Verkapseln der ersten integrierten passiven Vorrichtung und der Durchkontaktierungen mit einem Verkapselungsmaterial; Herstellen einer zweiten Umverteilungsstruktur über dem Verkapselungsmaterial und in elektrischer Verbindung mit den Durchkontaktierungen; und Platzieren einer zweiten integrierten passiven Vorrichtung auf der zweiten Umverteilungsstruktur und in elektrischer Verbindung mit den Durchkontaktierungen. Bei einer Ausführungsform wird bei dem Platzieren der ersten integrierten passiven Vorrichtung auf der ersten Umverteilungsstruktur die erste integrierte passive Vorrichtung in elektrischer Verbindung mit der ersten Umverteilungsstruktur platziert. Bei einer Ausführungsform wird bei dem Platzieren der ersten integrierten passiven Vorrichtung auf der ersten Umverteilungsstruktur ein Klebstoff verwendet. Bei einer Ausführungsform wird bei dem Platzieren der ersten integrierten passiven Vorrichtung ein integrierter passiver Kondensator platziert. Bei einer Ausführungsform umfasst das Verfahren weiterhin ein Bonden der ersten Umverteilungsstruktur an eine dritte Umverteilungsschicht. Bei einer Ausführungsform umfasst das Verfahren weiterhin Folgendes: Bonden eines ersten funktionellen Dies an die dritte Umverteilungsschicht; und Verkapseln des ersten funktionellen Dies in einem Verkapselungsmaterial.According to yet another embodiment, a method for producing a semiconductor device has the following steps: producing a first redistribution structure over a carrier wafer; Making vias over the first redistribution structure; Placing a first integrated passive device on the first redistribution structure adjacent to the vias; Encapsulating the first integrated passive device and the vias with an encapsulation material; Forming a second redistribution structure over the encapsulation material and in electrical communication with the vias; and placing a second integrated passive device on the second redistribution structure and in electrical communication with the vias. In one embodiment, when the first integrated passive device is placed on the first redistribution structure, the first integrated passive device is placed in electrical communication with the first redistribution structure. In one embodiment, an adhesive is used in placing the first integrated passive device on the first redistribution structure. In one embodiment, when the first integrated passive device is placed, an integrated passive capacitor is placed. In one embodiment, the method further comprises bonding the first redistribution structure to a third redistribution layer. In one embodiment, the method further comprises: bonding a first functional die to the third redistribution layer; and encapsulating the first functional die in an encapsulation material.

Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.Features of various embodiments have been described above so that those skilled in the art may better understand aspects of the present invention. It should be understood by those skilled in the art that they can readily use the present invention as a basis for designing or modifying other methods and structures to achieve the same goals and / or achieve the same advantages as the embodiments presented herein. Those skilled in the art should also recognize that such equivalent configurations do not depart from the spirit and scope of the present invention, and that they can make various changes, substitutions, and modifications therein without departing from the spirit and scope of the present invention.

Claims (20)

Halbleitervorrichtung mit: einer ersten integrierten passiven Vorrichtung, IPD; einer ersten Formmasse, die die erste IPD verkapselt; einer Umverteilungsstruktur über und elektrisch verbunden mit der ersten IPD; einer zweiten IPD auf einer der ersten IPD gegenüberliegenden Seite der Umverteilungsstruktur, wobei die zweite IPD durch die Umverteilungsstruktur mit der ersten IPD elektrisch verbunden ist; und einer zweiten Formmasse, die die zweite IPD verkapselt.Semiconductor device with: a first integrated passive device, IPD; a first molding compound encapsulating the first IPD; a redistribution structure over and electrically connected to the first IPD; a second IPD on a side of the redistribution structure opposite the first IPD, the second IPD being electrically connected to the first IPD through the redistribution structure; and a second molding compound that encapsulates the second IPD. Halbleitervorrichtung nach Anspruch 1, wobei eine Vorderseite der ersten IPD zu einer Vorderseite der zweiten IPD zeigt.Semiconductor device according to Claim 1 , wherein a front side of the first IPD faces a front side of the second IPD. Halbleitervorrichtung nach Anspruch 1, wobei eine Vorderseite der ersten IPD zu einer Rückseite der zweiten IPD zeigt.Semiconductor device according to Claim 1 , with a front side of the first IPD facing a rear side of the second IPD. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, die weiterhin eine leitfähige Durchkontaktierung aufweist, die sich durch die erste Formmasse erstreckt.The semiconductor device of any preceding claim, further comprising a conductive via extending through the first molding compound. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, die weiterhin ein leitfähiges Strukturelement aufweist, das sich durch die erste Formmasse erstreckt, wobei das leitfähige Strukturelement Folgendes umfasst: eine leitfähige Durchkontaktierung; und einen Lotbereich auf der leitfähigen Durchkontaktierung.The semiconductor device of claim 1, further comprising a conductive structure element extending through the first molding compound, wherein the conductive structure element comprises: a conductive via; and a solder area on the conductive via. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei die erste IPD durch eine Kupfersäule mit der Umverteilungsstruktur elektrisch verbunden ist.A semiconductor device according to any preceding claim, wherein the first IPD is electrically connected to the redistribution structure by a copper pillar. Halbleitervorrichtung nach einem der Ansprüche 1 bis 5, wobei die erste IPD durch einen Lotbereich mit der Umverteilungsstruktur elektrisch verbunden ist.Semiconductor device according to one of the Claims 1 to 5 , wherein the first IPD is electrically connected to the redistribution structure by a solder area. Halbleitervorrichtung mit: einer ersten Umverteilungsstruktur; einem ersten funktionellen Die, der an die erste Umverteilungsstruktur gebondet ist; und einem ersten Stapel von integrierten passiven Vorrichtungen, der an die erste Umverteilungsstruktur gebondet ist, wobei der erste Stapel von integrierten passiven Vorrichtungen Folgendes aufweist: eine zweite Umverteilungsstruktur, eine erste integrierte passive Vorrichtung über der zweiten Umverteilungsstruktur, eine dritte Umverteilungsstruktur über der ersten integrierten passiven Vorrichtung, wobei die dritte Umverteilungsstruktur durch erste Durchkontaktierungen mit der zweiten Umverteilungsstruktur verbunden ist, und eine zweite integrierte passive Vorrichtung über der dritten Umverteilungsstruktur.Semiconductor device with: a first redistribution structure; a first functional die bonded to the first redistribution structure; and a first stack of integrated passive devices bonded to the first redistribution structure, the first stack of integrated passive devices comprising: a second redistribution structure, a first integrated passive device over the second redistribution structure, a third redistribution structure over the first integrated passive device, the third redistribution structure being connected to the second redistribution structure by first vias, and a second integrated passive device over the third redistribution structure. Halbleitervorrichtung nach Anspruch 8, die weiterhin Folgendes aufweist: eine dritte integrierte passive Vorrichtung zwischen der zweiten Umverteilungsstruktur und der dritten Umverteilungsstruktur; und ein erstes Verkapselungsmaterial, das die dritte integrierte passive Vorrichtung und die erste integrierte passive Vorrichtung umschließt.Semiconductor device according to Claim 8 further comprising: a third integrated passive device between the second redistribution structure and the third redistribution structure; and a first encapsulation material enclosing the third integrated passive device and the first integrated passive device. Halbleitervorrichtung nach Anspruch 8 oder 9, wobei die ersten Durchkontaktierungen Kupfersäulen aufweisen.Semiconductor device according to Claim 8 or 9 , the first vias having copper pillars. Halbleitervorrichtung nach Anspruch 10, wobei die ersten Durchkontaktierungen Folgendes aufweisen: Kupfersäulen; und Lotkugeln in physischem Kontakt mit den Kupfersäulen.Semiconductor device according to Claim 10 wherein the first vias include: copper pillars; and solder balls in physical contact with the copper pillars. Halbleitervorrichtung nach einem der Ansprüche 8 bis 11, wobei die erste integrierte passive Vorrichtung und die zweite integrierte passive Vorrichtung in einer Vorderseite-an-Vorderseite-Konfiguration konfiguriert sind.Semiconductor device according to one of the Claims 8 to 11 wherein the first integrated passive device and the second integrated passive device are configured in a front-to-front configuration. Halbleitervorrichtung nach einem der Ansprüche 8 bis 11, wobei die erste integrierte passive Vorrichtung und die zweite integrierte passive Vorrichtung in einer Rückseite-an-Vorderseite-Konfiguration konfiguriert sind.Semiconductor device according to one of the Claims 8 to 11 wherein the first integrated passive device and the second integrated passive device are configured in a back-to-front configuration. Halbleitervorrichtung nach einem der Ansprüche 8 bis 13, wobei der erste Stapel von integrierten passiven Vorrichtungen weiterhin Folgendes aufweist: eine vierte Umverteilungsstruktur über der zweiten integrierten passiven Vorrichtung, wobei die vierte Umverteilungsstruktur durch zweite Durchkontaktierungen mit der dritten Umverteilungsstruktur verbunden ist; und eine dritte integrierte passive Vorrichtung über der vierten Umverteilungsstruktur.Semiconductor device according to one of the Claims 8 to 13th wherein the first stack of integrated passive devices further comprises: a fourth redistribution structure over the second integrated passive device, the fourth redistribution structure being connected to the third redistribution structure by second vias; and a third integrated passive device over the fourth redistribution structure. Verfahren zum Herstellen einer Halbleitervorrichtung mit den folgenden Schritten: Herstellen einer ersten Umverteilungsstruktur über einem Trägerwafer; Herstellen von Durchkontaktierungen über der ersten Umverteilungsstruktur; Platzieren einer ersten integrierten passiven Vorrichtung auf der ersten Umverteilungsstruktur benachbart zu den Durchkontaktierungen; Verkapseln der ersten integrierten passiven Vorrichtung und der Durchkontaktierungen mit einem Verkapselungsmaterial; Herstellen einer zweiten Umverteilungsstruktur über dem Verkapselungsmaterial und in elektrischer Verbindung mit den Durchkontaktierungen; und Platzieren einer zweiten integrierten passiven Vorrichtung auf der zweiten Umverteilungsstruktur und in elektrischer Verbindung mit den Durchkontaktierungen.A method of manufacturing a semiconductor device comprising the steps of: Producing a first redistribution structure over a carrier wafer; Making vias over the first redistribution structure; Placing a first integrated passive device on the first redistribution structure adjacent to the vias; Encapsulating the first integrated passive device and the vias with an encapsulation material; Forming a second redistribution structure over the encapsulation material and in electrical communication with the vias; and Placing a second integrated passive device on the second redistribution structure and in electrical communication with the vias. Verfahren nach Anspruch 15, wobei bei dem Platzieren der ersten integrierten passiven Vorrichtung auf der ersten Umverteilungsstruktur die erste integrierte passive Vorrichtung in elektrischer Verbindung mit der ersten Umverteilungsstruktur platziert wird.Procedure according to Claim 15 wherein placing the first integrated passive device on the first redistribution structure places the first integrated passive device in electrical communication with the first redistribution structure. Verfahren nach Anspruch 15 oder 16, wobei bei dem Platzieren der ersten integrierten passiven Vorrichtung auf der ersten Umverteilungsstruktur ein Klebstoff verwendet wird.Procedure according to Claim 15 or 16 wherein an adhesive is used in placing the first integrated passive device on the first redistribution structure. Verfahren nach einem der Ansprüche 15 bis 17, wobei bei dem Platzieren der ersten integrierten passiven Vorrichtung ein integrierter passiver Kondensator platziert wird.Method according to one of the Claims 15 to 17th wherein in placing the first integrated passive device, an integrated passive capacitor is placed. Verfahren nach einem der Ansprüche 15 bis 18, das weiterhin ein Bonden der ersten Umverteilungsstruktur an eine dritte Umverteilungsschicht umfasst.Method according to one of the Claims 15 to 18th which further comprises bonding the first redistribution structure to a third redistribution layer. Verfahren nach Anspruch 19, das weiterhin Folgendes umfasst: Bonden eines ersten funktionellen Dies an die dritte Umverteilungsschicht; und Verkapseln des ersten funktionellen Dies in einem Verkapselungsmaterial.Procedure according to Claim 19 further comprising: bonding a first functional die to the third redistribution layer; and encapsulating the first functional die in an encapsulation material.
DE102020116106.9A 2019-11-22 2020-06-18 SEMICONDUCTOR DEVICES AND PRODUCTION METHODS Active DE102020116106B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962939147P 2019-11-22 2019-11-22
US62/939,147 2019-11-22
US16/900,174 2020-06-12
US16/900,174 US20210159182A1 (en) 2019-11-22 2020-06-12 Semiconductor Devices and Methods of Manufacture

Publications (2)

Publication Number Publication Date
DE102020116106A1 true DE102020116106A1 (en) 2021-05-27
DE102020116106B4 DE102020116106B4 (en) 2023-11-09

Family

ID=75784331

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020116106.9A Active DE102020116106B4 (en) 2019-11-22 2020-06-18 SEMICONDUCTOR DEVICES AND PRODUCTION METHODS

Country Status (2)

Country Link
CN (1) CN112838078A (en)
DE (1) DE102020116106B4 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114496988A (en) * 2022-04-19 2022-05-13 宁波德葳智能科技有限公司 Rewiring packaging structure of brain wave processing system and manufacturing method thereof

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160343685A1 (en) 2015-05-21 2016-11-24 Mediatek Inc. Semiconductor package assembly and method for forming the same
US20170098629A1 (en) * 2015-10-05 2017-04-06 Mediatek Inc. Stacked fan-out package structure
US9911629B2 (en) 2016-02-10 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated passive device package and methods of forming same
US10319683B2 (en) * 2017-02-08 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stacked package-on-package structures
US10763239B2 (en) * 2017-10-27 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chip wafer level packages and methods of forming the same
US10770364B2 (en) 2018-04-12 2020-09-08 Xilinx, Inc. Chip scale package (CSP) including shim die

Also Published As

Publication number Publication date
CN112838078A (en) 2021-05-25
DE102020116106B4 (en) 2023-11-09

Similar Documents

Publication Publication Date Title
DE102017117815B4 (en) Structure of a semiconductor package and manufacturing method
DE102019109690B4 (en) Semiconductor structures and methods for their manufacture
DE102020101431B4 (en) Semiconductor device and manufacturing process
DE102018130035B4 (en) PACKAGE AND PROCEDURE
DE102019103729B4 (en) SEMICONDUCTOR PACKAGE AND METHOD
DE102020104147B4 (en) SEMICONDUCTOR COMPONENTS AND METHOD FOR THE PRODUCTION THEREOF
DE102015110635A1 (en) Integrated circuit-Paktet contact point and formation method
DE102020113988B4 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102016100523B4 (en) Multi-stack package on package structures
DE102020105134A1 (en) SEMICONDUCTOR PACKAGE AND MANUFACTURING PROCESS
DE102019129870A1 (en) SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD
DE102017122831B4 (en) Housing structures and training procedures
DE102020124229A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102017123326B4 (en) Semiconductor packages and processes for their manufacture
DE102019129840B4 (en) SEMICONDUCTOR COMPONENT AND METHOD FOR MANUFACTURING IT
DE102018102086A1 (en) SEMICONDUCTOR PACKAGES AND METHOD FOR THE PRODUCTION THEREOF
DE102021107792A1 (en) SEMICONDUCTOR PACKAGES AND PROCESSES
DE102021102227A1 (en) Heat dissipation in semiconductor packages and method of forming the same
DE102020131125A1 (en) Semiconductor package and method of making the same
DE102020116106B4 (en) SEMICONDUCTOR DEVICES AND PRODUCTION METHODS
DE102020108481A1 (en) Semiconductor Die Package and Manufacturing Process
DE102023100773A1 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102017102534B4 (en) Redistribution layers in semiconductor packages and methods for their manufacture
DE102018122358A1 (en) SEMICONDUCTOR COMPONENT AND METHOD
DE102021114921A1 (en) Package and method of making same

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division