DE102018122261A1 - Ein integrationsverfahren zum waferebenenpackaging - Google Patents

Ein integrationsverfahren zum waferebenenpackaging Download PDF

Info

Publication number
DE102018122261A1
DE102018122261A1 DE102018122261.0A DE102018122261A DE102018122261A1 DE 102018122261 A1 DE102018122261 A1 DE 102018122261A1 DE 102018122261 A DE102018122261 A DE 102018122261A DE 102018122261 A1 DE102018122261 A1 DE 102018122261A1
Authority
DE
Germany
Prior art keywords
wafer
metallization structure
mems
oxide layer
sacrificial oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102018122261.0A
Other languages
English (en)
Other versions
DE102018122261B4 (de
Inventor
Hung-Hua Lin
Chang-Ming Wu
Chung-Yi Yu
Ping-Yin Liu
Jung-Huei Peng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/855,449 external-priority patent/US10294098B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018122261A1 publication Critical patent/DE102018122261A1/de
Application granted granted Critical
Publication of DE102018122261B4 publication Critical patent/DE102018122261B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C3/00Assembling of devices or systems from individually processed components
    • B81C3/001Bonding of two components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00238Joining a substrate with an electronic processing unit and a substrate with a micromechanical structure
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01CMEASURING DISTANCES, LEVELS OR BEARINGS; SURVEYING; NAVIGATION; GYROSCOPIC INSTRUMENTS; PHOTOGRAMMETRY OR VIDEOGRAMMETRY
    • G01C19/00Gyroscopes; Turn-sensitive devices using vibrating masses; Turn-sensitive devices without moving masses; Measuring angular rate using gyroscopic effects
    • G01C19/56Turn-sensitive devices using vibrating masses, e.g. vibratory angular rate sensors based on Coriolis forces
    • G01C19/5783Mountings or housings not specific to any of the devices covered by groups G01C19/5607 - G01C19/5719
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00269Bonding of solid lids or wafers to the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0006Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/008MEMS characterised by an electronic circuit specially adapted for controlling or driving the same
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00253Processes for integrating an electronic processing unit with a micromechanical structure not provided for in B81C1/0023 - B81C1/00246
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00277Processes for packaging MEMS devices for maintaining a controlled atmosphere inside of the cavity containing the MEMS
    • B81C1/00285Processes for packaging MEMS devices for maintaining a controlled atmosphere inside of the cavity containing the MEMS using materials for controlling the level of pressure, contaminants or moisture inside of the package, e.g. getters
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00333Aspects relating to packaging of MEMS devices, not covered by groups B81C1/00269 - B81C1/00325
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01PMEASURING LINEAR OR ANGULAR SPEED, ACCELERATION, DECELERATION, OR SHOCK; INDICATING PRESENCE, ABSENCE, OR DIRECTION, OF MOVEMENT
    • G01P15/00Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration
    • G01P15/02Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration by making use of inertia forces using solid seismic masses
    • G01P15/08Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration by making use of inertia forces using solid seismic masses with conversion into electric or magnetic values
    • G01P15/0802Details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0228Inertial sensors
    • B81B2201/0235Accelerometers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0228Inertial sensors
    • B81B2201/0242Gyroscopes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0264Pressure sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/015Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/07Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0132Dry etching, i.e. plasma etching, barrel etching, reactive ion etching [RIE], sputter etching or ion milling
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0109Bonding an individual cap on the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0118Bonding a wafer on the substrate, i.e. where the cap consists of another wafer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/03Bonding two components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/03Bonding two components
    • B81C2203/033Thermal bonding
    • B81C2203/036Fusion bonding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0785Transfer and j oin technology, i.e. forming the electronic processing unit and the micromechanical structure on separate substrates and joining the substrates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0785Transfer and j oin technology, i.e. forming the electronic processing unit and the micromechanical structure on separate substrates and joining the substrates
    • B81C2203/0792Forming interconnections between the electronic processing unit and the micromechanical structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Radar, Positioning & Navigation (AREA)
  • Remote Sensing (AREA)
  • Micromachines (AREA)

Abstract

Eine mikroelektromechanische System- (MEMS-) Struktur und ein Verfahren zum Bilden des MEMS-Bauelements aufweisend das Bilden einer ersten Metallisierungsstruktur über einem ergänzenden Metalloxid-Halbleiter- (CMOS-) Wafer, wobei die erste Metallisierungsstruktur eine erste Opferoxidschicht und eine erste Metall-Kontaktauflage aufweist. Eine zweite Metallisierungsstruktur wird über einem MEMS-Wafer gebildet, wobei die zweite Metallisierungsstruktur eine zweite Opferoxidschicht und eine zweite Metall-Kontaktauflage aufweist. Die erste Metallisierungsstruktur und die zweite Metallisierungsstruktur werden dann miteinander verbunden. Nachdem die erste Metallisierungsstruktur und die zweite Metallisierungsstruktur miteinander verbunden worden sind, Strukturieren und Ätzen des MEMS-Wafers zum Bilden eines MEMS-Elements über der zweiten Opferoxidschicht. Nachdem das MEMS-Element gebildet worden ist, Entfernern der ersten Opferoxidschicht und der zweiten Opferoxidschicht, um zu ermöglichen, dass sich das MEMS-Element frei um eine Achse bewegen kann.

Description

  • HINWEIS ZU DAZUGEHÖRIGER ANMELDUNG
  • Diese Anmeldung beansprucht den Vorteil der vorläufigen US-Patentanmeldung Nr. 62/563,977 , eingereicht am 27. September 2017, die hierin durch Verweis in ihrer Gänze einbezogen ist.
  • STAND DER TECHNIK
  • Mikroelektromechanische System- (MEMS-) Bauelemente, wie zum Beispiel Beschleunigungsmesser, Drucksensoren und Gyroskope, haben in vielen zeitgemäßen elektronische Vorrichtungen verbreitet Verwendung gefunden. MEMS-Beschleunigungsmesser finden sich zum Beispiel üblicherweise in Kraftfahrzeugen (z.B. in Airbag-Auslösungssystemen), Tabletcomputern oder in Smartphones. Für zahlreiche Anwendungen sind MEMS-Bauelemente elektrisch mit anwendungsspezifischen integrierten Schaltungen (ASICs) verbunden, um MEMS-Systeme zu bilden. Im Allgemeinen ist eine Mehrzahl von Wafern miteinander verbunden (z.B. durch Verschmelzung, eutektisch, etc.), um das vollständige MEMS-System zu bilden.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung sind am besten aus der folgenden detaillierten Beschreibung unter Bezugnahme auf die beigefügten Figuren verständlich. Dabei ist festzuhalten, dass im Einklang mit der gängigen Praxis in der Branche verschiedene Elemente oder Funktionen nicht maßstabsgetreu dargestellt sind. Vielmehr können die Abmessungen der verschiedenen Elemente zur übersichtlicheren Darstellung beliebig vergrößert oder reduziert sein.
    • 1A stellt eine Querschnittsansicht einiger Ausführungsformen eines MEMS-Bauelements gebildet im Einklang mit dem verbesserten Verfahren für das Waferpackaging der vorliegenden Offenbarung dar.
    • 1B stellt eine vergrößerte Querschnittsansicht einiger Ausführungsformen eines Abschnitts des in 1A dargestellten MEMS-Bauelements dar.
    • 1C stellt einige Ausführungsformen eines Abschnitts einer Draufsicht von 1B entlang der Linie A-A dar.
    • 2 - 6 stellen eine Serie von Querschnittsansichten einiger Ausführungsformen eines Verfahrens zum Herstellen eines MEMS-Bauelements zuerst durch Hybrid-Verbinden eines CMOS-Wafers, der eine Anzahl integrierter CMOS-Schaltungen (ICs) aufweist, mit einem MEMS-Wafer, der eine Anzahl von MEMS-ICs aufweist, und dann Schmelzverbinden eines Deckwafers mit dem MEMS-Wafer.
    • 7 stellt einige Ausführungsformen eines Verfahrens zum Bilden eines MEMS-Bauelements im Einklang mit dem verbesserten Verfahren für das Waferpackaging der vorliegenden Offenbarung dar.
    • 8 - 12 stellen eine Serie von Querschnittsansichten einiger zusätzlicher Ausführungsformen eines Verfahrens zum Herstellen eines MEMS-Bauelements zuerst durch Hybrid-Verbinden eines CMOS-Wafers, der eine Anzahl integrierter CMOS-ICs aufweist, mit einem MEMS-Wafer, der eine Anzahl von MEMS-ICs aufweist, und dann Schmelzverbinden eines Deckwafers mit dem MEMS-Wafer.
    • 13 - 17 stellen eine Serie von Querschnittsansichten einiger zusätzlicher Ausführungsformen eines Verfahrens zum Herstellen eines MEMS-Bauelements zuerst durch Hybrid-Verbinden eines CMOS-Wafers, der eine Anzahl von CMOS-ICs aufweist, mit einem MEMS-Wafer, der eine Anzahl von MEMS-ICs aufweist, und dann Schmelzverbinden eines Deckwafers mit dem MEMS-Wafer.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegenden Offenbarung wird nun unter Bezugnahme auf die Zeichnungen beschrieben, in denen durchwegs dieselben Bezugsziffern verwendet sind, um dieselben Elemente zu bezeichnen, und in denen die dargestellten Strukturen nicht notwendigerweise maßstabsgetreu dargestellt sind. Es ist zu erkennen, dass diese ausführliche Beschreibung und die entsprechenden Figuren den Umfang der vorliegenden Offenbarung in keiner Weise einschränken, und dass die ausführliche Beschreibung und die Figuren nur eine Reihe von Beispiele bereitstellen, um einige Wege darzustellen, auf welchen die erfinderischen Ideen umgesetzt werden können.
  • Die folgende Offenbarung stellt verschiedene Ausführungsformen oder Beispiele zum Umsetzen verschiedener Merkmale der Offenbarung bereit. Konkrete Beispiele von Komponenten und Anordnungen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Das sind natürlich nur Beispiele und sollen in keiner Weise einschränkend wirken. Zum Beispiel kann die Bildung eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen aufweisen, in welchen das erste und das zweite Element in direktem Kontakt gebildet sind, und kann ebenfalls Ausführungsformen aufweisen, in welchen zusätzliche Elemente zwischen dem ersten und dem zweiten Element gebildet sind, sodass das erste und das zweite Element nicht in direktem Kontakt sein können. Darüber hinaus kann die vorliegende Offenbarung Bezugsziffern und/oder Buchstaben in verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Übersichtlichkeit und schreibt für sich selbst keinen Zusammenhang zwischen den verschiedenen erörterten Ausführungsformen und/oder Anordnungen vor.
  • Ferner können hierin Begriffe räumlicher Zusammenhänge, wie zum Beispiel „neben“, „unter“, „niedriger“, „obere“ und dergleichen, zur einfacheren Beschreibung verwendet sein, um den Zusammenhang eines Elements oder Merkmals mit (einem) anderen Element(en) oder Merkmal(en), die in den Figuren dargestellt sind, zu beschreiben. Es ist beabsichtigt, dass die Begriffe räumlicher Zusammenhänge unterschiedliche Ausrichtungen des verwendeten oder betriebenen Bauelements zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassen. Die Vorrichtung kann auch anders ausgerichtet (um 90 Grad gedreht oder in anderen Ausrichtungen) sein, und die hierin verwendeten Begriffe räumlicher Zusammenhänge können ebenfalls dementsprechend ausgelegt werden.
  • Einige mikroelektromechanische System- (MEMS-) Bauelemente, wie zum Beispiel Beschleunigungsmesser und Gyroskope, umfassen ein bewegliches Element und eine benachbarte feststehende Elektrodenplatte, die innerhalb eines Hohlraums angeordnet ist. Das bewegliche Element ist in Bezug auf die feststehende Elektrodenplatte als Reaktion auf externe Reize, wie Beschleunigung, Druck oder Schwerkraft, beweglich oder flexibel. Eine Abstandsveränderung zwischen dem beweglichen Element und der feststehenden Elektrodenplatte wird durch eine kapazitive Kopplung des beweglichen Elements und der feststehenden Elektrodenplatte erfasst und zur weiteren Verarbeitung zu einem Messkreis übertragen.
  • Manche MEMS-Bauelemente, wie zum Beispiel Beschleunigungsmesser und Gyroskope, können erfordern, dass der Hohlraum für eine optimale Leistung hermetisch abgedichtet ist. Zum Beispiel ermöglicht ein MEMS-Bauelement umfassend ein bewegliches Element in einem hermetisch abgedichteten Hohlraum einem Hersteller, die Umweltfaktoren (z.B. Druck, Gaszusammensetzung, etc.), welche das bewegliche Element umgeben, zu kontrollieren. Diese Kontrolle stellt sicher, dass das MEMS-Bauelement einen gewünschten Reiz exakt messen kann und kann die Lebensdauer des MEMS-Bauelements verlängern. Andererseits erfordern manche MEMS-Bauelemente, wie zum Beispiel Gassensoren und Feuchtigkeitssensoren, eine nicht hermetisch abgedichtete Umgebung, die dem Umfeld gegenüber offen ist, um einen gewünschten Reiz exakt zu messen.
  • Während der Massenherstellung von MEMS-Bauelementen gemäß einigen Verfahren wird ein Deckwafer (auch als Decksubstrat bezeichnet) gebildet, der über einem MEMS-Wafer (auch als MEMS-Substrat bezeichnet), der eine Mehrzahl von MEMS Bauelementen umfasst, angeordnet und mit diesem verbunden ist. Der Deckwafer ist üblicherweise durch eine Schmelzverbindung mit dem MEMS-Wafer verbunden. Im Einklang mit einem Beispiel kann über einer Fläche des MEMS-Wafers eine eutektische Verbindungsunterkonstruktion gebildet sein. Nach dem Verbinden des Deckwafers und des MEMS-Wafers werden die MEMS-Bauelemente ferner innerhalb des MEMS-Wafers gebildet, zum Beispiel durch Verwendung verschiedener Strukturierungs- und Ätzverfahren zur Schaffung eines beweglichen Elements.
  • Nachdem der Deckwafer und der MEMS-Wafer miteinander verbunden worden sind, wird in einigen Ausführungsformen ein ergänzender Metalloxid-Halbleiter- (CMOS-) Wafer (auch als CMOS-Substrat bezeichnet), der unterstützende Logik für die zugehörigen MEMS-Bauelemente umfassen kann, mit dem MEMS-Wafer verbunden. Der CMOS-Wafer ist üblicherweise unter Verwendung der eutektischen Verbindungsunterkonstruktion zum eutektischen Verbinden mit dem MEMS-Wafer verbunden. Ist der CMOS-Wafer mit dem MEMS-Substrat verbunden, werden die Wafer zu Dies vereinzelt, von denen jeder mindestens ein MEMS-Bauelement aufweist, und das Packaging ist abgeschlossen.
  • Aufgrund der beweglichen oder flexiblen Teile weisen MEMS-Bauelemente mehrere Produktionsherausforderungen auf, denen man bei herkömmlichen CMOS-Schaltungen nicht begegnet. Eine Herausforderung ist das Erhöhen der Anzahl von MEMS-Wafern, die pro Stunde verbunden werden können, bei gleichzeitiger Gewährleistung der Qualität der hermetischen Abdichtung und der elektrischen Charakterisierung. Eine andere Herausforderung ist das Einschränken der negativen Auswirkungen schlechter Überlagerungsgenauigkeit, die während dem Wafer-Packaging auftreten können. Zum Beispiel muss beim typischen MEMS-Waferebenen-Packaging (z.B. wenn ein Deckwafer durch eine eutektische Verbindung mit einem MEMS-Wafer verbunden wird) ein eutektisches Verbindungsmaterial (z.B. Germanium) zwischen dem Deckwafer und dem MEMS-Wafer angeordnet werden, und der MEMS-Wafer muss ebenfalls ein bestimmtes Material (z.B. AlCu) umfassen, um einen eutektischen Vorgang sicherzustellen. Dann wird der eutektische Verbindungsvorgang bei einer relativ hohen Temperatur und hohem Druck ausgeführt. Aufgrund dieser Prozessparameter kann nur eine relativ kleine Anzahl von MEMS-Wafern (z.B. 1 - 2 Wafer pro Stunde) pro Stunde dem eutektischen Verbindungsvorgang unterzogen werden, was die Kosten der Herstellung von MEMS-Bauelementen erhöht. Aufgrund dieser Prozessparameter macht es der eutektische Verbindungsvorgang ferner schwierig, eine genaue Überlagerungskontrolle sicherzustellen, und kann relativ große Überlagerungskorrekturen (z.B. 8-10 µm) erfordern, was die Verringerung kritischer Dimensionen bei MEMS-Bauelementen einschränkt. Somit würde ein Verfahren zum Waferebenen-Packaging, welches hermetische Abdichtung und elektrische Charakterisierung guter Qualität bei gleichzeitiger Erhöhung der Anzahl verbundener Wafer pro Stunde und Erhöhung der Überlagerungskontrolle erzielt, die Zuverlässigkeit und Kosten von MEMS-Bauelementen verbessern.
  • Die vorliegenden Offenbarung betrifft ein verbessertes Verfahren (und eine damit verbundene Vorrichtung) für das Packaging von Wafern, welche die Anzahl von MEMS-Bauelementen erhöhen, die pro Stunde hergestellt werden können, (z.B. 5 - 10 Wafer pro Stunde) und verbessert die Überlagerungsgenauigkeit des MEMS-Wafer-Packaging (z.B. Überlagerungskorrektur von ungefähr 1 µm oder weniger). In einigen Ausführungsformen umfasst das Verfahren das Bilden einer ersten Metallisierungsstruktur über einem CMOS-Wafer und das Bilden einer zweiten Metallisierungsstruktur über einem MEMS-Wafer. Die erste Metallisierungsstruktur umfasst eine erste Opferoxidschicht, eine erste Metall-Kontaktauflage, und ein erstes dielektrisches Zwischenschicht- (ILD-) Material. Die zweite Metallisierungsstruktur umfasst eine zweite Opferoxidschicht, eine zweite Metall-Kontaktauflage und ein zweites ILD-Material. Dann wird eine obere Fläche der ersten Metallisierungsstruktur mit einer oberen Fläche der zweiten Metallisierungsstruktur hybrid-verbunden. Nachdem die erste Metallisierungsstruktur und die zweite Metallisierungsstruktur miteinander verbunden worden sind, werden MEMS-Bauelemente in dem MEMS-Wafer gebildet, zum Beispiel durch Strukturieren des MEMS-Wafers und anschließendes Ätzen der ersten und zweiten Opferschichten. Nachdem die MEMS-Bauelemente in dem MEMS-Wafer gebildet worden sind, wird ein Deckwafer mit dem MEMS-Wafer schmelzverbunden. Da das verbesserte Verfahren den üblichen MEMS-Wafer-Packagingvorgang verändert, um die eutektische Verbindung zu vermeiden, erhöht dieses verbesserte Verfahren folglich die Anzahl von MEMS-Bauelementen, die pro Stunde hergestellt werden können, und verbessert die Überlagerungsgenauigkeit des Wafer-Packaging.
  • 1A stellt eine Querschnittsansicht einiger Ausführungsformen eines MEMS-Bauelements 100 gebildet im Einklang mit dem verbesserten Verfahren zum Waferpackaging der vorliegenden Offenbarung dar.
  • Wie in 1A dargestellt, umfasst das MEMS-Bauelement 100 ein CMOS-Substrat 102. Das CMOS-Substrat 102 kann irgendeine Art von Halbleiterkörper (z.B. einkristallines Silizium/CMOS-Bulk, SiGe, Silizium-auf-Isolator (SOI), etc.) umfassen. Das CMOS-Substrat 102 kann auch ein oder mehrere Halbleiter-Bauelemente (z.B. Transistor, Widerstand, Diode, etc.) umfassen. In einigen Ausführungsformen wird das Halbleiter-Bauelement in einem Front-End-Of-Line- (FEOL-) Vorgang über/innerhalb des CMOS-Substrats 102 angeordnet. Zum Beispiel kann das Halbleiter-Bauelement ein Transistor sein, der einen Gatestapel 108 (z.B. ein Metallgate, das über einem Dielektrikum mit hohem k angeordnet ist) angeordnet über dem CMOS-Substrat 102 und zwischen einer Source 110 und einem Drain 112 umfasst, während die Source 110 und der Drain 112 innerhalb des CMOS-Substrats 102 angeordnet sind.
  • Eine Metallisierungsstruktur 118 ist über dem CMOS-Substrat 102 angeordnet. In einigen Ausführungsformen wird die Metallisierungsstruktur 118 in einem Back-End-Of-Line-(BEOL-) Vorgang gebildet. Die Metallisierungsstruktur 118 kann eine Mehrzahl leitfähiger Elemente, zum Beispiel einen leitfähigen Kontakt 116, eine Leiterbahn 120, eine leitfähige Durchkontaktierung 122 und eine Kontaktauflage 148 umfassen, die innerhalb eines ILD-Materials (Zwischenschicht-Dielektrikum) 126 gebildet sind. Die leitfähigen Elemente können ein Metall, wie zum Beispiel Kupfer, Aluminium, Gold, Silber oder ein anderes geeignetes Metall, umfassen. Das ILD-Material 126 kann Siliziumdioxid (SiO2) oder andere geeignete Oxide, wie zum Beispiel ein dielektrisches Material mit niedrigem k, umfassen.
  • Der leitfähige Kontakt 116 ist dafür ausgelegt, einen Abschnitt eines HalbleiterBauelements (z.B. ein Gate, eine Source, einen Drain, etc.) elektrisch mit einer Leiterbahn 120 zu verbinden. In einigen Ausführungsformen kann die Metallisierungsstruktur 118 eine oder mehrere Metallschichten (z.B. Metallschicht 1, Metallschicht 2, etc.) umfassen, die übereinander angeordnet sind. Jede Metallschicht kann eine Leiterbahn 120 umfassen, und eine leitfähige Durchkontaktierung 122 kann eine Leiterbahn 120 von einer ersten Metallschicht mit einer Leiterbahn 120 einer zweiten Metallschicht verbinden. Manche leitfähigen Durchkontaktierungen 122 verbinden eine Leiterbahn 120 mit einer Kontaktauflage 148. In einigen Ausführungsformen ist eine Mehrzahl von Kontaktauflagen 148 innerhalb der Metallisierungsstruktur 118 angeordnet. In einigen Ausführungsformen kann die Kontaktauflage 148 eine Öffnung der Metallisierungsstruktur vollständig umschließen 128. In anderen Ausführungsformen kann ein Dichtungsring (nicht gezeigt) die Öffnung 128 der Metallisierungsstruktur umschließen. Die Kontaktauflage 148 kann eine obere Fläche umfassen, die mit der oberen Fläche der Metallisierungsstruktur 118 und dem ILD-Material 126 in einer Ebene liegt.
  • Des Weiteren ist die Öffnung 128 der Metallisierungsstruktur innerhalb der Metallisierungsstruktur 118 angeordnet. Eine untere Begrenzung der Öffnung 128 der Metallisierungsstruktur kann durch eine obere Fläche der Metallisierungsstruktur 118 definiert sein. Seitliche Begrenzungen der Öffnung 128 der Metallisierungsstruktur können durch Seitenwände der Metallisierungsstruktur 118 definiert sein. Eine obere Begrenzung der Öffnung 128 der Metallisierungsstruktur kann in einer Ebene mit einer obersten Fläche der Metallisierungsstruktur 118 liegen. In einigen Ausführungsformen ist die untere Begrenzung der Öffnung 128 der Metallisierungsstruktur zwischen einer obersten Fläche der Metallisierungsstruktur 118 und einer obersten Fläche des CMOS-Substrats 102 angeordnet. In einigen Ausführungsformen ist eine hydrofluorierte Dampfsperre (vHF) 130 entlang den Seitenwänden der Metallisierungsstruktur 118, welche die seitlichen Begrenzungen der Öffnung 128 der Metallisierungsstruktur definieren, und über einem Abschnitt der oberen Fläche der Metallisierungsstruktur 118, welcher die untere Begrenzung der Öffnung 128 der Metallisierungsstruktur definiert, angeordnet. In anderen Ausführungsformen kann die vHF-Sperre 130 über der gesamten oberen Fläche der Metallisierungsstruktur 118 angeordnet, welche die untere Begrenzung der Öffnung 128 der Metallisierungsstruktur definiert.
  • Ein MEMS-Substrat 132 umfassend ein bewegliches MEMS-Element 134 ist über der Metallisierungsstruktur 118 angeordnet. Das MEMS-Substrat 132 kann irgendeine Art von Halbleiterkörper (z.B. Silizium/CMOS-Bulk, SiGe, SOI, etc.) umfassen. In verschiedenen Ausführungsformen kann das MEMS-Substrat 132 ein oder mehrere MEMS-Bauelemente aufweisend ein bewegliches MEMS-Element 134 angrenzend an eine feststehende Elektrodenplatte umfassen. In einigen Ausführungsformen kann das MEMS-Bauelement zum Beispiel ein Beschleunigungsmesser, ein Gyroskop, ein digitaler Kompass und/oder ein Drucksensor sein.
  • In einigen Ausfiihrungsformen ist ein Kappensubstrat 136 umfassend einen Hohlraum 138 über dem MEMS-Substrat 132 angeordnet. Eine untere Begrenzung des Hohlraums 138 kann durch eine obere Fläche des Kappensubstrats 136 definiert sein. Seitliche Begrenzungen des Hohlraums 138 können durch Seitenwände des Kappensubstrats 136 definiert sein. Eine obere Begrenzung des Hohlraums 138 kann in einer Ebene mit einer obersten Fläche des Kappensubstrats 136 liegen. Das Kappensubstrat 136 kann irgendeine Art von Halbleiterkörper (z.B. Silizium/CMOS-Bulk, SiGe, SOI, etc.) umfassen. Eine dielektrische Bindeschicht 140 kann zwischen dem Kappensubstrat 136 und dem MEMS-Substrat 132 angeordnet sein. In einigen Ausfiihrungsformen kann die dielektrische Bindeschicht 140 ein Oxid (z.B. SiO2) umfassen. In anderen Ausführungsformen kann das Kappensubstrat 136 ohne die dielektrische Bindeschicht 140 mit dem MEMS-Substrat 132 verbunden sein.
  • In mehreren Ausführungsform kann eine an Entgasungsschicht 142 an der oberen Fläche des Kappensubstrats 136 angeordnet sein, welche die untere Begrenzung des Hohlraums 138 definiert. In einigen Ausführungsformen kann die Entgasungsschicht 142 ein dielektrisches Material (z.B. SiO2) umfassen. In anderen Ausführungsformen kann die Entgasungsschicht 142 Polysilizium oder ein anderes geeignetes Metall umfassen. Zum Beispiel kann die Entgasungsschicht 142 ein dielektrisches Material umfassen, das auf einem Abschnitt der oberen Fläche des Kappensubstrats 136, welches die untere Begrenzung des Hohlraums 138 definiert, angeordnet ist. In anderen Ausführungsformen kann die Entgasungsschicht 142 entlang den gesamten Seitenwänden des Kappensubstrats 136, das die seitlichen Begrenzungen des Hohlraums 138 definiert, und an der gesamten oberen Fläche des Kappensubstrats 136, welche die untere Begrenzung des Hohlraums 138 definiert, angeordnet sein. Die Entgasungsschicht 142 ist dafür ausgelegt, den Enddruck innerhalb des Hohlraums 138 zu regulieren. Durch Verändern der Dicke der Entgasungsschicht 142 oder der Fläche, welche die Entgasungsschicht 142 abdeckt, kann der Enddruck innerhalb des Hohlraums 138 gesteuert werden.
  • In einigen Ausführungsformen kann die Metallisierungsstruktur 118 einen ersten Abschnitt (z.B. unter einer Verbindungsgrenzfläche 150) und einen zweiten Abschnitt (z.B. über der Verbindungsgrenzfläche 150) umfassen. Zum Beispiel kann die Metallisierungsstruktur 118 einen ersten Abschnitt der Metallisierungsstruktur 118 umfassen, der mit einem zweiten Abschnitt der Metallisierungsstruktur 118 entlang der Verbindungsgrenzfläche 150 hybrid-verbunden ist. In einigen Ausführungsformen wird der erste Abschnitt der Metallisierungsstruktur 118 vor dem Hybrid-Verbinden des ersten Abschnitts der Metallisierungsstruktur 118 mit dem zweiten Abschnitt der Metallisierungsstruktur 118 über dem CMOS-Substrat 102, und der zweite Abschnitt der Metallisierungsstruktur 118 über dem MEMS-Wafer gebildet. Die Verbindungsgrenzfläche 150 kann Metall-auf-Metall-Verbindungen zwischen einer ersten Kontaktauflage 146 und einer zweiten Kontaktauflage 148 umfassen. Ferner kann die Verbindungsgrenzfläche 150 Nichtmetall-auf-Nichtmetall-Verbindungen zwischen einem ersten Abschnitt des ILD-Materials 126 und einem zweiten Abschnitt des ILD-Materials 126 umfassen. Ferner kann die Verbindungsgrenzfläche 150 in einigen Ausführungsformen Verbindungen zwischen einem ersten Abschnitt einer hydrofluorierten (vHF) Dampfsperre 130 und einem zweiten Abschnitt der vHF-Sperre 130 umfassen. Durch Aufweisen der Verbindungsgrenzfläche 150 kann die Anzahl von MEMS-Bauelementen, die pro Stunde gebildet wird, und die Überlagerungsgenauigkeit in Zusammenhang mit den MEMS-Bauelementen verbessert werden.
  • Um einige der Merkmale der Verbindungsgrenzfläche 150 deutlicher zu zeigen, stellt 1B einen vergrößerten Sichtbereich 144 dar, der eine vergrößerte Ansicht eines Bereichs rund um die Verbindungsgrenzfläche 150 abbildet. Die Verbindungsgrenzfläche 150 kann eine erste Kontaktauflage 146 aufweisend eine erste Kontaktauflagenbreite W1 umfassen. Die Verbindungsgrenzfläche 150 kann auch eine zweite Kontaktauflage 148 aufweisend eine zweite Kontaktauflagenbreite W2 umfassen. In einigen Ausführungsformen ist die erste Kontaktauflagenbreite W1 im Wesentlichen gleich der zweiten Auflagenbreite W2 . In anderen Ausführungsformen kann die erste Kontaktauflagebreite W1 anders sein als die zweite Kontaktauflagebreite W2 . In verschiedenen Ausführungsformen wird aufgrund einer Fehlausrichtung während dem Verbinden der ersten Kontaktauflage 146 und der zweiten Kontaktauflage 148 eine erste Seitenwand der ersten Kontaktauflage 146 um eine erste Versatzbreite Woff,1 gegenüber einer ersten Seitenwand der zweiten Kontaktauflage 148 versetzt angeordnet sein, und eine zweite Seitenwand der ersten Kontaktauflage 146 um eine erste Versatzbreite Woff,2 gegenüber einer zweiten Seitenwand der zweiten Kontaktauflage 148 versetzt angeordnet sein. In einigen Ausführungsformen kann die erste Versatzbreite Woff,1 im Wesentlichen gleich der zweiten Versatzbreite Woff,2 sein. In anderen Ausführungsformen kann die erste Versatzbreite Woff,1 anders sein als die zweite Versatzbreite Woff,2 .
  • Um einige der Merkmale der Verbindungsgrenzfläche 150 weiter zu verdeutlichen, stellt 1C einige Ausführungsformen eines Abschnitts einer Draufsicht von 1B entlang der Linie A-A dar. Die erste Kontaktauflage 146 umfasst eine erste Kontaktauflagentiefe D1 und die zweite Kontaktauflage 148 umfasst eine zweite Kontaktauflagentiefe D2 . In einigen Ausführungsformen ist die erste Kontaktauflagentiefe D1 im Wesentlichen gleich der zweiten Kontaktauflagentiefe D2 . In anderen Ausführungsformen kann die erste Kontaktauflagentiefe D1 anders sein als die zweite Kontaktauflagentiefe D2 . In verschiedenen Ausführungsformen wird aufgrund einer Fehlausrichtung während dem Verbinden der ersten Kontaktauflage 146 und der zweiten Kontaktauflage 148 eine dritte Seitenwand der ersten Kontaktauflage 146 um eine erste Versatztiefe Doff,1 gegenüber einer dritten Seitenwand der zweiten Kontaktauflage 148 versetzt angeordnet sein, und eine vierte Seitenwand der ersten Kontaktauflage 146 um eine zweite Versatztiefe Doff,2 gegenüber einer vierten Seitenwand der zweiten Kontaktauflage 148 versetzt angeordnet sein. In einigen Ausführungsformen kann die erste Versatztiefe Doff,1 im Wesentlichen gleich der zweiten Versatztiefe Doff,2 sein. In anderen Ausführungsformen kann die erste Versatztiefe Doff,1 anders sein als die zweite Versatztiefe Doff,2 .
  • Ferner kann das ILD-Material 126 einen ersten Abschnitt und einen zweiten Abschnitt (in 1A - 1C nicht gezeigt) umfassen, der ebenfalls einen Breitenversatz und einen Tiefenversatz aufweist. In einigen Ausführungsformen kann die vHF-Sperre 130 auch einen ersten Abschnitt und einen zweiten Abschnitt (in 1A - 1C nicht gezeigt) umfassen, der ebenfalls einen Breitenversatz und einen Tiefenversatz aufweist.
  • Ferner definieren in einigen Ausführungsformen die erste Versatzbreite Woff,1 und die zweite Versatzbreite Woff,2 einen Versatz entlang einer x-Achse, und die erste Versatztiefe Doff,1 und die zweite Versatztiefe Doff,2 definieren einen Versatz entlang einer y-Achse. Die erste Versatzbreite Woff,1 kann im Wesentlichen gleich der ersten Versatztiefe Doff,1 sein. In anderen Ausführungsform kann die erste Versatzbreite Woff,1 anders sein als die erste Versatztiefe Doff,1 . In einigen Ausführungsformen kann die zweite Versatzbreite Woff,2 im Wesentlichen gleich der zweiten Versatztiefe Doff,2 sein. In anderen Ausführungsformen kann die zweite Versatzbreite Woff,2 anders sein als die zweite Versatztiefe Doff,2 .
  • 2 - 6 stellen eine Serie von Querschnittsansichten einiger Ausführungsformen eines Verfahrens zum Herstellen eines MEMS-Bauelements zuerst durch Hybrid-Verbinden eines CMOS-Wafers, der eine Anzahl integrierter CMOS-Schaltungen (ICs) aufweist, mit einem MEMS-Wafer, der eine Anzahl von MEMS-ICs aufweist, und dann Schmelzverbinden eines Deckwafers mit dem MEMS-Wafer.
  • 2 stellt eine Querschnittsansicht einiger Ausführungsform einer MEMS-IC 217 (die verkehrt herum abgebildet ist) über einer CMOS-IC 201 dar. Obwohl nur eine einzige CMOS-IC 201 und eine einzige MEMS-IC 217 dargestellt sind, versteht sich, dass dies eine vereinfachte Darstellung ist und ein CMOS-Wafer 102 und ein MEMS-Wafer 218 üblicherweise mehrere ICs aufweisen. Die CMOS-IC 201 kann eine erste Metallisierungsstruktur 202 umfassen, die über einem CMOS-Wafer 102 (auch als ein CMOS-Substrat bezeichnet) angeordnet ist. Der CMOS-Wafer 102 kann irgendeine Art von Halbleiterkörper (z.B. Silizium/CMOS-Bulk, SiGe, SOI, etc.) umfassen. Die CMOS-IC 201 kann auch ein oder mehrere Halbleiter-Bauelemente umfassen, die über/innerhalb des CMOS-Wafers 102 angeordnet sind. Zum Beispiel kann das eine oder die mehreren Halbleiter-Bauelemente ein Transistor sein, der einen Gatestapel 108 (z.B. ein Metallgate, das über einem Dielektrikum mit hohem k angeordnet ist), eine Source 110 und einen Drain 112 umfasst. In einigen Ausführungsformen definiert eine untere Fläche des CMOS-Wafers 102 eine untere Fläche der CMOS-IC 201.
  • Die erste Metallisierungsstruktur 202 kann eine Mehrzahl leitfähiger Elemente umfassen, zum Beispiel einen ersten leitfähigen Metallisierungsstrukturkontakt 204, eine erste Metallisierungsstruktur-Leiterbahn 206, eine erste leitfähige Metallisierungsstruktur-Durchkontaktierung 208 und eine erste Metallisierungsstruktur-Kontaktauflage 210, die zwischen dem ersten ILD-Material 212 der Metallisierungsstruktur angeordnet sind.
    Zum Beispiel kann ein erster leitfähiger Metallisierungsstrukturkontakt 204 eine Gateelektrode des Gatestapels 108 mit einer ersten Metallisierungsstruktur-Leiterbahn 206 verbinden. In einigen Ausführungsformen kann die erste Metallisierungsstruktur 202 eine oder mehrere Metallschichten (z.B. Metallschicht 1, Metallschicht 2, etc.) umfassen, die übereinander angeordnet sind. In einigen Ausführungsformen kann jede Metallschicht eine oder mehrere Metallisierungsstruktur-Leiterbahnen 206 und eine oder mehrere leitfähige Metallisierungsstruktur-Durchkontaktierungen 208 umfassen. Manche erste leitfähige Metallisierungsstruktur-Durchkontaktierungen 208 verbinden eine erste Metallisierungsstruktur-Leiterbahn 206 mit einer ersten Metallisierungsstruktur-Kontaktauflage 210, die nahe einer oberen Fläche der ersten Metallisierungsschicht 202 angeordnet ist.
  • Ferner umfasst in einigen Ausführungsformen die erste Metallisierungsstruktur 202 eine erste Opferoxidschicht 214 (z.B. SiO2). Eine erste vHF-Sperre 216 kann zwischen Seitenwänden der ersten Opferoxidschicht 214 und Abschnitten des ersten Metallisierungsstruktur-ILD-Materials 212 angeordnet sein. Die erste vHF-Sperre 216 kann auch zwischen einem Abschnitt(en) einer unteren Fläche (oder der gesamten unteren Fläche) der ersten Opferoxidschicht 214 und einem Abschnitt(en) des ersten Metallisierungsstruktur-ILD-Materials 212 angeordnet sein. In einigen Ausführungsformen besteht die erste vHF-Sperrschicht 216 zum Beispiel aus Aluminiumoxid (AlO2), siliziumreichem Nitrid, Titanwolfram (TiW) oder amorphem Silizium. Nach dem Bilden der ersten vHF-Sperre 216, kann die erste Opferoxidschicht 214, welche SiO2 umfassen kann, über der ersten vHF-Sperre 216 durch (einen) Halbleiteraufbringungsvorgang(-vorgänge), zum Beispiel einen Vorgang mit Plasma hoher Dichte (CVD), gebildet werden. In einigen Ausführungsformen kann ein chemischmechanischer Polier- (CMP-) Prozess an einer oberen Fläche der ersten Metallisierungsstruktur 202 verwendet werden, um eine im Wesentlichen ebene obere Fläche der ersten Metallisierungsstruktur 202 zu bilden. In einigen Ausführungsformen kann die obere Fläche der ersten Metallisierungsstruktur 202 eine obere Fläche einer ersten Metallisierungsstruktur-Kontaktauflage 210, eine obere Fläche einer ersten vHF-Schicht 216, eine obere Fläche eines ersten Metallisierungsstruktur-ILD-Materials 212 und/oder eine obere Fläche der ersten Opferoxidschicht 214 umfassen. In einigen Ausführungsformen definiert eine obere Fläche der ersten Metallisierungsstruktur 202 eine obere Fläche der CMOS-IC 210.
  • In einigen Ausführungsformen kann die MEMS-IC 217 eine zweite Metallisierungsstruktur 220 umfassen, die über einem MEMS-Wafer 218 (auch als ein MEMS-Substrat bezeichnet) angeordnet ist. Der MEMS-Wafer 218 kann irgendeine Art von Halbleiterkörper, wie zum Beispiel Silizium/CMOS-Bulk, SiGe, etc.) umfassen. In einigen Ausführungsformen definiert eine untere Fläche des MEMS-Wafers 218 eine untere Fläche der MEMS-IC 217. Die zweite Metallisierungsstruktur 220 Metallisierungsstruktur kann eine Mehrzahl leitfähiger Elemente, zum Beispiel einen zweiten leitfähigen Metallisierungsstruktur-Kontakt (nicht gezeigt), eine zweite Metallisierungsstruktur-Leiterbahn 206 (nicht gezeigt), eine zweite leitfähige Metallisierungsstruktur-Durchkontaktierung 208 (nicht gezeigt) und eine zweite Metallisierungsstruktur-Kontaktauflage 224 umfassen, die innerhalb eines zweiten Metallisierungsstruktur-ILD-Materials 222 angeordnet sind. Zum Beispiel kann ein zweiter leitfähiger Metallisierungsstruktur-Kontakt ein Halbleiter-Bauelement mit einer zweiten Metallisierungsstruktur-Leiterbahn verbinden. In einigen Ausführungsformen kann die zweite Metallisierungsstruktur 220 eine oder mehrere Metallschichten (z.B. Metallschicht 1, Metallschicht 2, etc.) umfassen, die übereinander angeordnet sind. In einigen Ausführungsformen kann jede Metallschicht eine oder mehrere Metallisierungsstruktur-Leiterbahnen und eine oder mehrere leitfähige Metallisierungsstruktur-Durchkontaktierungen umfassen. Manche zweiten leitfähigen Metallisierungsstruktur-Durchkontaktierungen verbinden eine zweite Metallisierungsstruktur-Leiterbahn mit einer zweiten Metallisierungsstruktur-Kontaktauflage 224, die nahe einer oberen Fläche der zweiten Metallisierungsschicht 220 angeordnet ist.
  • Darüber hinaus kann die zweite Metallisierungsstruktur 220 eine zweite Opferoxidschicht 226 (z.B. SiO2) umfassen. Eine zweite vHF-Sperre 228 kann zwischen Seitenwänden der zweiten Opferoxidschicht 226 und Abschnitten des zweiten Metallisierungsstruktur-ILD-Materials 222 angeordnet sein. Die zweite vHF-Sperre 228 kann auch zwischen (einem) Abschnitt(en) einer unteren Fläche (oder der gesamten unteren Fläche) der zweiten Opferoxidschicht 226 und (einem) Abschnitt(en) des zweiten Metallisierungsstruktur-ILD-Materials 222 angeordnet sein. In einigen Ausführungsformen besteht die zweite vHF-Sperrschicht 228 zum Beispiel aus Aluminiumoxid (AlO2), siliziumreichem Nitrid, Titanwolfram (TiW) oder amorphem Silizium. Nachdem die zweite Metallisierungsstruktur 220 gebildet ist, kann ein CMP-Prozess an einer oberen Fläche der zweiten Metallisierungsstruktur 220 verwendet werden, um eine im Wesentlichen ebene obere Fläche der zweiten Metallisierungsstruktur 220 zu bilden. In einigen Ausführungsformen kann die obere Fläche der zweiten Metallisierungsstruktur 220 eine obere Fläche einer zweiten Metallisierungsstruktur-Kontaktauflage 224, eine obere Fläche einer zweiten vHF-Schicht 228, eine obere Fläche eines zweiten Metallisierungsstruktur-ILD-Materials 222 und/oder eine obere Fläche der zweiten Opferoxidschicht 226 umfassen. In einigen Ausführungsformen definiert eine obere Fläche der zweiten Metallisierungsstruktur 220 eine obere Fläche der MEMS-IC 217.
  • 3 stellt eine Querschnittsansicht einiger Ausführungsformen einer oberen Fläche der ersten Metallisierungsstruktur 202 dar, die mit einer oberen Fläche der zweiten Metallisierungsstruktur 220 verbunden ist. In einigen Ausführungsformen können die obere Fläche der ersten Metallisierungsstruktur 202 und die obere Fläche der zweiten Metallisierungsstruktur 220 einem Aktivierungsvorgang unterzogen werden (z.B. Plasmaaktivierung), um die obere Fläche für das Hybrid-Verbinden vorzubereiten. In einigen Ausführungsformen können die oberen Flächen auch einem Reinigungsvorgang unterzogen werden, umfassend zum Beispiel, dass die Flächen entionisiertem H2O ausgesetzt werden, NH4OH ausgesetzt werden, verdünnter Flusssäure ausgesetzt werden und/oder ein Reinigungswerkzeug, wie zum Beispiel einer Bürste, einem Megaschallreiniger, etc., verwendet wird.
  • Eine zweite Metallisierungsstruktur-Kontaktauflage 224 wird dann, zum Beispiel durch optisches Abtasten, auf eine erste Metallisierungsstruktur-Kontaktauflage 210 ausgerichtet. Auch die obere Fläche des ersten Metallisierungsstruktur-ILD-Materials 212, die erste vHF-Sperre 216 und die erste Opferoxidschicht 214 sind jeweils auf die obere Fläche des zweiten Metallisierungsstruktur-ILD 222, der zweiten vHF-Sperre 228 bzw. der zweiten Opferoxidschicht 226 ausgerichtet. Nach der Ausrichtung kann die obere Fläche der ersten Metallisierungsstruktur 202 mit der oberen Fläche der zweiten Metallisierungsstruktur 220 hybrid-verbunden werden. Eine relativ schwache Verbindung zwischen der oberen Fläche der ersten Metallisierungsstruktur 202 und der zweiten Metallisierungsstruktur 220 wird durch Ausüben eines Drucks für eine relative kurze Zeitdauer bei einer relativ niedrigen Temperatur (z.B. Raumtemperatur) gebildet. Nachdem die oberen Flächen durch eine relativ schwache Verbindung verbunden werden sind, werden die verbundenen Wafer einem Glühverfahren (z.B. Ofentempern) bei einer relativ hohen Temperatur (z.B. 400° C - 1000° C) basierend auf der chemischen Zusammensetzung der Materialien, die in der ersten Metallisierungsstruktur 202 und der zweiten Metallisierungsstruktur 220 angeordnet sind, unterzogen, um eine angemessene Verbindungsstärke sicherzustellen.
  • Der Hybrid-Verbindungsvorgang resultiert in einer Metall-zu-Metall-Verbindung, die zwischen der ersten Metallisierungsstruktur-Kontaktauflage 210 und der zweiten Metallisierungsstruktur-Kontaktauflage 224 gebildet wird. Eine Nichtmetall-zu-Nichtmetall-Verbindung wird ebenfalls zwischen dem zweiten Metallisierungsstruktur-ILD-Material 222 und dem ersten Metallisierungsstruktur-ILD-Material 212 gebildet. Ferner wird in einigen Ausführungsformen eine Verbindung zwischen der ersten vHF-Sperre 216 und der zweiten vHF-Sperre 228 gebildet. Anstatt nur eine Art von Verbindung zu bilden, wie es bei anderen Arten von Wafer-zu-Wafer-Verbindung (z.B. Schmelzverbindung) der Fall ist, bildet der Hybrid-Verbindungsprozess zwei separate Verbindungstypen unter Verwendung eines einzigen Verbindungsprozesses.
  • 4 stellt eine Querschnittsansicht einiger Ausführungsformen des MEMS-Wafer 218 dar, nachdem die erste Metallisierungsstruktur 202 mit der zweiten Metallisierungsstruktur 220 verbunden worden ist, gedünnt, strukturiert und geätzt worden ist, um einen strukturierten MEMS-Wafer 410 zu bilden. In einigen Ausführungsformen kann eine untere Fläche des MEMS-Wafers 218 von einer ersten Dicke t1 auf eine zweite Dicke t2 gedünnt werden. Die Dicke des MEMS-Wafers 218 kann zum Beispiel durch Nassätzen, Trockenätzen und/oder CMP reduziert werden. Der MEMS-Wafer 218 kann einem nachfolgenden CMP-Vorgang unterzogen werden, um irgendeinen Schaden zu korrigieren, der durch den vorherigen Dickenreduzierungsvorgang verursacht worden war, und um sicherzustellen, dass die untere Fläche des MEMS-Wafers 218 im Wesentlichen glatt ist. In einigen Ausführungsformen kann eine Oxidschicht (nicht gezeigt) (z.B. SiO2, SiOxNy, Si3N4) anschließend, zum Beispiel durch einen Vorgang mit Plasma hoher Dichte (CVD) über dem MEMS-Wafer 218 aufgebracht werden. Die Oxidschicht (nicht gezeigt) kann einem nachfolgenden CMP-Vorgang unterzogen werden, um sicherzustellen, dass eine obere Fläche der Oxidschicht im Wesentlichen glatt ist.
  • Der MEMS-Wafer 218 wird strukturiert und geätzt, um den strukturieren MEMS-Wafer 410 zu bilden. Der strukturierte MEMS-Wafer 410 umfasst zum Beispiel ein MEMS-Element 412, das eine Prüfmasse sein kann. In einigen Ausführungsformen kann das MEMS-Element 412 durch Anwenden eines Photoresists (z.B. Rotationsbeschichtung) auf eine untere Fläche eines gedünnten MEMS-Wafers 218 gebildet werden. Sodann wird eine Lichtquelle (z.B. UV-Licht) durch eine Fotomaske projiziert, um den Photoresist zu strukturieren. Der gedünnte MEMS-Wafer 218 wird dann einem Ätzvorgang (z.B. Plasmaätzen, Nassätzen oder eine Kombination daraus) unterzogen, um das MEMS-Element 412 zu bilden.
  • 4 stellt auch die erste Metallisierungsstruktur 202 und die zweite Metallisierungsstruktur 220 dar, die miteinander verbunden sind, um eine verbundene Metallisierungsstruktur 402 zu bilden. In einigen Ausführungsformen umfasst die verbundene Metallisierungsstruktur 402 eine verbundene Kontaktauflage 404, eine verbundene vHF-Sperre 414, eine verbundene Opferoxidstruktur 416, den ersten leitfähigen Metallisierungsstruktur-Kontakt 204, die erste Metallisierungsstruktur-Leiterbahn 206 und die erste leitfähige Metallisierungsstruktur-Durchkontaktierung 208, die zwischen einem verbundenen ILD-Material 406 angeordnet sind.
    Die verbundene Opferoxidstruktur 416 umfasst die erste Opferoxidschicht 216 und die zweite Opferoxidschicht 226, die an einer Verbindungsgrenzfläche 408 miteinander verbunden sind. Die verbundene vHF-Sperre 414 umfasst die erste vHF-Sperre 216 und die zweite vHF-Sperre 228, die an einer Verbindungsgrenzfläche 408 miteinander verbunden sind. Das verbundene ILD-Material 406 umfasst das erste Metallisierungsstruktur-ILD-Material 212 und das zweite Metallisierungsstruktur-ILD-Material 222, die an einer Verbindungsgrenzfläche 408 miteinander verbunden sind. Die verbundene Kontaktauflage 404 umfasst die erste Metallisierungsstruktur-Kontaktauflage 210 und die zweite Metallisierungsstruktur-Kontaktauflage 224, die an einer Verbindungsgrenzfläche 408 miteinander verbunden sind.
  • In einigen Ausführungsformen kann die verbundene Kontaktauflage 404 eine Seitenwand mit einem ersten Abschnitt (z.B. unter der Verbindungsgrenzfläche 408), der gegenüber einem zweiten Abschnitt (z.B. über der Verbindungsgrenzfläche 408) um eine Breite versetzt ist, aufweisen. Zum Beispiel kann der erste Abschnitt der verbundenen Kontaktauflage 404 eine erste Breite W1 , und der zweite Abschnitt der verbundenen Kontaktauflage 404 eine zweite Breite W2 aufweisen. In einigen Ausführungsformen ist die erste Breite W1 im Wesentlichen gleich der zweiten Breite W2 . In anderen Ausführungsformen kann die erste Breite W1 anders sein als die zweite Breite W2 . In verschiedenen Ausführungsformen wird aufgrund einer Fehlausrichtung während dem Verbinden der ersten Metallisierungsstruktur-Kontaktauflage 210 und der zweiten Metallisierungsstruktur-Kontaktauflage 224 eine erste Seitenwand des ersten Abschnitts der verbundenen Kontaktauflage 404 von einer ersten Seitenwand des zweiten Abschnitts der verbundenen Kontaktauflage 404 um eine erste Versatzbreite Woff,1 , und eine zweite Seitenwand des ersten Abschnitts der verbundenen Kontaktauflage 404 von einer zweiten Seitenwand des zweiten Abschnitts der verbundenen Kontaktauflage 404 um eine zweite Versatzbreite Woff,2 versetzt angeordnet sein. In einigen Ausführungsformen ist die erste Versatzbreite Woff,1 im Wesentlichen gleich der zweiten Versatzbreite Woff,2 . In anderen Ausführungsformen kann die erste Versatzbreite Woff,1 anders sein als die zweite Versatzbreite Woff,2 . Jede der verbundenen Strukturen (z.B. die verbundene Kontaktauflage 404, die verbundene vHF-Sperre 414 und/oder die verbundene Opferoxidstruktur 416) können Seitenwände aufweisen, die versetzt angeordnet sind.
  • Ferner weist in einigen Ausführungsformen der erste Abschnitt der verbundenen Kontaktauflage 404 eine erste Tiefe D1 , und der zweite Abschnitt der verbundenen Kontaktauflage 404 eine zweite Tiefe D2 auf. In einigen Ausführungsformen ist die erste Tiefe D1 im Wesentlichen gleich der zweiten Tiefe D2 . In anderen Ausführungsformen kann die erste Tiefe D1 anders sein als die zweite Tiefe D2 . In verschiedenen Ausführungsformen wird aufgrund einer Fehlausrichtung während dem Verbinden der ersten Metallisierungsstruktur-Kontaktauflage 210 und der zweiten Metallisierungsstruktur-Kontaktauflage 224 eine dritte Seitenwand des ersten Abschnitts der verbundenen Kontaktauflage 404 von einer dritten Seitenwand des zweiten Abschnitts der verbundenen Kontaktauflage 404 um eine erste Versatztiefe Doff,1 , und eine vierte Seitenwand des ersten Abschnitts der verbundenen Kontaktauflage 404 von einer vierten Seitenwand des zweiten Abschnitts der verbundenen Kontaktauflage 404 um eine zweite Versatztiefe Doff,2 versetzt angeordnet sein. In einigen Ausführungsformen kann die erste Versatztiefe Doff,1 im Wesentlichen gleich der zweiten Versatztiefe Doff,2 sein. In anderen Ausführungsformen kann die erste Versatztiefe Doff,1 anders sein als die zweite Versatztiefe Doff,2 .
  • 5 stellt eine Querschnittsansicht einiger Ausführungsformen zum Bilden einer verbunden Metallisierungsstruktur-Öffnung 502 in der verbundenen Metallisierungsstruktur 402 zur Schaffung eines beweglichen MEMS-Elements 504 dar. Zum Beispiel kann nach dem Bilden des strukturierten MEMS-Wafers 410 die verbundene Opferoxidstruktur 416 durch einen Fluorwasserstoff-Ätzvorgang (z.B. mit Dampf oder trocken) entfernt werden, um eine verbundene Metallisierungsstruktur-Öffnung 502 zu bilden. In anderen Ausfiihrungsformen kann/können ein anderer/andere Ätzvorgang/Ätzvorgänge verwendet werden, um die Opferoxidstruktur 416 zu entfernen. Durch Bilden der verbundenen Metallisierungsstruktur-Öffnung 502 wird ein bewegliches MEMS-Element 504 gebildet, das sich um eine Achse frei bewegen kann.
  • 6 stellt eine Querschnittsansicht einiger Ausführungsformen eines Deckwafers 602 dar, der mit einer unteren Fläche des strukturierten MEMS-Wafers 410 schmelzverbunden ist. Der Deckwafer 602 kann irgendeine Art von Halbleiterkörper (z.B. Silizium/CMOS-Bulk, SiGe, SOI, etc.) umfassen. Der Deckwafer 602 kann einen Deckwafer-Hohlraum 604 aufweisen. Eine untere Begrenzung des Deckwafer-Hohlraums 604 kann durch eine obere Fläche des Deckwafers 602 definiert sein. Seitliche Begrenzungen des Deckwafer-Hohlraums 604 können durch Seitenwände des Deckwafers 602 definiert sein. Eine obere Begrenzung des Deckwafer-Hohlraums 604 kann in einer Ebene mit einer obersten Fläche des Deckwafers 602 liegen. Der Deckwafer-Hohlraum 604 stellt sicher, dass sich das MEMS-Element frei um eine Achse bewegen kann.
  • In einigen Ausführungsform kann eine Entgasungsschicht 608 an der oberen Fläche des Deckwafers 602 angeordnet sein, welche die untere Begrenzung des Deckwafer-Hohlraums 604 definiert. Die Entgasungsschicht 608 kann Polysilizium oder ein anderes geeignetes Metall umfassen. In einigen Ausführungsformen kann die Entgasungsschicht 608 ein dielektrisches Material (z.B. SiO2) umfassen. Zum Beispiel kann in einigen Ausführungsformen eine dielektrische Schicht an einem Abschnitt der oberen Fläche des Deckwafers 602 angeordnet sein, welche die untere Begrenzung des Deckwafer-Hohlraums 604 definiert. In anderen Ausführungsformen kann die Entgasungsschicht 608 entlang den gesamten Seitenwänden des Deckwafers 602, welche die seitlichen Begrenzungen des Deckwafer-Hohlraums 604 definieren, und an der gesamten oberen Fläche des Deckwafers602, welche die untere Begrenzung des Deckwafer-Hohlraums 604 definiert, angeordnet sein. Die Entgasungsschicht 608 ist gebildet, um den Enddruck innerhalb des Deckwafer-Hohlraums 604 zu regulieren, nachdem der Deckwafer 602 mit dem strukturierten MEMS-Wafer 410 schmelzverbunden worden ist. Durch Verändern der Dicke der Entgasungsschicht 608 kann der Enddruck innerhalb des Deckwafer-Hohlraums 604 gesteuert werden.
  • Vor dem Schmelzverbinden kann in einigen Ausführungsformen eine dielektrische Bindeschicht 606 (z.B. SiO2) über dem Deckwafer 602 angeordnet werden. In anderen Ausführungsformen kann der Deckwafer 602 ohne die dielektrische Bindeschicht 606 mit dem MEMS-Wafer 410 verbunden werden. Zum Beispiel wird, nachdem eine dielektrische Bindeschicht 606 über dem Deckwafer 602 gebildet worden ist, der Deckwafer umgedreht (wie in 6 abgebildet) und über dem strukturierten MEMS-Wafer 410 ausgerichtet werden. Der Deckwafer 602 wird dann mit dem strukturierten MEMS-Wafer 410, zum Beispiel durch eine Ausrichtungsvakuumschmelzverbindung, schmelzverbunden. Um eine angemessene Bindungsstärke sicherzustellen, werden der verbundene strukturierte MEMS-Wafer 410 und der Deckwafer 602 einem Glühvorgang (z.B. Ofentempern) bei einer relativ hohen Temperatur basierend auf der chemischen Zusammensetzung (z.B. Si-SiO2 oder Si-Si) des strukturierten MEMS-Wafers 410 und des Deckwafers 602 unterzogen. Im Gegensatz zum Hybrid-Verbindungsverfahren bildet das Schmelzverbindungsverfahren eine einzige Verbindungsart in einem einzigen Verbindungsvorgang. Ist der Deckwafer 602 mit dem MEMS-Wafer 410 verbunden, werden die Wafer zu Dies vereinzelt, von denen jeder mindestens ein MEMS-Bauelement aufweist, und das Packaging ist abgeschlossen.
  • 7 stellt einige Ausführungsformen eines Verfahrens 700 zum Bilden eines MEMS-Bauelements im Einklang mit dem verbesserten Verfahren zum Waferpackaging der vorliegenden Offenbarung dar. Obwohl das offenbarte Verfahren 700 und andere hierin dargestellte und/oder beschriebene Verfahren hierin als eine Abfolge von Vorgängen oder Ereignissen dargestellt und/oder beschrieben sein können, versteht sich, dass die dargestellte Reihenfolge solcher Vorgänge oder Ereignisse nicht im Sinne einer Einschränkung auszulegen ist. Zum Beispiel können sich manche Vorgänge in anderen Reihenfolgen und/oder gleichzeitig mit anderen Vorgängen oder Ereignissen ereignen, als jenen, die hierin dargestellt und/oder beschrieben sind. Ferner können nicht alle dargestellten Vorgänge erforderlich sein, um einen oder mehrere Aspekte oder Ausführungsformen der vorliegenden Beschreibung umzusetzen, und ein oder mehrere der hierin dargestellten Vorgänge können in einer oder mehreren separaten Vorgängen und/oder Phasen ausgeführt werden.
  • In 702 wird eine erste Metallisierungsstruktur über einem CMOS-Wafer gebildet. Ein Beispiel des Vorgangs 702 ist unter Bezugnahme auf die zuvor dargestellte 2 ersichtlich.
  • In 704 wird eine zweite Metallisierungsstruktur über einem MEMS-Wafer gebildet. Ein Beispiel des Vorgangs 704 ist unter Bezugnahme auf die zuvor dargestellte 2 ersichtlich.
  • In 706 wird eine obere Fläche der ersten Metallisierungsstruktur mit einer oberen Fläche der zweiten Metallisierungsstruktur hybrid-verbunden. Ein Beispiel des Vorgangs 706 ist unter Bezugnahme auf die zuvor dargestellte 3 ersichtlich.
  • In 708 wird ein MEMS-Wafer strukturiert und geätzt, um ein MEMS-Element zu bilden. Ein Beispiel des Vorgangs 708 ist unter Bezugnahme auf die zuvor dargestellte 4 ersichtlich.
  • In 710 werden die erste Opferoxidschicht und die zweite Opferoxidschicht entfernt. Ein Beispiel des Vorgangs 710 ist unter Bezugnahme auf die zuvor dargestellte 5 ersichtlich.
  • In 712 wird ein Deckwafer mit einer unteren Fläche des MEMS-Wafers schmelzverbunden. Ein Beispiel des Vorgangs 712 ist unter Bezugnahme auf die zuvor dargestellte 6 ersichtlich.
  • 8 - 12 stellen eine Serie von Querschnittsansichten einiger zusätzlicher Ausfiihrungsformen eines Verfahrens zum Herstellen eines MEMS-Bauelements zuerst durch Hybrid-Verbinden eines CMOS-Wafers, der eine Anzahl von CMOS-ICs aufweist, mit einem MEMS-Wafer, der eine Anzahl von MEMS-ICs aufweist, und dann Schmelzverbinden eines Deckwafers mit dem MEMS-Wafer, dar.
  • 8 stellt eine Querschnittsansicht einiger zusätzlicher Ausführungsformen einer MEMS-IC 217 (die verkehrt herum abgebildet ist) über einer CMOS-IC 201 dar. Wie abgebildet ist eine Opferoxidschicht 802 innerhalb der zweiten Metallisierungsstruktur 220, jedoch nicht innerhalb der ersten Metallisierungsstruktur 202 gebildet. In einigen Ausführungsformen kann eine vHF-Sperre 804 zwischen (einer) Seitenwand/Seitenwänden der Opferoxidschicht 802 und dem zweiten Metallisierungsstruktur-ILD-Material 222 gebildet sein. In anderen Ausführungsformen kann die vHF-Sperre 804 auch über einer oberen Fläche der Opferoxidschicht 802 und/oder einem Abschnitt der oberen Fläche der zweiten Metallisierungsstruktur 220 gebildet sein.
  • 9 stellt eine Querschnittsansicht einiger zusätzlicher Ausführungsformen einer oberen Fläche der ersten Metallisierungsstruktur 202 dar, die mit einer oberen Fläche der zweiten Metallisierungsstruktur 220 verbunden ist. Wie dargestellt werden eine obere Fläche der ersten Metallisierungsstruktur 202 und eine obere Fläche der zweiten Metallisierungsstruktur 222 durch eine Hybrid-Verbindung miteinander verbunden. Da in einigen Ausführungsformen die Opferoxidschicht 802 nur in der zweiten Metallisierungsstruktur 220 gebildet ist, werden eine obere Fläche der Opferoxidschicht 802 und eine obere Fläche der vHF-Sperre 804 mit einer oberen Fläche des ersten Metallisierungsstruktur-ILD-Materials 212 verbunden.
  • 10 stellt eine Querschnittsansicht einiger zusätzlicher Ausfiihrungsformen des MEMS-Wafers 218 dar, nachdem die erste Metallisierungsstruktur 202 mit der zweiten Metallisierungsstruktur 220 verbunden worden ist, gedünnt, strukturiert und geätzt worden ist, um einen strukturierten MEMS-Wafer 410 zu bilden.
  • 11 stellt eine Querschnittsansicht einiger Ausführungsformen zum Bilden einer verbunden Metallisierungsstruktur-Öffnung 502 in der verbundenen Metallisierungsstruktur 402 zur Schaffung eines beweglichen MEMS-Elements 504 dar. Zum Beispiel kann nach dem Bilden des strukturierten MEMS-Wafers 410 die Opferoxidstruktur 802 durch einen Fluorwasserstoff-Ätzvorgang (z.B. mit Dampf oder nass) entfernt werden, um eine verbundene Metallisierungsstruktur-Öffnung 502 zu bilden. In anderen Ausführungsformen kann/können ein anderer/andere Ätzvorgang/Ätzvorgänge verwendet werden, um die Opferoxidstruktur 802 zu entfernen. Durch Bilden der verbundenen Metallisierungsstruktur-Öffnung 502 wird ein bewegliches MEMS-Element 504 gebildet, das sich um eine Achse frei bewegen kann.
  • 12 stellt eine Querschnittsansicht einiger zusätzlicher Ausführungsformen eines Deckwafers 602 dar, der mit einer unteren Fläche des strukturierten MEMS-Wafers 410 schmelzverbunden ist.
  • 13 - 17 stellen eine Serie von Querschnittsansichten einiger zusätzlicher Ausführungsformen eines Verfahrens zum Herstellen eines MEMS-Bauelements zuerst durch Hybrid-Verbinden eines CMOS-Wafers, der eine Anzahl von CMOS-ICs aufweist, mit einem MEMS-Wafer, der eine Anzahl von MEMS-ICs aufweist, und dann Schmelzverbinden eines Deckwafers mit dem MEMS-Wafer, dar.
  • 13 stellt eine Querschnittsansicht einiger zusätzlicher Ausführungsformen einer MEMS-IC 217 (die verkehrt herum abgebildet ist) über einer CMOS-IC 201 dar.
  • 14 stellt eine Querschnittsansicht einiger zusätzlicher Ausführungsformen einer oberen Fläche der ersten Metallisierungsstruktur 202 dar, die mit einer oberen Fläche der zweiten Metallisierungsstruktur 220 verbunden ist.
  • 15 stellt eine Querschnittsansicht einiger zusätzlicher Ausführungsformen des MEMS-Wafers 218 dar, nachdem die erste Metallisierungsstruktur 202 mit der zweiten Metallisierungsstruktur 220 verbunden worden ist, gedünnt, strukturiert und geätzt worden ist, um einen strukturierten MEMS-Wafer 410 zu bilden.
  • 16 stellt eine Querschnittsansicht einiger zusätzlicher Ausführungsformen zum Bilden einer verbunden Metallisierungsstruktur-Öffnung 502 in der verbundenen Metallisierungsstruktur 402 zur Schaffung eines beweglichen MEMS-Elements 504 dar.
  • 17 stellt eine Querschnittsansicht einiger zusätzlicher Ausführungsformen eines Deckwafers 1702 dar, der mit einer unteren Fläche des strukturierten MEMS-Wafers 410 schmelzverbunden ist. Wie dargestellt kann in einigen Ausführungsformen eine dielektrische Deckwaferschicht 1704 (z.B. SiO2) über dem Deckwafer 1702 gebildet sein. Zum Beispiel kann die dielektrische Deckwaferschicht 1704 an einer oberen Fläche des Deckwafers 1702 zum Beispiel durch ALD, PVD, CVD oder PECVD gebildet werden. Nach dem Bilden der dielektrischen Deckwaferschicht 1704, kann ein Deckwafer-Hohlraum 604 im Deckwafer 1702 und der dielektrischen Deckwaferschicht 1704 mit verschiedenen Halbleiterverfahren (z.B. Fotolithographie gekoppelt mit Trocken-/Nassätzen) gebildet werden. In anderen Ausführungsformen kann eine Entgasungsschicht 1706 über einer oberen Fläche der dielektrischen Deckwaferschicht 1704 entlang den Seitenwänden des Deckwafers 602, welche die seitlichen Begrenzungen des Deckwafer-Hohlraums 604 definieren, und/oder an einer oberen Fläche des Deckwafers 602, welche die untere Fläche des Deckwafer-Hohlraums 604 definiert, gebildet werden.
  • Wie aus der obigen Beschreibung ersichtlich ist, betrifft die vorliegenden Offenbarung ein verbessertes Verfahren (und eine damit verbundene Vorrichtung) für das Wafer-Packaging, welches die Anzahl von MEMS-Bauelementen, die pro Stunde hergestellt werden können, erhöht, und die Überlagerungsgenauigkeit des MEMS-Wafer-Packaging verbessert.
  • In einer Ausführungsform umfasst das Verfahren für das Wafer-Packaging das Bilden einer ersten Metallisierungsstruktur über einem ergänzenden Metalloxid-Halbleiter- (CMOS-) Wafer, wobei die erste Metallisierungsstruktur eine erste Opferoxidschicht und eine erste Metall-Kontaktauflage aufweist. Eine zweite Metallisierungsstruktur wird über einem MEMS-Wafer gebildet, wobei die zweite Metallisierungsstruktur eine zweite Opferoxidschicht und eine zweite Metall-Kontaktauflage aufweist. Die erste Metallisierungsstruktur und die zweite Metallisierungsstruktur werden miteinander verbunden, wobei eine obere Fläche der ersten Opferoxidschicht mit einer oberen Fläche der zweiten Opferoxidschicht verbunden wird, und eine obere Fläche der ersten Metall-Kontaktauflage mit einer oberen Fläche der zweiten Metall-Kontaktauflage verbunden wird. Nachdem die erste Metallisierungsstruktur und die zweite Metallisierungsstruktur miteinander verbunden worden sind, folgt das Strukturieren und Ätzen des MEMS-Wafers. Nachdem die erste Metallisierungsstruktur und die zweite Metallisierungsstruktur miteinander verbunden worden sind, werden die erste Opferoxidschicht und die zweite Opferoxidschicht entfernt, um ein bewegliches MEMS-Element zu bilden.
  • In einigen Ausführungsformen umfasst das Verfahren für das Wafer-Packaging das Bilden einer ersten Metallisierungsstruktur über einem ersten Wafer, wobei die erste Metallisierungsstruktur eine erste Metall-Kontaktauflage aufweist. Eine zweite Metallisierungsstruktur wird über einem zweiten Wafer gebildet, wobei die zweite Metallisierungsstruktur eine zweite Opferoxidschicht und eine zweite Metall-Kontaktauflage umfasst. Die erste Metallisierungsstruktur und die zweite Metallisierungsstruktur werden miteinander hybrid-verbunden. Nachdem die erste Metallisierungsstruktur und die zweite Metallisierungsstruktur miteinander verbunden worden sind, folgt das Reduzieren einer Dicke des zweiten Wafers. Nach dem Reduzieren der Dicke des zweiten Wafers, folgt das Strukturieren und Ätzen des zweiten Wafers, um ein MEMS-Element über der Opferoxidschicht zu bilden. Nachdem der zweite Wafer strukturiert und geätzt worden ist, um das MEMS-Element zu bilden, wird die Opferoxidschicht geätzt, wobei das Ätzen der Opferoxidschicht ermöglicht, dass sich das MEMS-Element frei um eine Achse bewegen kann.
  • In einigen Ausführungsformen weist das MEMS-Bauelement ein Halbleiter-Bauelement auf, das über einem ergänzenden Metalloxid-Halbleiter- (CMOS-) Substrat angeordnet ist. Eine Metallisierungsstruktur aufweisend eine erste Metall-Kontaktauflage, die an eine obere Fläche einer zweiten Metall-Kontaktauflage grenzt, wird über dem CMOS-Substrat angeordnet, und ist dafür ausgelegt, das Halbleiter-Bauelement mit der ersten Metall-Kontaktauflage und der zweiten Metall-Kontaktauflage zu verbinden, wobei die erste Metall-Kontaktauflage eine erste äußerste Seitenwand aufweist, die entlang einer ersten Achse gegenüber einer ersten äußersten Seitenwand der zweiten Metall-Kontaktauflage versetzt angeordnet ist. Eine Metallisierungsstruktur-Öffnung ist innerhalb der Metallisierungsstruktur angeordnet und weist eine untere Begrenzung auf, die zwischen einer obersten Fläche der Metallisierungsstruktur und einer obersten Fläche des CMOS-Substrats angeordnet ist. Ein MEMS-Substrat ist über der Metallisierungsstruktur angeordnet, wobei ein bewegliches Element innerhalb des MEMS-Substrats angeordnet ist, wobei äußerste Seitenwände des beweglichen Elements innerhalb äußerster Seitenwände der Metallisierungsstruktur-Öffnung angeordnet sind.
  • Das Voranstehende umreißt Merkmale/Funktionen mehrerer Ausführungsformen, sodass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten erkennen, dass sie die vorliegende Offenbarung problemlos als Grundlage zum Entwerfen oder Modifizieren anderer Verfahren und Strukturen zum Erfüllen derselben Zwecke oder zum Erreichen derselben Vorteile wie mit den hierin vorgestellten Ausführungsformen verwenden können. Fachleute sollten auch erkennen, dass solche gleichwertigen Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sie verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62563977 [0001]

Claims (21)

  1. Beansprucht wird Folgendes:
  2. Verfahren für das Packaging eines mikroelektromechanischen Systems (MEMS), das Verfahren umfassend: Bilden einer ersten Metallisierungsstruktur über einem ergänzenden Metalloxid-Halbleiter- (CMOS-) Wafer, wobei die erste Metallisierungsstruktur eine erste Opferoxidschicht und eine erste Metall-Kontaktauflage aufweist; Bilden einer zweiten Metallisierungsstruktur über einem MEMS-Wafer, wobei die zweite Metallisierungsstruktur eine zweite Opferoxidschicht und eine zweite Metall-Kontaktauflage umfasst; Verbinden der ersten Metallisierungsstruktur mit der zweiten Metallisierungsstruktur, wobei eine obere Fläche der ersten Opferoxidschicht mit einer oberen Fläche der zweiten Opferoxidschicht verbunden wird, und eine obere Fläche der ersten Metall-Kontaktauflage mit einer oberen Fläche der zweiten Metall-Kontaktauflage verbunden wird; nachdem die erste Metallisierungsstruktur und die zweite Metallisierungsstruktur miteinander verbunden worden sind, Strukturieren und Ätzen des MEMS-Wafers; und nachdem die erste Metallisierungsstruktur und die zweite Metallisierungsstruktur miteinander verbunden worden sind, Entfernen der ersten Opferoxidschicht und der zweiten Opferoxidschicht zum Bilden eines beweglichen MEMS-Elements.
  3. Verfahren nach Anspruch 1, wobei die erste Metallisierungsstruktur durch eine Hybrid-Verbindung mit der zweiten Metallisierungsstruktur verbunden wird, wobei die Hybrid-Verbindung sowohl Nichtmetall-zu-Nichtmetall-Verbindungen zwischen der oberen Fläche der ersten Opferoxidschicht und der oberen Fläche der zweiten Opferoxidschicht, als auch Metall-zu-Metall-Verbindungen zwischen der oberen Fläche der ersten Metall-Kontaktauflage und der oberen Fläche der zweiten Metall-Kontaktauflage bildet.
  4. Verfahren nach Anspruch 2, ferner umfassend: nachdem die erste Opferoxidschicht und die zweite Opferoxidschicht entfernt worden sind, Verbinden eines Deckwafers mit einer unteren Fläche des MEMS-Wafers, wobei der Deckwafer einen Deckwafer-Hohlraum umfasst.
  5. Verfahren nach Anspruch 3, wobei der Deckwafer durch eine Schmelzverbindung mit dem MEMS-Wafer verbunden wird.
  6. Verfahren nach Anspruch 4, wobei die erste Opferoxidschicht und die zweite Opferoxidschicht durch eine Flusssäure-Dampfätzung entfernt werden.
  7. Verfahren nach Anspruch 5, ferner umfassend eine dielektrische Bindeschicht über dem Deckwafer, bevor der Deckwafer mit dem MEMS-Wafer verbunden wird, wobei eine obere Fläche der dielektrischen Bindeschicht mit dem MEMS-Wafer verbunden wird.
  8. Verfahren nach Anspruch 6, ferner umfassend das Bilden einer Entgasungsschicht über einem unteren Abschnitt des Deckwafer-Hohlraums, wobei äußerste Seitenwände der Entgasungsschicht durch eine Breite von Seitenwänden des Deckwafer-Hohlraums getrennt sind.
  9. Verfahren nach Anspruch 7, wobei die erste Metallisierungsstruktur eine erste hydrofluorierte (vHF-) Dampfsperre umfasst, die entlang einer Seitenwand der ersten Opferoxidschicht und eines Abschnitts der unteren Fläche der ersten Opferoxidschicht angeordnet ist, und wobei die zweite Metallisierungsstruktur eine zweite vHF-Sperre umfasst, die entlang einer Seitenwand der zweiten Opferoxidschicht und eines Abschnitts der unteren Fläche der zweiten Opferoxidschicht angeordnet ist.
  10. Verfahren für das Packaging eines mikroelektromechanischen Systems (MEMS), das Verfahren umfassend: Bilden einer ersten Metallisierungsstruktur über einem ersten Wafer, wobei die erste Metallisierungsstruktur eine erste Metall-Kontaktauflage aufweist; Bilden einer zweiten Metallisierungsstruktur über einem zweiten Wafer, wobei die zweite Metallisierungsstruktur eine zweite Opferoxidschicht und eine zweite Metall-Kontaktauflage umfasst; Hybrid-Verbinden der ersten Metallisierungsstruktur mit der zweiten Metallisierungsstruktur; nachdem die erste Metallisierungsstruktur und die zweite Metallisierungsstruktur miteinander verbunden worden sind, Reduzieren einer Dicke des zweiten Wafers; nach dem Reduzieren der Dicke des zweiten Wafers, Strukturieren und Ätzen des zweiten Wafers zum Bilden eines MEMS-Elements über der Opferoxidschicht; und nachdem der zweite Wafer strukturiert und geätzt worden ist, um das MEMS-Element zu bilden, Ätzen der Opferoxidschicht, wobei das Ätzen der Opferoxidschicht dem MEMS-Element ermöglicht, sich frei um eine Achse zu bewegen.
  11. Verfahren nach Anspruch 9, ferner umfassend: nachdem die Opferoxidschicht geätzt worden ist, Verbinden eines dritten Wafers mit einer unteren Fläche des zweiten Wafers, wobei der dritte Wafer einen dritten Wafer-Hohlraum umfasst.
  12. Verfahren nach Anspruch 10, wobei der dritte Wafer durch eine Schmelzverbindung mit dem zweiten Wafer verbunden wird.
  13. Verfahren nach Anspruch 11, ferner umfassend das Bilden einer Entgasungsschicht über einem unteren Abschnitt des dritten Wafer-Hohlraums, wobei äußerste Seitenwände der Entgasungsschicht durch eine Breite von Seitenwänden des dritten Wafer-Hohlraums getrennt sind.
  14. Verfahren nach Anspruch 12, ferner umfassend: Bilden einer dritten dielektrischen Waferschicht über dem dritten Wafer; und Bilden einer dielektrischen Bindeschicht über dem dritten Wafer, bevor der dritte Wafer mit dem zweiten Wafer verbunden wird.
  15. Verfahren nach einem der vorstehenden Ansprüche 11 bis 13, wobei die zweite Metallisierungsstruktur eine hydrofluorierte (vHF-) Dampfsperre umfasst, die entlang einer Seitenwand der Opferoxidschicht angeordnet ist.
  16. Verfahren nach Anspruch 13, wobei die Opferoxidschicht durch eine Flusssäure-Dampfätzung geätzt wird.
  17. Mikroelektromechanisches System- (MEMS-) Bauelement, umfassend: ein Halbleiter-Bauelement, das über einem ergänzenden Metalloxid-Halbleiter-(CMOS-) Substrat angeordnet ist; eine Metallisierungsstruktur umfassend eine erste Metall-Kontaktauflage, die an eine obere Fläche einer zweiten Metall-Kontaktauflage grenzt, angeordnet über dem CMOS-Substrat und dafür ausgelegt, das Halbleiter-Bauelement mit der ersten Metall-Kontaktauflage und der zweiten Metall-Kontaktauflage zu verbinden, wobei die erste Metall-Kontaktauflage eine erste äußerste Seitenwand aufweist, die entlang einer ersten Achse gegenüber einer ersten äußersten Seitenwand der zweiten Metall-Kontaktauflage versetzt angeordnet ist, und wobei eine Metallisierungsstruktur-Öffnung innerhalb der Metallisierungsstruktur angeordnet ist und eine untere Begrenzung aufweist, die zwischen einer obersten Fläche der Metallisierungsstruktur und einer obersten Fläche des CMOS-Substrats angeordnet ist; und ein MEMS-Substrat, das über der Metallisierungsstruktur angeordnet ist, wobei ein bewegliches Element innerhalb des MEMS-Substrats angeordnet ist, wobei äußerste Seitenwände des beweglichen Elements innerhalb äußerster Seitenwände der Metallisierungsstruktur-Öffnung angeordnet sind.
  18. MEMS-Bauelement nach Anspruch 16, wobei die erste Metall-Kontaktauflage eine zweite äußerste Seitenwand aufweist, die entlang einer zweiten Achse, die senkrecht zur ersten Achse angeordnet ist, gegenüber einer zweiten äußersten Seitenwand der zweiten Metall-Kontaktauflage versetzt angeordnet ist.
  19. MEMS-Bauelement nach Anspruch 17, wobei eine oberste Fläche der ersten Metall-Kontaktauflage die oberste Fläche der Metallisierungsstruktur definiert.
  20. MEMS-Bauelement nach Anspruch 18, wobei eine unterste Fläche des beweglichen Elements mit der obersten Fläche der Metallisierungsstruktur in einer Ebene liegt.
  21. MEMS-Bauelement nach Anspruch 19, ferner umfassend: ein Kappensubstrat umfassend einen Deckwafer-Hohlraum, angeordnet über der Metallisierungsstruktur, wobei äußerste Seitenwände des beweglichen Elements innerhalb äußerster Seitenwände des Deckwafer-Hohlraums angeordnet sind.
DE102018122261.0A 2017-09-27 2018-09-12 Integrationsverfahren zum waferebenenpackaging und mikroelektromechanisches system-, mems-, bauelement Active DE102018122261B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762563977P 2017-09-27 2017-09-27
US62/563,977 2017-09-27
US15/855,449 US10294098B2 (en) 2017-09-27 2017-12-27 Method for manufacturing a MEMS device by first hybrid bonding a CMOS wafer to a MEMS wafer
US15/855,449 2017-12-27

Publications (2)

Publication Number Publication Date
DE102018122261A1 true DE102018122261A1 (de) 2019-03-28
DE102018122261B4 DE102018122261B4 (de) 2024-03-28

Family

ID=65638727

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018122261.0A Active DE102018122261B4 (de) 2017-09-27 2018-09-12 Integrationsverfahren zum waferebenenpackaging und mikroelektromechanisches system-, mems-, bauelement

Country Status (2)

Country Link
US (2) US11279615B2 (de)
DE (1) DE102018122261B4 (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11078075B2 (en) * 2015-12-31 2021-08-03 Taiwan Semiconductor Manufacturing Company Ltd. Packaging method and associated packaging structure
US20220185660A1 (en) * 2019-04-01 2022-06-16 Meridian Innovation Pte Ltd Monolithic post complementary metal-oxide-semiconductor integration of thermoelectric-based infrared detector

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6958846B2 (en) 2002-11-26 2005-10-25 Reflectivity, Inc Spatial light modulators with light absorbing areas
US7372074B2 (en) 2005-10-11 2008-05-13 Honeywell International, Inc. Surface preparation for selective silicon fusion bonding
DE102006050188A1 (de) 2006-10-25 2008-04-30 Robert Bosch Gmbh Mikromechanisches Bauelement und Verfahren zur Herstellung eines mikromechanischen Bauelements
US20100193884A1 (en) 2009-02-02 2010-08-05 Woo Tae Park Method of Fabricating High Aspect Ratio Transducer Using Metal Compression Bonding
US20100291410A1 (en) 2009-05-13 2010-11-18 Spatial Photonics, Inc. Corrosion Protection and Lubrication of MEMS Devices
US8728845B2 (en) 2011-03-24 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for selectively removing anti-stiction coating
US9573801B2 (en) 2011-09-13 2017-02-21 Texas Instruments Incorporated MEMS electrostatic actuator device for RF varactor applications
TW201340734A (zh) 2012-03-23 2013-10-01 Windtop Technology Corp 具機電隔離的整合性單晶片微機電系統麥克風
US9048283B2 (en) 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
US9738512B2 (en) 2012-06-27 2017-08-22 Invensense, Inc. CMOS-MEMS integrated device including multiple cavities at different controlled pressures and methods of manufacture
CN102935994A (zh) 2012-08-13 2013-02-20 武汉高德红外股份有限公司 新型cmos-mems兼容的非制冷红外传感器像素级封装方法
CN103879952B (zh) 2012-12-19 2016-05-11 中国科学院上海微系统与信息技术研究所 Mems器件真空封装结构的制作方法
US9249012B2 (en) 2013-01-25 2016-02-02 Mcube, Inc. Method and device of MEMS process control monitoring and packaged MEMS with different cavity pressures
US9227842B2 (en) 2013-02-27 2016-01-05 Invensense, Inc. Method for MEMS structure with dual-level structural layer and acoustic port
CN104003348B (zh) 2013-02-27 2018-02-02 应美盛股份有限公司 用于具有双层面结构层和声学端口的mems结构的方法
CN103145088B (zh) 2013-03-23 2015-12-02 安徽北方芯动联科微系统技术有限公司 Mems芯片及其圆片级封装的盖板接地方法
US9136165B2 (en) 2013-06-04 2015-09-15 Invensense, Inc. Methods for stiction reduction in MEMS sensors
US9556017B2 (en) 2013-06-25 2017-01-31 Analog Devices, Inc. Apparatus and method for preventing stiction of MEMS devices encapsulated by active circuitry
US9540231B2 (en) 2014-01-28 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS device with a bonding layer embedded in the cap
TWI538036B (zh) 2014-04-28 2016-06-11 應美盛股份有限公司 藉由連續接合方法之cmos-mems整合
US9761557B2 (en) 2014-04-28 2017-09-12 Invensense, Inc. CMOS-MEMS integration by sequential bonding method
US9630834B2 (en) 2014-06-16 2017-04-25 InSense, Inc. Wafer scale monolithic CMOS-integration of free- and non-free-standing Metal- and Metal alloy-based MEMS structures in a sealed cavity
US9422156B2 (en) 2014-07-07 2016-08-23 Invensense, Inc. Integrated CMOS and MEMS sensor fabrication method and structure
US9493346B2 (en) 2014-07-29 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitor with planarized bonding for CMOS-MEMS integration
US10053361B2 (en) 2014-12-26 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of selectively removing an anti-stiction layer on a eutectic bonding area
US10273140B2 (en) 2015-01-16 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate structure, semiconductor structure and method for fabricating the same
US9505609B2 (en) 2015-04-29 2016-11-29 Invensense, Inc. CMOS-MEMS integrated device with selective bond pad protection
US9527721B2 (en) 2015-05-15 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Movement microelectromechanical systems (MEMS) package
US9290376B1 (en) 2015-06-12 2016-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS packaging techniques
US10155656B2 (en) 2015-10-19 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Inter-poly connection for parasitic capacitor and die size improvement
US10053358B2 (en) 2016-08-31 2018-08-21 Robert Bosch Gmbh MEMS structure with graphene component
DE102016223203A1 (de) 2016-11-23 2018-05-24 Robert Bosch Gmbh MEMS-Bauelement mit niederohmiger Verdrahtung und Verfahren zur Herstellung desselben
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures

Also Published As

Publication number Publication date
US11279615B2 (en) 2022-03-22
US11932534B2 (en) 2024-03-19
US20190241430A1 (en) 2019-08-08
DE102018122261B4 (de) 2024-03-28
US20220204340A1 (en) 2022-06-30

Similar Documents

Publication Publication Date Title
DE102018124826B4 (de) Auf waferebene integriertes mems-bauelement, das mit einer siliziumsäule und einer intelligenten kappe ermöglicht wird
DE102010039057B4 (de) Sensormodul
DE102017110355A1 (de) Verfahren zur integrierung von komplementären metall-oxid-halbleiter- (cmos-) vorrichtungen mit vorrichtungen mit mikroelectromechanischen systemen (mems) unter erwendung einer ebenen oberfläche über einer opferschicht
EP1274647B1 (de) Mikromechanisches bauelement und entsprechendes herstellungsverfahren
US10294098B2 (en) Method for manufacturing a MEMS device by first hybrid bonding a CMOS wafer to a MEMS wafer
DE102009004725A1 (de) Halbleiterschaltung mit Durchkontaktierung und Verfahren zur Herstellung vertikal integrierter Schaltungen
DE102016114912A1 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE102017123045A1 (de) Filmschema zur kontakthöckerbildung
DE102014200507A1 (de) Mikromechanische Drucksensorvorrichtung und entsprechendes Herstellungsverfahren
DE102018124822B4 (de) Verfahren zum herstellen eines mems-packages mit mehreren tiefen
DE102018122261B4 (de) Integrationsverfahren zum waferebenenpackaging und mikroelektromechanisches system-, mems-, bauelement
DE102016223203A1 (de) MEMS-Bauelement mit niederohmiger Verdrahtung und Verfahren zur Herstellung desselben
DE102014215009A1 (de) Herstellungsverfahren für eine piezoelektrische Schichtanordnung und entsprechende piezoelektrische Schichtanordnung
EP1389307B1 (de) Sensoranordnung, insbesondere mikromechanische sensoranordnung
WO2012069078A1 (de) Eutektische bondung von dünnchips auf einem trägersubstrat
DE112014004474T5 (de) Sensor für eine physikalische Grösse und Verfahren zur Herstellung derselben
DE102009005458A1 (de) Halbleiterbauelement mit Durchkontaktierung und Verfahren zu dessen Herstellung
DE102019130124A1 (de) Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
DE102016123861B4 (de) Metallisierung von MEMS-Vorrichtungen
DE102014226436A1 (de) Mikromechanische Sensorvorrichtung und entsprechendes Herstellungsverfahren
DE102021104081B4 (de) Kammelektroden-trennprozess für mems-struktur
DE102008046380B4 (de) Halbleitervorrichtung und Herstellungsverfahren derselben
DE102016115567B4 (de) Heizvorrichtungsgestaltung für mems-kammerdrucksteuerung
DE102017119114B4 (de) Verfahren zur Haftungsvermeidung durch strukturierte Antihaftschicht
DE102006059084B4 (de) Mikromechanisches Bauelement mit integrierten passiven elektronischen Bauelementen und Verfahren zu seiner Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division