DE102014119153A1 - Verfahren zum Ausbilden verschiedener Strukturen in einer Halbleiterstruktur unter Verwendung einer einzelnen Maske - Google Patents

Verfahren zum Ausbilden verschiedener Strukturen in einer Halbleiterstruktur unter Verwendung einer einzelnen Maske Download PDF

Info

Publication number
DE102014119153A1
DE102014119153A1 DE102014119153.6A DE102014119153A DE102014119153A1 DE 102014119153 A1 DE102014119153 A1 DE 102014119153A1 DE 102014119153 A DE102014119153 A DE 102014119153A DE 102014119153 A1 DE102014119153 A1 DE 102014119153A1
Authority
DE
Germany
Prior art keywords
layer
feature
exposure
mask
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102014119153.6A
Other languages
English (en)
Other versions
DE102014119153B4 (de
Inventor
Tsung-Min Huang
Chung-Ju Lee
Chih-Tsung Shih
Yen-Cheng Lu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014119153A1 publication Critical patent/DE102014119153A1/de
Application granted granted Critical
Publication of DE102014119153B4 publication Critical patent/DE102014119153B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)

Abstract

Die vorliegende Offenbarung stellt ein Verfahren zum Ausbilden einer IC-Struktur bereit. Das Verfahren umfasst ein Bereitstellen einer Halbleiterstruktur, die ein Substrat, eine über dem Substrat ausgebildete dielektrische Schicht und einen über der dielektrischen Schicht ausgebildeten Hartmaskenbereich umfasst; Ausbilden einer ersten Fotolackschicht über dem Hartmaskenbereich; Durchführen einer ersten lithografischen Belichtung unter Verwendung einer Fotomaske, um eine erste latente Struktur auszubilden; Ausbilden einer zweiten Fotolackschicht über dem Hartmaskenbereich; und Durchführen einer zweiten lithografischen Belichtung unter Verwendung der Fotomaske, um eine zweite latente Struktur auszubilden. Die Fotomaske umfasst ein erstes Maskenmerkmal und ein zweites Maskenmerkmal. Die erste latente Struktur entspricht dem ersten Maskenmerkmal, und die zweite latente Struktur entspricht dem ersten Maskenmerkmal und dem zweiten Maskenmerkmal.

Description

  • ALLGEMEINER STAND DER TECHNIK
  • Die Industrie für integrierte Halbleiterschaltungen (IC) hat ein sehr schnelles Wachstum erfahren. Technologische Fortschritte in IC-Materialien und -Design haben Generationen von ICs hervorgebracht, bei denen jede Generation kleinere und komplexere Schaltungen als die vorangegangene Generation aufweist. Jedoch haben diese Fortschritte zu einem Anstieg der Komplexität der IC-Verarbeitung und -Herstellung geführt; damit diese Fortschritte umgesetzt werden können, sind ähnliche Entwicklungen in der IC-Verarbeitung und -Herstellung erforderlich. Im Zuge der IC-Entwicklung ist die Funktionsdichte (d. h. die Anzahl miteinander verschalteter Bauelemente pro Chipfläche) grundsätzlich gestiegen, während die geometrische Größe (d. h. die kleinste Komponente oder Leiterbahn, die unter Verwendung eines Fertigungsverfahrens erzeugt werden kann) kleiner geworden ist. Dieser Miniaturisierungsprozess (Skalierung) bringt grundsätzlich Vorteile, indem die Produktionseffizienz gesteigert und die damit verbundenen Kosten gesenkt werden.
  • ICs werden im Allgemeinen durch eine Sequenz von Materialschichten ausgebildet, von denen einige mithilfe eines fotolithografischen Prozesses strukturiert werden. Es ist wichtig, dass die strukturierten Schichten richtig mit benachbarten Schichten ausgerichtet oder überlagert sind. Korrekte Ausrichtung und Überlagerung wird angesichts der kleiner werdenden geometrischen Größen der modernen ICs schwieriger. Außerdem beeinflusst die Flächentopografie eines darunterliegenden Substrats, wie z. B. eines Halbleiterwafers, die Qualität der lithografischen Abbildung und verringert ferner die Überlagerungstoleranz zwischen benachbarten Materialschichten. Außerdem tragen lithografische Prozesse erheblich zu den Gesamtkosten der Herstellung bei, einschließlich der Verarbeitungszeit und der Kosten für Masken (auch als Fotomasken bezeichnet), die in dem Prozess verwendet werden. Daher ist ein lithografisches Verfahren erforderlich, das die vorstehenden Probleme angeht.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden am besten aus der nachstehenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den begleitenden Figuren gelesen wird. Es wird betont, dass gemäß dem Standardverfahren in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zur Klarheit der Erörterung beliebig vergrößert oder reduziert sein.
  • 1 ist eine Draufsicht auf eine Fotomaske (auch als Maske oder Retikel bezeichnet), die ein IC-Entwurfsmuster aufweist, gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
  • 2A ist eine schematische Zeichnung, die die lithografische On-Focus- und Defocus-Belichtung unter Verwendung der Fotomaske von 1 gemäß einigen Ausführungsformen der vorliegenden Offenbarung darstellt.
  • 2B und 2C sind schematische Ansichten von verschiedenen Belichtungsintensitätsprofilen während lithografischer Belichtungsprozesse unter Verwendung der Fotomaske 100 von 1 gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
  • 3 ist ein Ablaufdiagramm eines Verfahrens zum Fertigen einer Halbleiterstruktur unter Verwendung der Fotomaske von 1 gemäß einer oder mehreren Ausführungsformen der vorliegenden Offenbarung.
  • 4 bis 12 sind Querschnittsansichten einer Halbleiterstruktur bei verschiedenen Fertigungsstufen, die unter Verwendung der Fotomaske von 1 erstellt wurde, gemäß einer oder mehreren Ausführungsformen der vorliegenden Offenbarung.
  • 4A, 5A, 7A und 8A sind Querschnittsansichten einer Halbleiterstruktur, die mehr als eine Polymerschicht während des lithografischen Strukturierungsprozesses verwendet, gemäß einer oder mehreren Ausführungsformen der vorliegenden Offenbarung.
  • 4B, 5B, 7B und 8B sind Querschnittsansichten einer Halbleiterstruktur, die eine einzelne Fotolackschicht während des fotolithografischen Strukturierungsprozesses verwendet, gemäß einer oder mehreren Ausführungsformen der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Es versteht sich, dass die nachstehende Offenbarung viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale der Erfindung bereitstellt. Konkrete Beispiele von Komponenten und Anordnungen sind nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich lediglich Beispiele und sind nicht im beschränkenden Sinne gedacht. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung geschieht zum Zweck der Einfachheit und Klarheit und sie schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Ausgestaltungen vor. Außerdem kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachstehenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet werden, und es kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen können.
  • 1 ist eine Draufsicht auf eine Fotomaske (auch als Maske oder Retikel bezeichnet) 100, die ein IC-Entwurfsmuster 104 aufweist, gemäß einigen Ausführungsformen der vorliegenden Offenbarung. In einigen Ausführungsformen kann das IC-Entwurfsmuster 104 verwendet werden, um mehr als eine Art von Merkmal (z. B. Metallleiterbahn- und Durchkontaktierungsmerkmal) unter Verwendung eines lithografischen Prozesses auszubilden. Die Fotomaske 100 umfasst ein Fotomaskensubstrat 102 und ein IC-Entwurfsmuster 104. Es versteht sich, dass die Fotomaske 100 und das aufgenommene IC-Entwurfsmuster 104 eigentlich ein Teil einer größeren und komplizierteren Fotomaske (nicht dargestellt) sein können. Die Fotomaske 100 kann verwendet werden, um eine oder mehrere Schichten während des lithografischen Strukturierungsprozesses zu strukturieren.
  • Die Fotomaske 100 umfasst ein Fotomaskensubstrat 102 und das darauf ausgebildete IC-Entwurfsmuster 104. Wenn in einigen Ausführungsformen die lithografische Technik, wie z. B. Ultraviolett (UV) oder tiefes Ultraviolett (DUV), zum Strukturieren von Merkmalen auf dem Wafer verwendet wird, umfasst das Fotomaskensubstrat 102 ein transparentes Substrat, wie z. B. Quarzglas. Ein IC-Entwurfsmuster 104 wird auf dem Fotomaskensubstrat 102 ausgebildet und es wird in einer undurchsichtigen Materialschicht, wie z. B. Chrom (Cr), definiert.
  • Wenn in einigen alternativen Ausführungsformen EUV-Lithografietechnik (Lithografie die extrem ultraviolette Strahlung nutzt) verwendet wird, ist die Fotomaske 100 eine reflektierende Fotomaske, die ein Fotomaskensubstrat 102 aus einem Material mit einer geringen Wärmeausdehnung (Low Thermal Expansion Material, LTEM) umfasst. Eine reflektierende Mehrfachschicht (Multilayer, ML) wird auf dem Substrat 102 angeordnet, und eine Absorptionsschicht wird über der reflektierenden ML angeordnet und wird ferner strukturiert, um die IC-Struktur zu definieren. Es versteht sich, dass andere Ausgestaltungen und Aufnahme oder Auslassung verschiedener Elemente möglich sein können. Zum Beispiel kann eine Abdeckschicht zwischen der reflektierenden ML und der Absorptionsschicht ausgebildet werden. In einem anderen Beispiel kann eine Schutzschicht auf der Absorptionsschicht ausgebildet werden. In noch einigen alternativen Ausführungsformen kann die Fotomaske 100 eine Phasenverschiebungsmaske (PSM), wie z. B. dämpfende PSM oder alternierende PSM, sein, um die Abbildungsauflösung zu verbessern.
  • Unter Bezugnahme auf 1 ist in einigen Ausführungsformen das IC-Entwurfsmuster 104 ein kombiniertes Merkmal, das ein erstes Merkmal 106 und ein zweites Merkmal 108 umfasst. Das erste Merkmal 106 ist ein Merkmal, das in eine erste Richtung (z. B. X-Richtung) ausgerichtet ist, und das zweite Merkmal 108 ist ein Leiterbahnmerkmal, das in die zweite Richtung (z. B. Y-Richtung) ausgerichtet ist. In der vorliegenden Ausführungsform ist die erste Richtung (z. B. X-Richtung) senkrecht zu der zweiten Richtung (z. B. Y-Richtung) und überschneidet sich mit ihr. Das erste Merkmal 106 kann derart ausgelegt sein, dass es ein erstes IC-Merkmal (z. B. Durchkontaktierungsmerkmal) in einer Materialschicht auf dem Wafer ausbildet, und das zweite Merkmal 108 kann derart ausgelegt sein, das es ein zweites IC-Merkmal (z. B. Metallleiterbahnmerkmal) in derselben Materialschicht oder einer anderen Materialschicht auf dem Wafer ausbildet. In den vorliegenden Ausführungsformen ist das erste IC-Merkmal 106 derart ausgelegt, dass es ein Durchkontaktierungsmerkmal ausbildet, um die Metallleiterbahn mit einer andern Metalleiterbahn in einer Schicht unterhalb der Durchkontaktierung zu verbinden und elektrisch zu koppeln. Das zweite IC-Merkmal 108 ist derart ausgelegt, dass es eine Metallleiterbahn in der Halbleiterstruktur ausbildet. Alternativ ist das erste IC-Merkmal 106 derart ausgelegt, dass es ein Kontaktmerkmal ausbildet, um die Metallleiterbahn mit einer Gateelektrode oder einem dotierten Halbleitermerkmal (wie z. B. Source oder Drain) in der Halbleiterstruktur zu verbinden und elektrisch zu koppeln. Das erste Merkmal 106 und das zweite Merkmal 108 können auf zwei Fotolackschichten unter Verwendung einer einzelnen Fotomaske 100, wie in 1 dargestellt, mithilfe eines lithografischen Belichtungsprozesses, wie z. B. einer UV-, DUV- oder EUV-Lithografietechnik (Ultraviolett, tiefes Ultraviolett, extrem ultraviolette Strahlung) ausgebildet werden.
  • Wie in 1 dargestellt, erstreckt sich das erste Merkmal 106 mit einer Abmessung Vx in die X-Richtung und einer Abmessung Vy in die Y-Richtung. Das zweite Merkmal 108 erstreckt sich mit einer Abmessung Lx in die X-Richtung und einer Abmessung Ly in die Y-Richtung. In einigen Ausführungsformen ist die Abmessung Vx des ersten Merkmals 106 größer als die Abmessung Lx des zweiten Merkmals 108. Die Abmessung Vy des ersten Merkmals 106 ist kleiner als die Abmessung Ly des zweiten Merkmals 108. Zur Unterstützung der Ausführungsform sind das erste Merkmal 106 und das zweite Merkmal 108 derart ausgerichtet, dass sie in der X-Richtung gemeinsam zentriert sind.
  • Alternativ kann das IC-Entwurfsmuster in einer Lithografiestrukturierungs-Datendatei definiert werden und mithilfe anderer Belichtungssysteme, z. B. eines Strahls geladener Teilchen (darunter Elektronenstrahl), in einem geeigneten Modus (z. B. direkte Beschreibung in einem Rastermodus oder Vektormodus, oder unter Verwendung eines digitalen Bildgenerators (Pattern-Generators)) auf Materialschichten (z. B. Fotolack) übertragen werden. In einer Elektronenstrahllithografie werden die Fotolackschichten häufig als Elektronenstrahl-empfindliche Fotolackschichten bezeichnet.
  • Jedes Fotolackmaterial weist seine jeweilige Belichtungsschwelle gegenüber Strahlung (zum Beispiel gegenüber einem Belichtungsstrahl eines EUV-Systems). Wenn die Belichtungsintensität (auch als Belichtungsdosis bezeichnet) größer gleich der Belichtungsschwelle ist, wird der entsprechende Abschnitt des Fotolacks chemisch verändert, so dass es in einem Entwicklungsprozess entwickelt wird (z. B. wird er durch den Entwickler entfernt, wenn der Fotolack positiv ist). Wenn die Belichtungsintensität kleiner als die Belichtungsschwelle ist, wird der entsprechende Abschnitt des Fotolacks nicht chemisch verändert, um entwickelt zu werden (z. B. verbleibt er während des Entwicklungsverfahrens, wenn der Fotolack positiv ist). Es versteht sich, dass der Begriff „verändert” bedeutet, dass sich der Fotolack hinreichend veränderte, um anders zu reagieren, z. B. wie ein belichteter positiver Fotolack in dem Entwicklungsprozess reagiert. In einem Beispiel, in dem der Fotolack positiv ist, werden lediglich Abschnitte des Fotolacks, die mit einer Belichtungsintensität größer gleich der Belichtungsschwelle belichtet wurden, mithilfe eines geeigneten Entwicklers während des Entwicklungsprozesses entfernt. Andere Abschnitte des Fotolacks, die nicht belichtet oder mit einer Belichtungsintensität, die kleiner als die Belichtungsschwelle ist, belichtet wurden, verbleiben nach dem Entwicklungsprozess. In einem anderen Beispiel, in dem der Fotolack negativ ist, werden die Abschnitte des Fotolacks, die nicht belichtet oder mit einer Belichtungsintensität, die kleiner als die Belichtungsschwelle ist, belichtet wurden, mithilfe eines geeigneten Entwicklers während des Entwicklungsprozesses entfernt. Andere Abschnitte des Fotolacks, die mit einer Belichtungsintensität, die größer gleich der Belichtungsschwelle ist, belichtet wurden, verbleiben nach dem Entwicklungsprozess.
  • 2A ist eine schematische Zeichnung, die den lithografischen On-Focus- und Defocus-Belichtungsprozess, der die Fotomaske 100 von 1 verwendet, gemäß einigen Ausführungsformen der vorliegenden Offenbarung darstellt. Wie in 2A dargestellt, kann während eines Belichtungsprozesses das Licht, das durch die Maske 100 durchgeht, mithilfe der Linse 210 derart gerichtet werden, dass es auf einer Brennebene 220 fokussiert wird. Die Halbleiterstruktur umfasst eine Fotolackschicht, die auf der Oberseite abgeschieden ist, und sie ist gegenüber dem Belichtungslicht empfindlich. In der vorliegenden Ausführungsform ist die Fotolackschicht positiv. Nach dem Entwicklungsprozess kann das Fotomaskenmuster auf die Fotolackschicht übertragen werden, indem latente Strukturen in der Fotolackschicht ausgebildet werden. In einigen Ausführungsformen ist die Fotolackschicht auf der Brennebene 220 angeordnet, damit sie eine maximierte Belichtungsintensität während des lithografischen Belichtungsprozesses erhält. In einigen alternativen Ausführungsformen ist die Fotolackschicht hinter der Brennebene, z. B. in einer Defocus-Position 230, angeordnet. Die Belichtungsintensität, die in der Defocus-Position 230 empfangen wird, ist kleiner als die Belichtungsintensität, die in der Brennebene 220 empfangen wird.
  • 2B und 2C sind schematische Ansichten verschiedener Belichtungsintensitätsprofile während eines lithografischen Belichtungsprozesses, der die Fotomaske 100 von 1 verwendet, gemäß einigen Ausführungsformen der vorliegenden Offenbarung. In einigen Ausführungsformen umfasst die Fotomaske 100 ein Durchkontaktierungsmerkmal 106 mit einer größeren Abmessung entlang der X-Richtung (Vx), und ein Metallleiterbahnmerkmal 108 mit einer schmaleren Abmessung Lx entlang der X-Richtung (Lx), wie in 1 dargestellt. In einigen Ausführungsformen weist die Fotolackschicht eine Belichtungsschwelle, die von der Zusammensetzung der Fotolackschicht abhängig ist. Während des fotolithografischen Belichtungsprozesses mit den in der Fotomaske 100 definierten IC-Strukturen werden latente Strukturen auf der Fotolackschicht ausgebildet. Die latente Struktur einer Fotolackschicht bezieht sich auf die belichtete Struktur auf der Fotolackschicht, die schließlich zu einer physischen Fotolackstruktur, z. B. mithilfe eines Entwicklungsprozesses, wird. In dem vorliegenden Fall sind die in 2B und 2C dargestellten latenten Strukturen entsprechende Abbildungen der belichteten Abschnitte, die mit einer Belichtungsintensität, die größer gleich der Belichtungsschwelle der Fotolackschicht ist, belichtet wurden.
  • 2B und 2C umfassen außerdem eine Belichtungsintensitätsskala, die mit verschiedenen Intensitätspegeln, die durch verschiedene Graustufen repräsentiert sind, gekennzeichnet ist. In dem vorliegenden Beispiel ist die Einheit der Belichtungsintensität eine relative Einheit, die in einem Bereich von 0 bis 1 liegt. In diesem Fall steht „1” für 100% der Belichtungsintensität von dem Belichtungssystem vor dem Erreichen der Fotolackschicht.
  • Unter Bezugnahme auf 2B ist in einigen Ausführungsformen, wenn sich die Fotolackschicht in der Brennebene 220 befindet, die Belichtungsintensität, die sowohl durch das Durchkontaktierungsmerkmal 106 als auch das Metallleiterbahnmerkmal 108 durchgeht und an der Fotolackschicht ankommt, größer gleich der Belichtungsschwelle der Fotolackschicht. Daher umfassen die in der Fotolackschicht ausgebildeten latenten Strukturen sowohl das Durchkontaktierungsmerkmal als auch das Metallleiterbahnmerkmal, wie es als Bereiche 240 und 250 mit einer höheren Belichtungsintensität in 2B angezeigt ist.
  • Unter Bezugnahme auf 2C ist in einigen Ausführungsformen, wenn sich die Fotolackschicht in einer Defocus-Position hinter der Brennebene 220, z. B. in der Defocus-Position 230, befindet, die Belichtungsintensität, die durch die Fotomaske durchgeht und an der Fotolackschicht ankommt, kleiner als die Belichtungsintensität in der On-Focus-Position 220. Da die Abmessung Vx des Durchkontaktierungsmerkmals 106 in einigen Ausführungsformen größer als die Abmessung Lx des Metallleiterbahnmerkmals 108 ist, ist die Belichtungsintensität, die dem Durchkontaktierungsmerkmal 106 entspricht, größer als die Belichtungsintensität, die dem Metalleiterbahnmerkmal 108 entspricht. In einigen Ausführungsformen ist die Belichtungsintensität, die durch das Durchkontaktierungsmerkmal 106 durchgeht und an der Defocus-Position 230 ankommt, größer gleich der Belichtungsschwelle der Fotolackschicht, aber die Belichtungsintensität, die durch das Metallleiterbahnmerkmal 108 durchgehet und an der Defocus-Position 230 ankommt, ist kleiner als die Belichtungsschwelle der Fotolackschicht. Daher umfassen die in der Fotolackschicht ausgebildeten latenten Strukturen lediglich das Durchkontaktierungsmerkmal, wie als Bereich 260 in 2C angezeigt.
  • Indem die Belichtungsschwelle durch Abstimmen der Zusammensetzung der Fotolackmaterialien korrekt gewählt wird, die Position der Fotolackschicht in Bezug auf die Linse 210 eingestellt wird, verschiedene Abmessungen der IC-Entwurfsmuster auf der Fotomaske 100 eingestellt werden oder durch eine Kombination davon, können in verschiedenen Ausführungsformen unterschiedliche Strukturen, die verschiedenen IC-Merkmalen entsprechen, unter Verwendung einer einzelnen Maske 100 auf der Fotolackschicht ausgebildet werden, wie in der vorliegenden Offenbarung dargestellt. Das lithografische Verfahren und die Fotomaske, die in der vorliegenden Offenbarung besprochen werden, können einen lithografischen Prozess mit niedrigeren Kosten und besseren Ausrichtungsergebnissen unter Verwendung einer einzelnen Fotomaske bereitstellen.
  • In der vorliegenden Ausführungsform verwendet der lithografische Belichtungsprozess Photonen, wie z. B. UV, DUV oder EUV, eine Fotomaske wird verwendet, und die IC-Entwurfsmuster werden in der Fotomaske definiert. In diesem Fall wird lediglich eine Fotomaske verwendet, um zwei verschiedene IC-Strukturen in der Halbleiterstruktur auszubilden, indem die Position der Fotolackschicht in Bezug auf die Linse eingestellt wird. In einer alternativen Ausführungsform werden geladene Teilchen als Strahlungsbündel während des lithografischen Belichtungsprozesses verwendet. In diesem Fall kann das IC-Entwurfsmuster in einer Datendatei definiert werden, und das empfindliche Fotolackmaterial wird so gewählt, dass es gegenüber geladenen Teilchen, wie z. B. einem Elektronenstrahl, empfindlich ist. Das Verfahren zum Ausbilden von zwei IC-Merkmalen unter Verwendung einer Fotomaske und die dadurch gefertigte Halbleiterstruktur sind nachstehend gemäß verschiedenen Ausführungsformen weiter beschrieben.
  • 3 ist ein Ablaufdiagramm eines Verfahrens 300 zum Fertigen einer Halbleiterstruktur unter Verwendung der Fotomaske 100 von 1 gemäß einer oder mehreren Ausführungsformen der vorliegenden Offenbarung. 4 bis 12 sind Querschnittsansichten einer Halbleiterstruktur 400 bei verschiedenen Fertigungsstufen, die unter Verwendung der Fotomaske 100 von 1 ausgebildet wurde, gemäß einer oder mehreren Ausführungsformen der vorliegenden Offenbarung. Das Verfahren 300 und die Halbleiterstruktur 400 sind nachstehend zusammen unter Bezugnahme auf 4 bis 12 beschrieben. Zusätzliche Schritte können bevor, während und nach dem Verfahren 300 bereitgestellt werden, und einige der beschriebenen Schritte können für zusätzliche Ausführungsformen des Verfahrens ersetzt oder eliminiert werden. Die nachstehende Beschreibung stellt verschiedene Ausführungsformen der Halbleiterstruktur 400 dar, die gemäß dem Verfahren 300 von 3 gefertigt werden kann.
  • Unter Bezugnahme auf 3 sowie 4A und 4B beginnt das Verfahren 300 bei Schritt 302, indem eine Halbleiterstruktur 400 bereitgestellt wird, die ein Halbleitersubstrat 402, eine Low-k-Dielektrikumsschicht 408 und einen Hartmaskenbereich (HM-Bereich) 409 umfasst. Das Halbleitersubstrat 402 kann Silizium (Si) umfassen. Alternativ oder zusätzlich kann das Substrat 402 einen anderen Elementhalbleiter, wie z. B. Germanium (Ge), umfassen. Das Substrat 402 kann ebenfalls einen Verbindungshalbleiter, wie z. B. Siliziumkarbid, Galliumarsenid, Indiumarsenid und Indiumphosphid, umfassen. Das Substrat 402 kann ein Legierungshalbleiter, wie z. B. Siliziumgermanium, Siliziumgermaniumkarbid, Galliumarsenidphosphid und Galliumindiumphosphid, umfassen. In einigen Ausführungsformen umfasst das Substrat 402 eine Epitaxieschicht. Zum Beispiel kann das Substrat 402 eine Epitaxieschicht aufweisen, die über einem Volumenhalbleiter liegt. In einigen Ausführungsformen kann das Substrat 402 eine SOI-Struktur (Semiconductor-on-Insulator, Halbeliter auf einem Isolator) umfassen. Zum Beispiel kann das Substrat 402 eine vergrabene Oxidschicht umfassen, die mithilfe eines Prozesses, wie z. B. einer Trennung durch implantierten Sauerstoff oder einer anderen geeigneten Technik, z. B. Waferbonden und Waferschleifen, ausgebildet wird.
  • Das Substrat 402 kann außerdem verschiedene p-Typ-dotierte und/oder n-Typ-dotierte Gebiete umfassen, die mithilfe eines Prozesses, wie z. B. einer Ionenimplantation und/oder -diffusion implementiert werden. Diese dotierten Gebiete umfassen eine n-Wanne, eine p-Wanne, ein leicht dotiertes Gebiet (LDD), ein stark dotiertes Source und Drain (S/D) und verschiedene Kanaldotierungsprofile, die derart ausgelegt sind, dass sie verschiedene IC-Bauelemente bilden, wie z. B. einen komplementären Metall-Oxid-Halbleiter-Feldeffekttransistor (CMOSFET), einen Bildsensor und/oder eine Leuchtdiode (LED). Das Substrat 402 kann ferner andere Funktionsmerkmale, wie z. B. einen Widerstand oder einen Kondensator, umfassen, die in oder auf dem Substrat ausgebildet sind. In einigen Ausführungsformen kann das Substrat 402 ferner seitliche Isolationsmerkmale umfassen, die bereitgestellt sind, um verschiedene in dem Substrat 402 ausgebildete Bauelemente zu trennen. Die Isolationsmerkmale können STI-Merkmale (flache Grabenisolation) umfassen, um die Funktionsmerkmale zu definieren und elektrisch zu isolieren. In einigen Beispielen können die Isolationsgebiete Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, einen Luftspalt, andere geeignete Materialien oder Kombinationen davon umfassen. Die Isolationsgebiete können mithilfe eines beliebigen geeigneten Prozesses ausgebildet werden. Die verschiedenen IC-Bauelemente können ferner andere Merkmale umfassen, wie z. B. Silizide, die auf S/D- und Gate-Stapeln, die über den Kanälen liegen, angeordnet sind.
  • Die Halbleiterstruktur 400 kann außerdem mehrere dielektrische Schichten und leitfähige Merkmale umfassen, die derart integriert sind, dass sie eine Verschaltungsstruktur bilden, die derart ausgelegt ist, dass sie die verschiedenen p-Typ- und n-Typ-dotierte Gebiete und die anderen Funktionsmerkmale (wie z. B. Gateelektroden) koppelt, wodurch eine funktionsfähige integrierte Schaltung entsteht. In einigen Ausführungsformen kann das Substrat 402 einen Abschnitt der Verschaltungsstruktur umfassen und wird insgesamt als das Substrat 402 bezeichnet.
  • Wie vorstehend erwähnt, kann die Halbleiterstruktur 400 eine Verschaltungsstruktur umfassen. Die Verschaltungsstruktur umfasst eine mehrlagige Verschaltungsstruktur (Multi-Layer-Interconnect, MLI) und ein Zwischenschichtdielektrikum (Inter-Layer-Dielectric, ILD), das mit der MLI-Struktur integriert ist, wodurch ein elektrisches Routing bereitgestellt wird, um verschiedene Bauelemente in dem Substrat 402 mit der Eingangs-/Ausgangsleistung und -signalen zu koppeln. Die Verschaltungsstruktur umfasst verschiedene Metallleiterbahnen, Kontakte und Durchkontaktierungsmerkmale (oder Durchkontaktierungsstecker). Die Metallleiterbahnen stellen ein horizontales elektrisches Routing bereit. Die Kontakte stellen eine vertikale Verbindung zwischen dem Substrat 402 und Metallleiterbahnen bereit, während Durchkontaktierungsmerkmale eine vertikale Verbindung zwischen Metallleiterbahnen in verschiedenen Metallschichten bereitstellen.
  • Unter Bezugnahme auf 4A und 4B umfasst die Halbleiterstruktur 400 ein leitfähiges Merkmal 404. In einigen Ausführungsformen kann das leitfähige Merkmal 404 einen Metallkontakt, eine Metalldurchkontaktierung oder eine Metallleiterbahn umfassen. In einigen Ausführungsformen kann ferner das leitfähige Merkmal 404 von einer Sperrschicht umgeben sein, um eine Diffusion zu verhindern und/oder Materialhaftung bereitzustellen. In einigen Beispielen umfasst das leitfähige Merkmal 404 Aluminium (Al), Kupfer (Cu) oder Wolfram (W). Die Sperrschicht kann Titannitrid (TiN), Tantalnitrid (TaN), Wolframnitrid (WN), Titansiliziumnitrid (TiSiN) oder Tantalsiliziumnitrid (TaSiN) umfassen. Das leitfähige Merkmal 404 und die Sperrschicht können mithilfe eines Verfahrens, darunter Lithografie, Ätzen und Abscheiden, ausgebildet werden. In einer anderen Ausführungsform umfasst das leitfähige Merkmal 404 eine Elektrode eines Kondensators, einen Widerstand oder einen Abschnitt eines Widerstands. Alternativ umfasst das leitfähige Merkmal 404 ein dotiertes Gebiet (wie z. B. ein Source oder ein Drain) oder eine Gateelektrode. In einem anderen Beispiel umfasst das leitfähige Merkmal 404 ein Silizidmerkmal, das jeweils auf einer Source-, Drain- oder Gateelektrode angeordnet ist. Das Silizidmerkmal kann mithilfe einer Salicide-Technik (selbstjustierendes Silizid) ausgebildet werden.
  • Unter weiterer Bezugnahme auf 4A und 4B werden verschiedene Materialschichten auf dem Substrat 402 ausgebildet, wie z. B. eine Ätzstoppschicht (Etch Stop Layer, ESL) 406, eine Low-k-Dielektrikumsschicht 408 (z. B. ILD-Schicht) und ein Hartmaskenbereich (HM-Bereich) 409, die entlang einer Richtung weg von dem Substrat 402 nacheinander ausgebildet werden. In einigen Ausführungsformen wird die ESL 406 auf dem leitfähigen Merkmal 404 ausgebildet. Die ESL 406 kann ein dielektrisches Material umfassen, das dem dielektrischen Material in der Low-k-Dielektrikumsschicht 408 ähnlich ist. Jedoch kann die Dielektrizitätskonstante der ESL 406 größer sein als jene der Low-k-Dielektrikumsschicht 408, die auf der ESL 406 abgeschieden wird. Das dielektrische Material in der ESL 406 kann derart ausgewählt werden, dass es für einen korrekten Ätzprozess bei nachfolgenden Prozessen zum Ausbilden von Kontaktgräben eine höhere Ätzselektivität gegenüber der Low-k-Dielektrikumsschicht 408 aufweist. Zum Beispiel kann die ESL 406 im Vergleich mit der Low-k-Dielektrikumsschicht 408 auf der ESL 406 in den nachfolgenden Ätzprozessen eine niedrigere Ätzrate aufweisen. In einigen Ausführungsformen kann die ESL 406 unter Verwendung einer beliebigen geeigneten Technik abgeschieden werden, wie z. B. einer chemischen Gasphasenabscheidung (CVD), einer physikalischen Gasphasenabscheidung (PVD), einer Atomlagenabscheidung (ALD) oder eines epitaktischen Wachstumsprozesses. In einigen Ausführungsformen umfasst die ESL 406 eine Oxidschicht, die Kohlenstoff, Sauerstoff, Silizium und/oder andere geeignete Materialien und Kombinationen davon umfasst. Die ESL 406 kann eine Dicke in einem Bereich von ungefähr 50 Å bis ungefähr 200 Å aufweisen.
  • Unter weiterer Bezugnahme auf die Halbleiterstruktur 400 von 4A und 4B wird die Low-k-Dielektrikumsschicht 408 auf der ESL 406 ausgebildet. Die Low-k-Dielektrikumsschicht 408 kann ein Zwischenschicht-Dielektrikumsmaterial (ILD-Material) 408 umfassen, das auf der ESL 406 ausgebildet wird. Die Low-k-Dielektrikumsschicht 408 kann dotiertes Siliziumoxid (z. B. mit Kohlenstoff dotiertes Siliziumoxid) oder beliebige andere dielektrische Materialen oder Kombinationen davon umfassen. Die Dicke der Low-k-Dielektrikumsschicht 408 kann in einem Bereich von ungefähr 500 Å bis ungefähr 2000 Å liegen. Die Low-k-Dielektrikumsschicht 408 kann unter Verwendung einer Rotationsbeschichtungstechnik oder einer CVD ausgebildet werden. In einigen Ausführungsformen kann ein chemisch-mechanisches Polierprozess (CMP-Prozess) verwendet werden, um die obere Fläche der Low-k-Dielektrikumsschicht 408 weiter zu planarisieren.
  • Die Halbleiterstruktur 400 umfasst außerdem den Hartmaskenbereich (HM-Bereich) 409, der auf der Low-k-Dielektrikumsschicht 408 ausgebildet wird, wie in 4A und 4B dargestellt. Der HM-Bereich 409 kann eine einzelne Materialschicht oder mehrere Materialschichten umfassen. In einigen Ausführungsformen umfasst, wie in 4 dargestellt, der HM-Bereich 409 eine untere HM-Schicht 410 und eine obere HM-Schicht 412.
  • Die untere HM-Schicht 410 kann ein dielektrisches Material umfassen, das dem dielektrischen Material der Low-k-Dielektrikumsschicht 408 ähnlich ist, aber eine größere Dielektrizitätskonstante (k) als jene der Low-k-Dielektrikumsschicht 408 aufweist. In einigen Beispielen umfasst die untere HM-Schicht 410 eine Oxidschicht, die Kohlenstoff, Sauerstoff, Silizium und/oder andere geeignete Materialein oder Kombinationen davon umfasst. Zum Beispiel umfasst die untere Hm-Schicht 410 eine Siliziumoxidschicht (SiO2-Schicht). Die untere HM-Schicht 410 kann mithilfe eines Abscheidungsprozesses, wie z. B. eines CVD-Prozesses, ausgebildet werden. In einigen Ausführungsformen kann die untere HM-Schicht 410 eine größere Härte aufweisen als die Low-k-Dielektrikumsschicht 408. In einigen Ausführungsformen liegt die Dicke der unteren HM-Schicht 410 in einem Bereich von ungefähr 100 Å bis ungefähr 300 Å. In einigen Ausführungsformen kann die untere HM-Schicht eine höhere Polierrate aufweisen als jene der Low-k-Dielektrikumsschicht 408, so dass die untere HM-Schicht 410 als eine Pufferschicht in den nachfolgenden Polierprozessen verwendet werden kann.
  • Die obere HM-Schicht 412 kann auf der unteren HM-Schicht 410 ausgebildet werden. In einigen Ausführungsformen umfasst die obere HM-Schicht 412 Titannitrid (TiN), Titanoxid (TiO2) und andere geeignete Oxidmaterialien oder Kombinationen davon. In einigen Ausführungsformen ist die obere HM-Schicht 412 eine Metallhartmaske mit einer größeren Härte als jene der unteren HM-Schicht 410. Die obere HM-Schicht 412 kann eine Dicke in einem Bereich von ungefähr 100 Å bis ungefähr 500 Å aufweisen. In einigen Ausführungsformen wird die obere HM-Schicht 412 unter Verwendung einer beliebigen geeigneten Technik, wie z. B. CVD, PVD, ALD oder eines epitaktischen Wachstumsprozesses, ausgebildet. Die obere HM-Schicht 412 kann verwendet werden, um das IC-Entwurfsmuster von der Fotomaske (z. B. der Fotomaske 100 von 1) auf die Low-k-Dielektrikumsschicht 408 zu übertragen. Es versteht sich, dass der HM-Bereich 409 eine einzelne HM-Schicht 412 umfassen kann, auch wenn zwei HM-Schichten in 4A und 4B dargestellt sind. Der HM-Bereich 409 kann außerdem mehr als zwei Materialschichten umfassen, die verwendet werden können, um ein oder mehrere IC-Entwurfsmuster von einer Fotomaske auf die Low-k-Dielektrikumsschicht zu übertragen.
  • 4A und 5A stellen eine Querschnittsansicht einer Halbleiterstruktur dar, die eine dreilagige Struktur verwendet, die eine erste Fotolackschicht 417, eine erste mittlere Schicht 416 und eine erste untere Schicht 414 umfasst. 4B und 5B stellen eine Querschnittsansicht einer Halbleiterstruktur dar, die eine einlagige Struktur verwendet, die lediglich die erste Fotolackschicht 417 umfasst.
  • Unter Bezugnahme auf 4A wird vor dem Ausbilden einer Fotolackschicht eine erste untere Schicht 414 auf dem HM-Bereich 409 ausgebildet, und eine erste mittlere Schicht 416 wird auf der ersten unteren Schicht 414 ausgebildet. Die erste untere Schicht 414 und die erste mittlere Schicht 416 können unter Verwendung einer beliebigen geeigneten Beschichtungstechnik, wie z. B. eines Rotationsbeschichtungsprozesses, ausgebildet werden. Andere Fertigungsschritte, wie z. B. Ausheizen (Baking), können ferner auf die erste untere Schicht 414 und die erste mittlere Schicht 416 angewendet werden. In einigen Ausführungsformen umfasst die erste mittlere Schicht 416 Kohlenstoff, Sauerstoff, Silizium und/oder andere geeignete Materialien und Kombinationen davon. Die erste mittlere Schicht 416 kann eine Struktur von der ersten Fotolackschicht 417 auf die erste untere Schicht 414 übertragen. In einigen Ausführungsformen weist die erste mittlere Schicht 416 eine größere Härte auf als die Härte der ersten unteren Schicht 414. Die erste mittlere Schicht 416 kann eine größere Härte als die Härte der ersten Fotolackschicht 417 aufweisen. In einigen Ausführungsformen weist die erste mittlere Schicht 416 eine kleinere Dicke auf als die Dicke der ersten unteren Schicht 414. In einigen Ausführungsformen weist die erste mittlere Schicht 416 eine kleinere Dicke auf als jene der ersten Fotolackschicht 417. In einigen Ausführungsformen umfasst die erste mittlere Schicht 416 nicht die den Fotolack sensibilisierenden Chemikalien, die als Reaktion auf die Strahlenergie in Form von Licht fotochemisch reagieren. In einigen Ausführungsformen umfasst die erste untere Schicht 414 beliebige geeignete Polymermaterialien, wie z. B. ein Polymermaterial, das dem Fotolackmaterial ähnlich ist. In einigen Ausführungsformen ist die erste untere Schicht 414 ein organisches Antireflexmaterial (ARC-Material), das derart ausgelegt ist, dass es ungewollte Lichtreflexion während des lithografischen Prozesses unterbindet. In einigen Ausführungsformen überträgt die erste untere Schicht 414 die Struktur von der Fotolackschicht auf den HM-Bereich 409. Die mittlere Schicht 416 weist eine Dicke in einem Bereich von ungefähr 100 Å bis ungefähr 300 Å auf. Die erste untere Schicht 414 weist eine Dicke in einem Bereich von 500 Å bis ungefähr 2000 Å auf. In einigen Ausführungsformen sind die erste untere Schicht 414 und die erste mittlere Schicht 416 unlöslich in der Entwicklerlösung, die zum Entwickeln und Ausbilden des IC-Entwurfsmusters in der Fotolackschicht verwendet wird. Die erste untere Schicht 414 und die erste mittlere Schicht 416 können außerdem die Eigenhaftung zwischen der Fotolackschicht und dem HM-Bereich 409 verbessern, so dass die Materialintegration der Halbleiterstruktur 400 verbessert werden kann.
  • Unter Bezugnahme auf 3 sowie 4A und 4B fährt das Verfahren 300 mit Schritt 304 fort, indem eine erste Fotolackschicht 417 über dem HM-Bereich 409 ausgebildet wird. Das Ausbilden der ersten Fotolackschicht 417 umfasst ein Beschichten der ersten Fotolacklösung auf der ersten mittleren Schicht 416 (siehe 4A) oder dem HM-Bereich 409 (siehe 4B) mithilfe einer geeigneten Technik, wie z. B. einer Rotationsbeschichtung. Andere Fertigungsschritte, wie z. B. Ausheizen (Baking), können ferner auf die erste Fotolackschicht 417 angewendet werden. Die erste Fotolackschicht 417 umfasst ein organisches positives Fotolackmaterial, das nach einer Belichtung mit der Strahlungsquelle löslich für die Entwicklerlösung werden kann. In einigen alternativen Ausführungsformen kann die erste Fotolackschicht 417 auch ein organisches negatives Fotolackmaterial umfassen, das nach einer Belichtung mit der Strahlungsquelle unlöslich für die Entwicklerlösung wird. Die Zusammensetzung der ersten Fotolackschicht 417 kann zum Beispiel durch Ändern des Verhältnisses von Kohlenstoff, Wasserstoff und Sauerstoff eingestellt werden, um eine geeignete Belichtungsschwelle für den ersten lithografischen Belichtungsprozess, wie nachstehend in der vorliegenden Offenbarung besprochen, zu erhalten. Die erste Fotolackschicht 417 kann eine Dicke in einem Bereich von ungefähr 200 Å bis ungefähr 800 Å aufweisen.
  • Unter Bezugnahme auf 3 sowie 4A und 4B fährt das Verfahren 300 mit Schritt 306 fort, indem ein erster lithografischer Belichtungsprozess durchgeführt wird, um eine erste latente Struktur in der ersten Fotolackschicht 417 auszubilden. Die erste lithografische Belichtung kann die Fotomaske 100 von 1 verwenden, die das erste IC-Merkmal 106 (z. B. ein Durchkontaktierungsmerkmal) und das zweite IC-Merkmal 108 (z. B. ein Metallleiterbahnmerkmal), die darauf definiert sind, umfasst. In einigen Ausführungsformen wird die Zusammensetzung der ersten Fotolackschicht 417 derart eingestellt, dass eine geeignete Belichtungsschwelle erzielt werden kann, um lediglich das erste IC-Merkmal 106 in der ersten Fotolackschicht 417 zu belichten. In einigen Ausführungsformen wird der Abstand zwischen der ersten Fotolackschicht 417 und der Linse 210 eingestellt, um die Belichtungsintensität derart zu regeln, dass lediglich das erste IC-Merkmal 106 auf die erste Fotolackschicht 417 übertragen werden kann.
  • In einer vorliegenden Ausführungsform wird die erste lithografische Belichtung in einer Defocus-Stellung durchgeführt, wie in 2C dargestellt, in der die erste Fotolackschicht 417 in einer Defocus-Position 230 angeordnet ist, wie in 2A dargestellt. Während der Defocus-Belichtung ist die Belichtungsintensität der Belichtungsquelle, die durch das erste IC-Merkmal 106 (z. B. ein Durchkontaktierungsmerkmal) der Fotomaske 100 durchgeht, größer gleich der Belichtungsschwelle der ersten Fotolackschicht 417. Die Belichtungsintensität der Belichtungsquelle, die durch das zweite IC-Merkmal 108 (z. B. ein Metallleiterbahnmerkmal) der Fotomaske 100 durchgeht, liegt unterhalb der Belichtungsschwelle der ersten Fotolackschicht 417. Daher umfasst die erste latente Struktur, die nach der ersten lithografischen Belichtung in der ersten Fotolackschicht 417 ausgebildet ist, das erste IC-Merkmal 106 (z. B. ein Durchkontaktierungsmerkmal), ohne das zweite IC-Merkmal 108 (z. B. ein Metallleiterbahnmerkmal) aufzuweisen.
  • Die Belichtungsquelle, die in der ersten lithografischen Belichtung verwendet wird, kann eine beliebige geeignete Quelle, wie z. B. UV, DUV, EUV oder geladene Teilchen, wie z. B. Elektronenstrahl, umfassen. In einigen alternativen Ausführungsformen wird das IC-Entwurfsmuster in einer Datendatei definiert und durch direkte Beschreibung oder eine andere geeignete Technik, wie z. B. einen digitalen Bildgenerator (Pattern-Generator), auf die Fotolackschichten übertragen. Andere Schritte können vor, während oder nach dem Belichtungsprozess implementiert werden. In einigen Ausführungsformen kann ein Ausheizen nach der Belichtung (Post-Exposure-Baking) auf die erste Fotolackschicht 417 nach dem fotolithografischen Belichtungsprozess angewendet werden.
  • Unter Bezugnahme auf 3 sowie 5A und 5B fährt das Verfahren 300 mit Schritt 308 fort, indem die erste Fotolackschicht 417 entwickelt wird, um eine erste Fotolackstruktur 418 auszubilden. In einigen Ausführungsformen ist die erste Fotolackschicht 417 positiv, so dass die Abschnitte der ersten Fotolackschicht 417, die mit der ersten latenten Struktur (z. B. dem IC-Merkmal 106 der Fotomaske 100) assoziiert sind, mithilfe des entsprechenden Entwicklers entfernt werden, um die erste Fotolackstruktur 418 auszubilden. Danach können andere Schritte implementiert werden. In einigen Beispielen können ein oder mehrere Ausheizprozesse (Baking) auf die erste Fotolackschicht 418 nach dem Entwicklungsprozess angewendet werden. In einigen Ausführungsformen kann, wie in 5A dargestellt, die erste Fotolackstruktur 418 auf der ersten mittleren Schicht 416 und der ersten unteren Schicht 414 ausgebildet werden. In einigen Ausführungsformen kann, wie in 5B dargestellt, die erste Fotolackstruktur 418 auf dem HM-Bereich 409 ausgebildet werden.
  • Unter Bezugnahme auf 3 und 6 fährt das Verfahren 300 mit Schritt 310 fort, indem das erste IC-Merkmal 106 (z. B. Durchkontaktierungsmerkmal) auf den HM-Bereich 409 und die Low-k-Dielektrikumsschicht 408 übertragen wird. Schritt 310 kann einen oder mehrere Ätzprozesse umfassen, um die Abschnitte des HM-Bereichs 409 und der Low-k-Dielektrikumsschicht 408, die mit dem IC-Merkmal 106 der Fotomaske 100 ausgerichtet sind, zu entfernen, um einen Durchkontaktierungsgraben 420 auszubilden. Der Ätzprozess kann eine beliebige geeignete Ätztechnik, wie z. B. Trockenätzen, Nassätzen oder eine Kombination davon, umfassen. In einigen Ausführungsformen hört der Ätzprozess bei Schritt 310 innerhalb der Low-k-Dielektrikumsschicht 408 auf, bevor die Low-k-Dielektrikumsschicht 408 durchgeätzt wird, wie in 6 dargestellt. Andere Vorgänge können anschließend implementiert werden. Zum Beispiel werden die erste Fotolackstruktur 418, die erste mittlere Schicht 416 und die erste untere Schicht 414 durch Nassabstreifprozess (Wett Stripping) oder Plasmaveraschungsprozess entfernt. Der Plasmaveraschungsprozess kann ein Verwenden von Sauerstoffplasma (O2-Plasma) oder Kohlendioxidplasma (CO2-Plasma) umfassen.
  • 7A und 8A veranschaulichen eine Querschnittsansicht der Halbleiterstruktur, die eine dreilagige Struktur verwendet, die eine zweite Fotolackschicht 427, eine zweite mittlere Schicht 426 und eine zweite untere Schicht 424 umfasst. 7B und 8B veranschaulichen eine Querschnittsansicht einer Halbleiterstruktur, die eine einlagige Struktur verwendet, die lediglich die zweite Fotolackschicht 427 umfasst.
  • Unter Bezugnahme auf 7A wird vor dem Ausbilden einer zweiten Fotolackschicht eine zweite untere Schicht 424 auf dem HM-Bereich 409 ausgebildet, und eine zweite mittlere Schicht 426 wird auf der zweiten unteren Schicht 424 ausgebildet. Die zweite untere Schicht 424 und die zweite mittlere Schicht 426 können unter Verwendung einer beliebigen geeigneten Beschichtungstechnik, wie z. B. Rotationsbeschichtung, ausgebildet werden. Andere Fertigungsschritte, wie z. B. Ausheizen (Baking), können ferner auf die zweite untere Schicht 424 und die zweite mittlere Schicht 426 angewendet werden. In einigen Ausführungsformen sind der Ausbildungsprozess, die Zusammensetzung des Materials und die Dicke der zweiten unteren Schicht 424 und der zweiten mittleren Schicht 426 im Wesentlichen jenen der ersten unteren Schicht 414 und der ersten mittleren Schicht 416 ähnlich. In einigen Ausführungsformen umfasst die zweite mittlere Schicht 426 ein organisches Antireflexmaterial, das derart ausgelegt ist, dass es ungewollte Lichtreflexion während des lithografischen Prozesses unterbindet.
  • In einigen Ausführungsformen sind die zweite untere Schicht 424 und die zweite mittlere Schicht 426 von 7A nicht gegenüber der Strahlungsquelle während des Belichtungsprozesses empfindlich. Die zweite untere Schicht 424 und die zweite mittlere Schicht 426 sind außerdem unlöslich in der Entwicklerlösung, die zum Ausbilden des IC-Entwurfsmusters in der zweiten Fotolackschicht verwendet wird. Die zweite untere Schicht 424 kann die Füllung des Durchkontaktierungsgrabens 420 verbessern. Die zweite untere Schicht 424 und die zweite mittlere Schicht 426 können außerdem die Eigenhaftung zwischen der zweiten Fotolackschicht und dem HM-Bereich 409 verbessern, so dass die Materialintegration der Halbleiterstruktur 400 verbessert werden kann.
  • Unter Bezugnahme auf 3 sowie 7A und 7B fährt das Verfahren 300 mit Schritt 312 fort, indem eine zweite Fotolackschicht 427 über dem HM-Bereich 409 ausgebildet wird. Die zweite Fotolackschicht 427 kann auf der zweiten mittleren Schicht 426 ausgebildet werden, wie in 7A dargestellt. Alternativ kann die zweite Fotolackschicht 427 auf dem HM-Bereich 409 ausgebildet werden, wie in 7B dargestellt. Der Ausbildungsprozess der zweiten Fotolackschicht 427 kann im Wesentlichen jenem der ersten Fotolackschicht 417, wie unter Bezugnahme auf Schritt 304 besprochen, ähnlich sein. Die zweite Fotolackschicht 427 umfasst ein organisches positives Fotolackmaterial, das nach der Belichtung mit der Strahlungsquelle löslich für die Entwicklerlösung werden kann. In einigen alternativen Ausführungsformen umfasst die zweite Fotolackschicht 427 außerdem ein organisches negatives Fotolackmaterial, das nach der Belichtung mit der Strahlungsquelle unlöslich für die Entwicklerlösung wird. Die Zusammensetzung der zweiten Fotolackschicht 427 kann zum Beispiel durch Ändern des Verhältnisses von Kohlenstoff, Wasserstoff und Sauerstoff eingestellt werden, um eine geeignete Belichtungsschwelle für den zweiten lithografischen Belichtungsprozess, wie nachstehend in der vorliegenden Offenbarung besprochen, zu erhalten. Die zweite Fotolackschicht 427 kann eine Dicke in einem Bereich von ungefähr 200 Å bis ungefähr 800 Å aufweisen.
  • Unter Bezugnahme auf 3 sowie 7A und 7B fährt das Verfahren 300 mit Schritt 314 fort, indem ein zweiter lithografischer Belichtungsprozess durchgeführt wird, um eine zweite latente Struktur in der zweiten Fotolackschicht 427 auszubilden. Wie in 7A und 7B dargestellt, verwendet die zweite lithografische Belichtung die gleiche Fotomaske 100 von 1 wie jene der ersten lithografischen Belichtung von Schritt 306. Die zweite lithografische Belichtung kann in einer On-Focus-Stellung durchgeführt werden, wie in 2B dargestellt, in der die zweite Fotolackschicht 427 in der Brennebene 220 der Linse 210 angeordnet ist, wie in 2A dargestellt. Während der On-Focus-Belichtung ist die Belichtungsintensität der Belichtungsquelle, die sowohl durch das erste IC-Merkmal 106 (z. B. Durchkontaktierungsmerkmal) als auch das zweite IC-Merkmal 108 (z. B. Metallleiterbahnmerkmal) der Fotomaske 100 durchgeht, größer gleich der Belichtungsschwelle der zweiten Fotolackschicht 427. Daher umfasst die zweite latente Struktur, die in der zweiten Fotolackschicht 427 ausgebildet wird, sowohl das erste IC-Merkmal (z. B. Durchkontaktierungsmerkmal) als auch das zweite IC-Merkmal 108 (z. B. Metalleiterbahnmerkmal). In einigen Ausführungsformen kann die Zusammensetzung der zweiten Fotolackschicht 427 derart eingestellt werden, dass eine geeignete Belichtungsschwelle zum Belichten sowohl des ersten IC-Merkmals 106 als auch des zweiten IC-Merkmals 108 in der zweiten Fotolackschicht 427 erzielt werden kann. Die Belichtungsquelle, die in der zweiten lithografischen Belichtung verwendet wird, kann im Wesentlichen jener der ersten lithografischen Belichtung ähnlich sein. Andere Schritte können bevor, während oder nach dem Belichtungsprozess implementiert werden, wie z. B. ein Ausheizen nach der Belichtung (Post-Exposure-Baking).
  • Unter Bezugnahme auf 3 sowie 8A und 8B fährt das Verfahren 300 mit Schritt 316 fort, indem die zweite Fotolackschicht 427 entwickelt wird, um eine zweite Fotolackstruktur 428 auszubilden. Der Entwicklungsprozess bei Schritt 316 kann im Wesentlichen dem Entwicklungsprozess bei Schritt 308 ähnlich sein. In einigen Ausführungsformen wird, wie in 8A dargestellt, die zweite Fotolackstruktur 428 auf der zweiten mittleren Schicht 426 und der zweiten unteren Schicht 424 ausgebildet. In einigen Ausführungsformen wird, wie in 8B dargestellt, die zweite Fotolackstruktur 428 auf dem HM-Bereich 409 ausgebildet.
  • Unter Bezugnahme auf 3 und 9 fährt das Verfahren 300 mit Schritt 318 fort, indem das erste IC-Merkmal 106 (z. B. Durchkontaktierungsmerkmal) und das zweite IC-Merkmal 108 (z. B. Metallleiterbahnmerkmal) auf die obere HM-Schicht 412 des HM-Bereichs 409 übertragen werden. Schritt 318 kann einen oder mehrere Ätzprozesse umfassen, um die Abschnitte des oberen HM-Materials 412, die mit dem ersten IC-Merkmal 106 und dem zweiten IC-Merkmal 108 der Fotomaske 100 ausgerichtet sind, zu entfernen, um einen HM-Graben 430 auszubilden. Der HM-Graben 430 kann einen Metallleiterbahngraben umfassen. In einigen Ausführungsformen umfasst der Ätzprozess bei Schritt 318 ein Trockenätzprozess, der Chlorgas (Cl2) und/oder Methangas (CH4) verwendet. Da die Ätzselektivität der unteren HM-Schicht 410 höher gegenüber jener der oberen HM-Schicht 412 ist, oder die Ätzrate der unteren HM-Schicht 410 niedriger ist als jene der oberen HM-Schicht 412, hört der Ätzprozess bei Schritt 318 bei der unteren HM-Schicht 410 auf, wie in 9 dargestellt. Andere Vorgänge können anschließend implementiert werden. Zum Beispiel können die zweite Fotolackstruktur 428, die zweite mittlere Schicht 426 und die zweite untere Schicht 424 mithilfe eines Nassabstreifprozesses oder Plasmaveraschungsprozesses entfernt werden. Der Plasmaveraschungsprozess kann ein Verwenden von Sauerstoffplasma (O2-Plasma) oder Kohlendioxidplasma (CO2-Plasma) umfassen.
  • Unter Bezugnahme auf 3 und 10 fährt das Verfahren 300 mit Schritt 320 fort, indem die untere HM-Schicht 410 und die Low-k-Dielektrikumsschicht 408 unter Verwendung der oberen HM-Schicht 412 und der unteren HM-Schicht 410 von 9 als einer Ätzmaske selektiv geätzt werden, wodurch der Hauptgraben 432 ausgebildet wird. Der Hauptgraben 432 umfasst einen Durchkontaktierungsgraben 434 und einen Metallleiterbahngraben 436 in der Low-k-Dielektrikumsschicht 408. Der Ätzprozess bei Schritt 320 kann eine beliebige geeignete Ätztechnik, wie z. B. Trockenätzen, Nassätzen oder eine Kombination davon, umfassen. In einigen Ausführungsformen umfasst der Schritt 320 mehr als einen Teilschritt. In dem ersten Teilschritt wird der Durchkontaktierungsgraben 434 in der Low-k-Dielektrikumsschicht 408 derart geätzt, dass er bei der ESL 406 in dem ersten Teilschritt aufhört. Nachdem der Durchkontaktierungsgraben 434 und der Metallleiterbahngraben 436 in der Low-k-Dielektrikumsschicht 408 ausgebildet wurden, wird dann in dem zweiten Teilschritt die ESL 406 durch ein weiteres Ätzen für eine korrekte elektrische Verbindung mit dem leitfähigen Merkmal 404 geöffnet. In einigen Ausführungsformen wird eine Sperrschicht entlang der Wand des Hauptgrabens 432 ausgebildet, bevor die Metallschicht abgeschieden wird, um den Hauptgraben 432 in den nachfolgenden Schritten zu füllen.
  • Unter Bezugnahme auf 3 und 11 fährt das Verfahren 300 mit Schritt 322 fort, indem eine Metallschicht 438 abgeschieden wird, um den Hauptgraben 432 zu füllen. In einigen Ausführungsformen umfasst die Metallschicht 438 Kupfer (Cu), Aluminium (Al), Wolfram (W) oder ein anderes geeignetes leitfähiges Material. In einigen Ausführungsformen umfasst die Metallschicht 432 eine Cu-Legierung, wie z. B. Kupfer-Magnesium (CuMg), Kupfer-Aluminium (CuAl) oder Kupfer-Silizium (CuSi). In einigen Ausführungsformen wird die Metallschicht 432 mithilfe von PVD abgeschieden. In einigen Ausführungsformen wird die Metallschicht 432 ausgebildet, indem eine entsprechende Metallsaatschicht unter Verwendung von PVD abgeschieden wird, und dann eine Volumenmetallschicht durch Metallisieren ausgebildet wird.
  • Unter Bezugnahme auf 3 und 12 fährt das Verfahren 300 mit Schritt 324 fort, indem ein chemisch-mechanisches Polierprozess (CMP-Prozess) durchgeführt wird, um die übermäßige Metallschicht 432 zu entfernen. Der CMP-Prozess bei Schritt 324 kann ebenfalls den HM-Bereich 409 entfernen. Eine im Wesentlichen in derselben Ebene liegende obere Fläche der Metallschicht 432 und der Low-k-Dielektrikumsschicht 408 kann nach dem CMP-Prozess ausgebildet werden.
  • Die vorliegende Offenbarung stellt ein Verfahren zum Ausbilden einer IC-Struktur bereit. Das Verfahren umfasst ein Bereitstellen einer Halbleiterstruktur, die ein Substrat, eine über dem Substrat ausgebildete dielektrische Schicht und einen über der dielektrischen Schicht ausgebildeten Hartmaskenbereich umfasst; Ausbilden einer ersten Fotolackschicht über dem Hartmaskenbereich; Durchführen einer ersten lithografischen Belichtung unter Verwendung einer Fotomaske, um eine erste latente Struktur auszubilden; Ausbilden einer zweiten Fotolackschicht über dem Hartmaskenbereich; und Durchführen einer zweiten lithografischen Belichtung unter Verwendung der Fotomaske, um eine zweite latente Struktur auszubilden. Die Fotomaske umfasst ein erstes Maskenmerkmal und ein zweites Maskenmerkmal. Die erste latente Struktur entspricht dem ersten Maskenmerkmal, und die zweite latente Struktur entspricht dem ersten Maskenmerkmal und dem zweiten Maskenmerkmal.
  • In einigen Ausführungsformen umfasst der Hartmaskenbereich eine erste Hartmaskenschicht, die auf der dielektrischen Schicht ausgebildet ist, und eine zweite Hartmaskenschicht, die auf der ersten Hartmaskenschicht ausgebildet ist.
  • Nach dem Durchführen der ersten lithografischen Belichtung umfasst das Verfahren in einigen Ausführungsformen ferner ein Entwickeln der ersten Fotolackschicht, um ein erstes IC-Merkmal aus der ersten latenten Struktur auszubilden; und ein Übertragen des ersten IC-Merkmals auf die erste Hartmaskenschicht, die zweite Hartmaskenschicht und die dielektrische Schicht.
  • Nach dem Durchführen der zweiten lithografischen Belichtung umfasst das Verfahren in einigen Ausführungsformen ferner ein Entwickeln der zweiten Fotolackschicht, um ein zweites IC-Merkmal aus der zweiten latenten Struktur auszubilden, und ein Übertragen des zweiten IC-Merkmals auf die zweite Hartmaskenschicht.
  • Nach dem Übertragen des zweiten IC-Merkmals auf die zweite Hartmaskenschicht umfasst das Verfahren in einigen Ausführungsformen ferner ein Ätzen der dielektrischen Schicht unter Verwendung der ersten Hartmaskenschicht und der zweiten Hartmaskenschicht, um einen Graben, der dem ersten IC-Merkmal und dem zweiten IC-Merkmal entspricht, in der dielektrischen Schicht auszubilden.
  • In einigen Ausführungsformen umfasst das Verfahren ferner ein Abscheiden einer Metallschicht, um den Graben in der dielektrischen Schicht zu füllen, und Durchführen eines chemisch-mechanischen Polierprozesses, um eine in derselben Ebene liegende Fläche der dielektrischen Schicht und der Metallschicht auszubilden.
  • Vor dem Ausbilden der ersten Fotolackschicht umfasst das Verfahren in einigen Ausführungsformen ferner ein Ausbilden einer ersten unteren Schicht auf dem Hartmaskenbereich, und Ausbilden einer ersten mittleren Schicht auf der ersten unteren Schicht. Die erste untere Schicht und die erste mittlere Schicht sind in einem Entwickler, der zum Entwickeln der ersten Fotolackschicht verwendet wird, unlöslich. Das erste IC-Merkmal wird auf die erste untere Schicht und die erste mittlere Schicht übertragen.
  • Vor dem Ausbilden der zweiten Fotolackschicht umfasst das Verfahren in einigen Ausführungsformen ferner ein Ausbilden einer zweiten unteren Schicht auf dem Hartmaskenbereich, und ein Ausbilden einer zweiten mittleren Schicht auf der zweiten unteren Schicht. Die zweite untere Schicht und die zweite mittlere Schicht sind in einem Entwickler, der zum Entwickeln der zweiten Fotolackschicht verwendet wird, unlöslich. Das zweite IC-Merkmal wird auf die zweite untere Schicht und die zweite mittlere Schicht übertragen.
  • In einigen Ausführungsformen ist das erste Maskenmerkmal entlang einer ersten Richtung ausgerichtet. Das zweite Maskenmerkmal ist entlang einer zweiten Richtung, die von der ersten Richtung verschieden ist, ausgerichtet. Das erste Maskenmerkmal überschneidet sich mit dem zweiten Maskenmerkmal. Das erste Maskenmerkmal weist eine größere Abmessung als jene des zweiten Maskenmerkmals entlang der ersten Abmessung auf. Das erste Maskenmerkmal umfasst ein Durchkontaktierungsmerkmal, und das zweite Maskenmerkmal umfasst ein Metallleiterbahnmerkmal.
  • In einigen Ausführungsformen umfasst das Durchführen der ersten lithografischen Belichtung ein Durchführen der ersten lithografischen Belichtung bei einer Defocus-Bedingung. Das Durchführen der zweiten lithografischen Belichtung umfasst ein Durchführen der zweiten lithografischen Belichtung bei einer On-Focus-Bedingung.
  • In einigen Ausführungsformen umfasst die Halbleiterstruktur ferner eine Ätzstoppschicht (ESL), die zwischen dem Substrat und der dielektrischen Schicht ausgebildet wird. Nach dem Ätzen der dielektrischen Schicht umfasst das Verfahren ferner ein Ätzen der ESL-Schicht unter Verwendung der ersten Hartmaskenschicht und der zweiten Hartmaskenschicht.
  • Die vorliegende Offenbarung stellt außerdem ein Verfahren zum Ausbilden einer IC-Struktur bereit. Das Verfahren umfasst ein Bereitstellen eines Substrats, einer über dem Substrat ausgebildeten dielektrischen Schicht, und einer über der dielektrischen Schicht ausgebildeten ersten Hartmaskenschicht; Bereitstellen einer Fotomaske, die ein erstes Maskenmerkmal und ein zweites Maskenmerkmal umfasst, wobei das erste Maskenmerkmal eine größere Abmessung als jene des zweiten Maskenmerkmals aufweist; Ausbilden einer ersten Fotolackschicht über der ersten Hartmaskenschicht, wobei die erste Fotolackschicht eine erste Belichtungsschwelle aufweist; Durchführen einer ersten Belichtung unter Verwendung der Fotomaske, um ein erstes IC-Merkmal auszubilden, das dem ersten Maskenmerkmal entspricht; Übertragen des ersten IC-Merkmals auf die erste Hartmaskenschicht und die dielektrische Schicht; Ausbilden einer zweiten Fotolackschicht über der ersten Hartmaske, wobei die zweite Fotolackschicht eine zweite Belichtungsschwelle aufweist; Durchführen einer zweiten Belichtung unter Verwendung der Fotomaske, um ein zweites IC-Merkmal auszubilden, das dem ersten und dem zweiten Maskenmerkmal entspricht; Übertragen des zweiten IC-Merkmals auf die erste Hartmaskenschicht; und Ätzen der dielektrischen Schicht unter Verwendung der ersten Hartmaskenschicht, um einen Graben, der dem ersten IC-Merkmal und dem zweiten IC-Merkmal entspricht, in der dielektrischen Schicht auszubilden. Eine erste Belichtungsintensität des zweiten Maskenmerkmals während der ersten lithografischen Belichtung ist kleiner als die erste Belichtungsschwelle. Eine zweite Belichtungsintensität des zweiten Maskenmerkmals während der zweiten lithografischen Belichtung ist größer als die zweite Belichtungsschwelle.
  • In einigen Ausführungsformen umfasst das Durchführen der ersten Belichtung ein Durchführen der ersten Belichtung bei einer Defocus-Bedingung. Das Durchführen der zweiten Belichtung umfasst ein Durchführen der zweiten Belichtung bei einer On-Focus-Bedingung.
  • In einigen Ausführungsformen umfasst das Verfahren ferner ein Bereitstellen einer zweiten Hartmaskenschicht zwischen der ersten Hartmaskenschicht und der dielektrischen Schicht. Das erste IC-Merkmal wird sowohl auf die erste Hartmaskenschicht als auch die zweite Hartmaskenschicht übertragen.
  • Die vorliegende Offenbarung stellt außerdem noch eine andere Ausführungsform eines Verfahrens für Lithografie bereit. Das Verfahren umfasst ein Bereitstellen einer Fotomaske, die ein erstes Maskenmerkmal und ein zweites Maskenmerkmal umfasst; Durchführen einer ersten Belichtung bei einer Defocus-Bedingung an einer auf einem Halbleitersubstrat beschichteten ersten Fotolackschicht unter Verwendung der Fotomaske, um eine erste latente Struktur auszubilden, wobei die erste latente Struktur dem ersten Maskenmerkmal entspricht; und Durchführen einer zweiten Belichtung bei einer On-Focus-Bedingung an einer auf dem Halbleitersubstrat beschichteten zweiten Fotolackschicht unter Verwendung der Fotomaske, um eine zweite latente Struktur auszubilden, wobei die zweite latente Struktur dem ersten und dem zweiten Maskenmerkmal entspricht. In einigen Ausführungsformen weist das erste Maskenmerkmal eine größere Abmessung als jene des zweiten Maskenmerkmals entlang einer Richtung. In einigen Ausführungsformen ist eine erste Belichtungsintensität durch das zweite Maskenmerkmal während der ersten Belichtung kleiner als eine Belichtungsschwelle der ersten Fotolackschicht. Eine zweite Belichtungsintensität durch das zweite Maskenmerkmal während der zweiten Belichtung ist größer als eine Belichtungsschwelle der zweiten Fotolackschicht.
  • Das Vorstehende skizziert Merkmale mehrerer Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung als eine Grundlage für Entwerfen und Modifizieren anderer Prozesse und Strukturen leicht verwenden kann, um die gleichen Aufgaben durchzuführen und/oder die gleichen Vorteile der hierin dargestellten Ausführungsformen zu erzielen. Ein Fachmann sollte ebenfalls verstehen, dass derartige äquivalente Ausführungen nicht vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abweichen, und dass er hier verschiedene Änderungen, Ersetzungen und Modifizierungen vornehmen kann, ohne von Erfindungsgedanken und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren zum Ausbilden einer IC-Struktur, umfassend: Bereitstellen einer Halbleiterstruktur, die ein Substrat, eine über dem Substrat ausgebildete dielektrische Schicht, und einen über der dielektrischen Schicht ausgebildeten Hartmaskenbereich umfasst; Ausbilden einer ersten Fotolackschicht über dem Hartmaskenbereich; Durchführen einer ersten lithografischen Belichtung unter Verwendung einer Fotomaske, um eine erste latente Struktur auszubilden; Ausbilden einer zweiten Fotolackschicht über dem Hartmaskenbereich; und Durchführen einer zweiten lithografischen Belichtung unter Verwendung der Fotomaske, um eine zweite latente Struktur auszubilden, wobei die Fotomaske ein erstes Maskenmerkmal und ein zweites Maskenmerkmal umfasst, und wobei die erste latente Struktur dem ersten Maskenmerkmal entspricht, und die zweite latente Struktur dem ersten Maskenmerkmal und dem zweiten Maskenmerkmal entspricht.
  2. Verfahren nach Anspruch 1, wobei der Hartmaskenbereich eine erste Hartmaskenschicht, die auf der dielektrischen Schicht ausgebildet ist, und eine zweite Hartmaskenschicht, die auf der ersten Hartmaskenschicht ausgebildet ist, umfasst.
  3. Verfahren nach Anspruch 2, das nach dem Durchführen der ersten lithografischen Belichtung ferner umfasst: Entwickeln der ersten Fotolackschicht, um ein erstes IC-Merkmal aus der ersten latenten Struktur auszubilden; und Übertragen des ersten IC-Merkmals auf die erste Hartmaskenschicht, die zweite Hartmaskenschicht und die dielektrische Schicht.
  4. Verfahren nach Anspruch 3, das nach dem Durchführen der zweiten lithografischen Belichtung ferner umfasst: Entwickeln der zweiten Fotolackschicht, um ein zweites IC-Merkmal aus der zweiten latenten Struktur auszubilden; und Übertragen des zweiten IC-Merkmals auf die zweite Hartmaskenschicht.
  5. Verfahren nach Anspruch 4, das nach dem Übertragen des zweiten IC-Merkmals auf die zweite Hartmaskenschicht ferner umfasst: Ätzen der dielektrischen Schicht unter Verwendung der ersten Hartmaskenschicht und der zweiten Hartmaskenschicht, um einen Graben, der dem ersten IC-Merkmal und dem zweiten IC-Merkmal entspricht, in der dielektrischen Schicht auszubilden.
  6. Verfahren nach Anspruch 5, ferner umfassend: Abscheiden einer Metallschicht, um den Graben in der dielektrischen Schicht zu füllen; und Durchführen eines chemisch-mechanischen Polierprozesses (CMP-Prozesses), um eine in derselben Ebene liegende Fläche der dielektrischen Schicht und der Metallschicht auszubilden.
  7. Verfahren nach Anspruch 3, das vor dem Ausbilden der ersten Fotolackschicht ferner umfasst: Ausbilden einer ersten unteren Schicht auf dem Hartmaskenbereich; und Ausbilden einer ersten mittleren Schicht auf der ersten unteren Schicht, wobei die erste untere Schicht und die erste mittlere Schicht unlöslich in einem Entwickler sind, der zum Entwickeln der ersten Fotolackschicht verwendet wird, und wobei das erste IC-Merkmal auf die erste untere Schicht und die erste mittlere Schicht übertragen wird.
  8. Verfahren nach Anspruch 4, das vor dem Ausbilden der zweiten Fotolackschicht ferner umfasst: Ausbilden einer zweiten unteren Schicht auf dem Hartmaskenbereich; und Ausbilden einer zweiten mittleren Schicht auf der zweiten unteren Schicht, wobei die zweite untere Schicht und die zweite mittlere Schicht unlöslich in einem Entwickler sind, der zum Entwickeln der zweiten Fotolackschicht verwendet wird, und wobei das zweite IC-Merkmal auf die zweite untere Schicht und die zweite mittlere Schicht übertragen wird.
  9. Verfahren nach Anspruch 1, wobei das erste Maskenmerkmal entlang einer ersten Richtung ausgerichtet ist, und wobei das zweite Maskenmerkmal entlang einer zweiten Richtung ausgerichtet ist, die von der ersten Richtung verschieden ist, wobei sich das erste Maskenmerkmal mit dem zweiten Maskenmerkmal überschneidet.
  10. Verfahren nach Anspruch 9, wobei das erste Maskenmerkmal eine größere Abmessung als jene des zweiten Maskenmerkmals entlang der ersten Abmessung aufweist.
  11. Verfahren nach Anspruch 10, wobei das erste Maskenmerkmal ein Durchkontaktierungsmerkmal umfasst, und das zweite Maskenmerkmal ein Metallleiterbahnmerkmal umfasst.
  12. Verfahren nach Anspruch 1, wobei das Durchführen der ersten lithografischen Belichtung ein Durchführen der ersten lithografischen Belichtung bei einer Defocus-Bedingung umfasst, und wobei das Durchführen der zweiten lithografischen Belichtung ein Durchführen der zweiten lithografischen Belichtung bei einer On-Focus-Bedingung umfasst.
  13. Verfahren nach Anspruch 1, wobei die Halbleiterstruktur ferner eine Ätzstoppschicht (ESL) umfasst, die zwischen dem Substrat und der dielektrischen Schicht ausgebildet wird.
  14. Verfahren nach Anspruch 13, das nach dem Ätzen der dielektrischen Schicht ferner umfasst: Ätzen der ESL unter Verwendung der ersten Hartmaskenschicht und der zweiten Hartmaskenschicht.
  15. Verfahren zum Ausbilden einer Halbleiterstruktur, umfassend: Bereitstellen eines Substrats, einer über dem Substrat ausgebildeten dielektrischen Schicht, und einer über der dielektrischen Schicht ausgebildeten ersten Hartmaskenschicht; Bereitstellen einer Fotomaske, die ein erstes Maskenmerkmal und ein zweites Maskenmerkmal umfasst, wobei das erste Maskenmerkmal eine größere Abmessung als jene des zweiten Maskenmerkmals aufweist; Ausbilden einer ersten Fotolackschicht über der ersten Hartmaskenschicht, wobei die erste Fotolackschicht eine erste Belichtungsschwelle aufweist; Durchführen einer ersten Belichtung unter Verwendung der Fotomaske, um ein erstes IC-Merkmal auszubilden, das dem ersten Maskenmerkmal entspricht; Übertragen des ersten IC-Merkmals auf die erste Hartmaskenschicht und die dielektrische Schicht; Ausbilden einer zweiten Fotolackschicht über der ersten Hartmaske, wobei die zweite Fotolackschicht eine zweite Belichtungsschwelle aufweist; Durchführen einer zweiten Belichtung unter Verwendung der Fotomaske, um ein zweites IC-Merkmal auszubilden, das dem ersten und dem zweiten Maskenmerkmal entspricht; Übertragen des zweiten IC-Merkmals auf die erste Hartmaskenschicht; und Ätzen der dielektrischen Schicht unter Verwendung der ersten Hartmaskenschicht, um einen Graben, der dem ersten IC-Merkmal und dem zweiten IC-Merkmal entspricht, in der dielektrischen Schicht auszubilden, wobei eine erste Belichtungsintensität des zweiten Maskenmerkmals während der ersten lithografischen Belichtung kleiner ist als die erste Belichtungsschwelle, und wobei eine zweite Belichtungsintensität des zweiten Maskenmerkmals während der zweiten lithografischen Belichtung größer ist als die zweite Belichtungsschwelle.
  16. Verfahren nach Anspruch 15, wobei das Durchführen der ersten Belichtung ein Durchführen der ersten Belichtung bei einer Defocus-Bedingung umfasst, und wobei das Durchführen der zweiten Belichtung ein Durchführen der zweiten Belichtung bei einer On-Focus-Bedingung umfasst.
  17. Verfahren nach Anspruch 15, das ferner ein Bereitstellen einer zweiten Hartmaskenschicht zwischen der ersten Hartmaskenschicht und der dielektrischen Schicht umfasst, wobei das erste IC-Merkmal sowohl auf die erste Hartmaskenschicht als auch die zweite Hartmaskenschicht übertragen wird.
  18. Verfahren für Lithografie, umfassend: Bereitstellen einer Fotomaske, die ein erstes Maskenmerkmal und ein zweites Maskenmerkmal umfasst; Durchführen einer ersten Belichtung bei einer Defocus-Bedingung an einer auf einem Halbleitersubstrat beschichteten ersten Fotolackschicht unter Verwendung der Fotomaske, um eine erste latente Struktur auszubilden, wobei die erste latente Struktur dem ersten Maskenmerkmal entspricht; und Durchführen einer zweiten Belichtung bei einer On-Focus-Bedingung an einer auf dem Halbleitersubstrat beschichteten zweiten Fotolackschicht unter Verwendung der Fotomaske, um eine zweite latente Struktur auszubilden, wobei die zweite latente Struktur dem ersten und dem zweiten Maskenmerkmal entspricht.
  19. Verfahren nach Anspruch 18, wobei das erste Maskenmerkmal eine größere Abmessung als jene des zweiten Maskenmerkmals entlang einer Richtung aufweist.
  20. Verfahren nach Anspruch 19, wobei eine erste Belichtungsintensität durch das zweite Maskenmerkmal während der ersten Belichtung kleiner ist als eine Belichtungsschwelle der ersten Fotolackschicht, und wobei eine zweite Belichtungsintensität durch das zweite Maskenmerkmal während der zweiten Belichtung größer ist als eine Belichtungsschwelle der zweiten Fotolackschicht.
DE102014119153.6A 2014-01-13 2014-12-19 Verfahren zum Ausbilden verschiedener Strukturen in einer Halbleiterstruktur unter Verwendung einer einzelnen Maske Active DE102014119153B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/153,875 US9679803B2 (en) 2014-01-13 2014-01-13 Method for forming different patterns in a semiconductor structure using a single mask
US14/153,875 2014-01-13

Publications (2)

Publication Number Publication Date
DE102014119153A1 true DE102014119153A1 (de) 2015-07-30
DE102014119153B4 DE102014119153B4 (de) 2023-08-24

Family

ID=53521967

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014119153.6A Active DE102014119153B4 (de) 2014-01-13 2014-12-19 Verfahren zum Ausbilden verschiedener Strukturen in einer Halbleiterstruktur unter Verwendung einer einzelnen Maske

Country Status (3)

Country Link
US (1) US9679803B2 (de)
DE (1) DE102014119153B4 (de)
TW (1) TWI612615B (de)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9594213B2 (en) * 2015-03-27 2017-03-14 Mellanox Technologies Silicon Photonics Inc. Temperature control of components on an optical device
US10879120B2 (en) * 2016-11-28 2020-12-29 Taiwan Semiconductor Manufacturing Self aligned via and method for fabricating the same
US10707123B2 (en) 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of interconnect structures
US10790272B2 (en) * 2017-08-02 2020-09-29 Qualcomm Incorporated Manufacturability (DFM) cells in extreme ultra violet (EUV) technology
US11764062B2 (en) * 2017-11-13 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US10866515B2 (en) 2017-11-17 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process using photoresist material with photosensitive functional group
US11171200B2 (en) * 2019-09-26 2021-11-09 Texas Instruments Incorporated Integrated circuits having dielectric layers including an anti-reflective coating
CN111273524B (zh) * 2020-02-19 2022-06-24 联合微电子中心有限责任公司 一种实现精准套刻的工艺方法
US11799001B2 (en) * 2021-03-09 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Back-end-of-line devices

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5407785A (en) * 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
TW437040B (en) 1998-08-12 2001-05-28 Applied Materials Inc Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics
US6245492B1 (en) * 1998-08-13 2001-06-12 International Business Machines Corporation Photoresist system and process for aerial image enhancement
US6296987B1 (en) 1999-10-20 2001-10-02 United Microelectronics Corp. Method for forming different patterns using one mask
US7022452B2 (en) * 2002-09-04 2006-04-04 Agilent Technologies, Inc. Contrast enhanced photolithography
US7087488B2 (en) * 2004-07-16 2006-08-08 United Microelectronics Corp. Method for fabricating a mask ROM
WO2006014850A2 (en) * 2004-07-27 2006-02-09 Toppan Photomasks, Inc. Systems and methods for forming integrated circuit components having precise characteristics
KR100639680B1 (ko) * 2005-01-17 2006-10-31 삼성전자주식회사 반도체 소자의 미세 패턴 형성방법
TWI299429B (en) 2005-10-06 2008-08-01 Promos Technologies Inc Method of exposure
US7781150B2 (en) * 2006-12-19 2010-08-24 Industrial Technology Research Institute Method of photolithographic exposure
US8576377B2 (en) * 2006-12-28 2013-11-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8003300B2 (en) * 2007-04-12 2011-08-23 The Board Of Trustees Of The University Of Illinois Methods for fabricating complex micro and nanoscale structures and electronic devices and components made by the same
US20090011370A1 (en) * 2007-06-11 2009-01-08 Hiroko Nakamura Pattern forming method using two layers of resist patterns stacked one on top of the other
TWI422961B (zh) 2007-07-19 2014-01-11 Hoya Corp 光罩及其製造方法、圖案轉印方法、以及顯示裝置之製造方法
US8062971B2 (en) * 2008-03-19 2011-11-22 Infineon Technologies Ag Dual damascene process
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US8197996B2 (en) * 2008-09-19 2012-06-12 Tokyo Electron Limited Dual tone development processes
US8129080B2 (en) * 2008-09-19 2012-03-06 Tokyo Electron Limited Variable resist protecting groups
US8080475B2 (en) 2009-01-23 2011-12-20 Intel Corporation Removal chemistry for selectively etching metal hard mask
US20100297851A1 (en) * 2009-05-19 2010-11-25 Rohm And Haas Electronic Materials Llc Compositions and methods for multiple exposure photolithography
JP5515459B2 (ja) * 2009-07-06 2014-06-11 ソニー株式会社 半導体デバイスの製造方法
US8962484B2 (en) * 2011-12-16 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming pattern for semiconductor device
WO2013101028A1 (en) 2011-12-29 2013-07-04 Intel Corporation Avd hardmask for damascene patterning
US9627256B2 (en) * 2013-02-27 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit interconnects and methods of making same
US8791024B1 (en) * 2013-05-14 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns using a single exposure

Also Published As

Publication number Publication date
DE102014119153B4 (de) 2023-08-24
TWI612615B (zh) 2018-01-21
US9679803B2 (en) 2017-06-13
US20150200130A1 (en) 2015-07-16
TW201539647A (zh) 2015-10-16

Similar Documents

Publication Publication Date Title
DE102014119153B4 (de) Verfahren zum Ausbilden verschiedener Strukturen in einer Halbleiterstruktur unter Verwendung einer einzelnen Maske
DE102013107142B4 (de) Verfahren zum Definieren mehrerer Schichtmuster bei Verwendung einer einzigen Belichtung
US9685367B2 (en) Photomask for forming multiple layer patterns with a single exposure
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
US9229326B2 (en) Method for integrated circuit patterning
US9437481B2 (en) Self-aligned double patterning process for two dimensional patterns
CN101000886A (zh) 使用所选掩模的双大马士革铜工艺
US9726983B2 (en) Method to define multiple layer patterns with a single exposure by charged particle beam lithography
US20200105598A1 (en) Structure and Method for Interconnection with Self-Alignment
Wood et al. EUV lithography at the 22nm technology node
US8698206B2 (en) Feature patterning methods and structures thereof
US20100285409A1 (en) Method for manufacturing semiconductor device
US11289376B2 (en) Methods for forming self-aligned interconnect structures
DE102019201202A1 (de) Strukturen und Verfahren zum Verbessern der Überlagerungsleistung in Halbleitervorrichtungen
US9429835B2 (en) Structure and method of photomask with reduction of electron-beam scatterring
US8298730B2 (en) Semiconductor devices and methods of manufacturing thereof
US11764062B2 (en) Method of forming semiconductor structure
US12020984B2 (en) Methods for forming self-aligned interconnect structures
US8003305B2 (en) Method for patterning a semiconductor wafer
US8426114B2 (en) L-shaped feature, method of making an L-shaped feature and method of making an L-shaped structure

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division