DE102011076692A1 - Pulsmodulationsanordnung und pulsmodulationsverfahren - Google Patents

Pulsmodulationsanordnung und pulsmodulationsverfahren Download PDF

Info

Publication number
DE102011076692A1
DE102011076692A1 DE102011076692A DE102011076692A DE102011076692A1 DE 102011076692 A1 DE102011076692 A1 DE 102011076692A1 DE 102011076692 A DE102011076692 A DE 102011076692A DE 102011076692 A DE102011076692 A DE 102011076692A DE 102011076692 A1 DE102011076692 A1 DE 102011076692A1
Authority
DE
Germany
Prior art keywords
pulse
bit
output
input
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102011076692A
Other languages
English (en)
Other versions
DE102011076692B4 (de
Inventor
Roberto Filippo
Diego Gaetano Munari
Federico Tosato
Andrea Logiudice
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE102011076692A1 publication Critical patent/DE102011076692A1/de
Application granted granted Critical
Publication of DE102011076692B4 publication Critical patent/DE102011076692B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K7/00Modulating pulses with a continuously-variable modulating signal
    • H03K7/08Duration or width modulation ; Duty cycle modulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/60Methods or arrangements for performing computations using a digital non-denominational number representation, i.e. number representation without radix; Computing devices using combinations of denominational and non-denominational quantity representations, e.g. using difunction pulse trains, STEELE computers, phase computers
    • G06F7/602Methods or arrangements for performing computations using a digital non-denominational number representation, i.e. number representation without radix; Computing devices using combinations of denominational and non-denominational quantity representations, e.g. using difunction pulse trains, STEELE computers, phase computers using delta-sigma sequences

Abstract

Beschrieben wird ein Pulsfolgegenerator, der aufweist: einen ersten Pulsmodulator (208) mit einem Mehrbit-Eingang und einem ersten 1-Bit-Ausgang für eine 1-Bit-Pulsfolge; einen AND-Logikblock (212) mit einem ersten Eingang, der an den ersten 1-Bit-Ausgang des ersten Pulsmodulators (208) gekoppelt ist, und der einen zweiten Mehrbit-Eingang und einem Mehrbit-AND-Ausgang aufweist; und einen zweiten Pulsmodulator (216), mit einem Eingang, der an den Mehrbit-AND-Ausgang gekoppelt ist, und der einen zweiten 1-Bit-Ausgang für eine 1-Bit-Pulsfolge aufweist, die ein Produkt der Signale an den ersten und zweiten Mehrbit-Eingängen repräsentiert.

Description

  • Die vorliegende Erfindung betrifft allgemein eine elektrische Signalverarbeitungsanordnung und ein elektrisches Signalverarbeitungsverfahren, und insbesondere eine Pulsmodulationsanordnung und ein Pulsmodulationsverfahren.
  • Viele Arten von elektrischen Bauelementen nutzen analoge Signale, die zeitkontinuierlich sind und die bezüglich ihrer Zeit- und Amplitudenauflösung unendlich sind. Beispielsweise Aktoren und Energiewandler, wie beispielsweise Leuchtdioden (LEDs), Motoren, robotische Servoantriebe, Heizelemente, Lichtdimmer, Bremssysteme, oder ähnliche, erhalten analoge Eingangssteuersignale, um deren Betrieb zu steuern. Das heißt, ein Eingangssteuersignal kann die Helligkeit einer LED oder einer anderen Art von Leuchtmittel, die Geschwindigkeit eines Motors, die Wärmeleistung eines Heizelements, usw. steuern.
  • Grundsätzlich können diese Arten von Bauelementen oder Geräten alternativ auch durch digitale Controller bzw. Ansteuerschaltungen, wie beispielsweise Mikroprozessoren, digitale Signalprozessoren oder Mikrocontroller, gesteuert werden, die eine digital codierte Version eines analogen Steuersignals erzeugen. Einige Geräte, wie beispielsweise Schaltverstärker (z. B. für die Verwendung im Audiobereich) und Schaltwandler oder Schaltnetzteile (beispielsweise für die Verwendung in Computern) können auch solche digitalen Steuersignale verwenden.
  • Grundsätzlich kann ein digitaler Controller ein digitales Signal unter Verwendung einer Pulsmodulation modulieren, um ein digital codiertes Steuersignal zu erzeugen, das ein Analogsignal repräsentiert. Eine Pulsmodulation verändert einen Parameter einer Folge von digitalen Impulsen, um einen analogen Signalpegel zu codieren.
  • Verschiedene unterschiedliche Modulationsverfahren sind bekannt, wie beispielsweise eine Pulsamplitudenmodulation (PAM), eine Pulsdichtemodulation (PDM) oder eine Pulsweitenmodulation (PWM). Eine Pulsamplitudenmodulation modifiziert die Amplitude einzelner Pulse einer Pulsfolge; die zu übertragende Information ist dadurch in den Amplituden der Pulse enthalten. Eine Pulsdichtemodulation ändert die (zeitliche) Dichte bzw. die von Pulsen in einer Pulsfolge, um unterschiedliche Signalpegel zu codieren. Eine Pulsweitenmodulation variiert für periodisch auftretende Pulse den Duty-Cycle (das Taktverhältnis) bzw. den Anteil der Zeit an einer Periodendauer, während der das Signal einen vorgegebenen Signalpegel annimmt. Allgemein kann eine Pulsweitenmodulation als ein solcher Spezialfall einer Pulsdichtemodulation angesehen werden, bei der die Pulse, die einem codierten Signalwert entsprechen, in dem digitalen Signal unmittelbar aneinander angrenzen.
  • Aufgabe der vorliegenden Erfindung ist eine verbesserte Pulsmodulationsanordnung bzw. einen verbesserten Pulsfolgegenerator und ein verbessertes Pulsmodulationsverfahren zur Verfügung zu stellen.
  • Diese Aufgabe wird durch Pulsfolgegeneratoren gemäß der Ansprüche 1 und 11 und durch Verfahren gemäß der Ansprüche 6, und 16.
  • Ein erster Aspekt betrifft einen Pulsfolgegenerator, der aufweist: einen ersten Pulsmodulator mit einem Mehrbit-Eingang und einem ersten 1-Bit-Ausgang für eine 1-Bit-Pulsfolge; einen AND-Logikblock mit einem ersten Eingang, der an den ersten 1-Bit-Ausgang des ersten Pulsmodulators gekoppelt ist, und der einen zweiten Mehrbit-Eingang und einen Mehrbit-AND-Ausgang aufweist; und einen zweiten Pulsmodulator, mit einem Eingang, der an den Mehrbit-AND-Ausgang gekoppelt ist, und der einen zweiten 1-Bit-Ausgang für eine 1-Bit-Pulsfolge aufweist, die ein Produkt der Signale an den ersten und zweiten Mehrbit-Eingängen repräsentiert.
  • Ein zweiter Aspekt betrifft ein Verfahren zum Erzeugen einer Ausgangspulsfolge, das aufweist: ein erstes Pulsmodulieren eines ersten Mehrbit-Eingangssignals um eine erste 1-Bit-Pulsfolge zu erzeugen; Verwenden einer bitweisen AND-Logikfunktion, um die erste 1-Bit-Pulsfolge und ein zweites Mehrbit-Eingangssignal zu kombinieren, um dadurch ein Mehrbit-AND-Ausgangssignal zu erzeugen; und ein zweites Pulsmodulieren des Mehrbit-AND-Ausgangsignals um eine 1-Bit-Ausgangspulsfolge zu erzeugen, die ein Produkt der ersten und zweiten Mehrbit-Eingangssignale repräsentiert.
  • Ein dritter Aspekt betrifft eine Pulsfolgegenerator, der aufweist: einen Pulsmodulator mit einem Mehrbit-Eingang und einem ersten 1-Bit-Ausgang; ein OR-Logikgatter, das an den Pulsmodulator gekoppelt ist; und einen Diskontinuitätsfüller mit einem Zähler-Rücksetzeingang, der an den ersten 1-Bit-Ausgang des Pulsmodulators gekoppelt ist, und der einen Füller-Ausgang aufweist, der an einen ersten Eingang des OR-Logikgatters gekoppelt ist.
  • Ein vierter Aspekt betrifft ein Verfahren zum Erzeugen einer Ausgangspulsfolge, das aufweist: Pulsmodulieren eines ersten Mehrbit-Signals, um eine erste 1-Bit-Folge zu erzeugen; Überwachen der ersten 1-Bit-Folge auf Ruheperioden; und wenn eine Ruheperiode ermittelt wird, die gleich oder größer einem Diskontinuitätsschwellenwert ist, Einfügen wenigstens eines Füllpulses in die 1-Bit-Pulsfolge.
  • Zum besseren Verständnis der vorliegenden Erfindung und von deren Vorteilen werden Ausführungsbeispiele nachfolgend anhand von Figuren näher erläutert. Diese Figuren dienen zur Erläuterung des Grundprinzips, so dass nur solche Merkmale dargestellt sind, die zum Verständnis des Grundprinzips erforderlich sind. In den Figuren bezeichnen, sofern nicht anders angegeben, gleiche Bezugszeichen gleiche Merkmale mit gleicher Bedeutung.
  • 1 zeigt ein Blockschaltbild eines Pulsmodulators, der eine Pulsfolge aus dem Produkt von zwei Signalen erzeugt.
  • 2 zeigt ein Blockschaltbild eines AND-Logikgatters, das die Ausgangssignale von zwei Pulsmodulartoren kombiniert.
  • 3 veranschaulicht anhand von Zeitverläufen die Ausgangssignale der zwei Pulsmodulatoren gemäß 2, wenn sich Pulse dieser Ausgangssignale nicht überlappen.
  • 4 veranschaulicht ein Blockschaltbild eines Pulsfolgegenerators der zwei Pulsmodulatoren aufweist.
  • 5 zeigt ein Blockschaltbild des AND-Logikblocks gemäß 4.
  • 6 zeigt Zeitverläufe von Ausgangssignalen des AND-Logikblocks und eines Pulsmodulators gemäß 5.
  • 7 zeigt ein Blockschaltbild eines LED-Steuersystems mit drei Pulsfolgegeneratoren für Farbkanäle der Farben Rot, Grün und Blau aufweist.
  • 8 zeigt ein Blockschaltbild eines Sigma-Delta-Pulsdichtemodulators erster Ordnung.
  • 9 zeigt schematisch ein Teil eines Pulsfolgegenerators mit zwei Pulsdichtemodulatoren gemäß 8.
  • 10 veranschaulicht anhand von Zeitverläufen die Funktionsweise des Pulsfolgegenerators gemäß 9.
  • 11 zeigt vergrößert einen Teil der Zeitverläufe gemäß 10.
  • 12 zeigt Zeitverläufe von Signalen eines Pulsfolgegenerators, der einen Pulsweitenmodulator und einen Pulsdichtemodulator aufweist.
  • 13 zeigt vergrößert einen Teil der Zeitverläufe gemäß 12.
  • 14A und 14B zeigen vergrößert einen Teil der Zeitverläufe gemäß 13.
  • 15 veranschaulicht anhand von Zeitverläufen die Funktionsweise eines Pulsfolgegenerators mit zwei Pulsweitenmodulatoren.
  • 16 zeigt vergrößert einen Teil der Zeitverläufe gemäß 15.
  • 17 zeigt ein Blockschaltbild eines Pulsmodulators, der einen 1-Bit-Offset-Eingang aufweist.
  • 18 zeigt ein Blockschaltbild eines Pulsfolgegenerators, der einen Diskontinuitätsfüller (engl.: discontinuity filler) aufweist.
  • 19 zeigt ein Blockschaltbild eines Pulsfolgegenerators, der einen Diskontinuitätsfüller aufweist.
  • 20 zeigt ein Blockschaltbild eines Pulsfolgegenerators, in dem Komponenten des Diskontinuitätsfüllers dargestellt sind.
  • 21 zeigt ein Blockschaltbild eines LED-Steuersystems mit einem Pulsfolgegenerator.
  • Die Realisierung und Verwendung von Ausführungsbeispielen der Erfindung werden nachfolgend anhand von Beispielen im Detail erläutert. In diesem Zusammenhang sei darauf hingewiesen, dass die nachfolgend erläuterten Ausführungsbeispiele lediglich dazu dienen, das Grundprinzip zu veranschaulichen und zu erläutern, wie die Erfindung realisiert werden kann, ohne jedoch den Schutzbereich der Erfindung zu beschränken.
  • Die Erfindung wird nachfolgend anhand von Ausführungsbeispielen in einem speziellen Zusammenhang erläutert, nämlich im Zusammenhang mit einem Pulsmodulator, der die Intensität einer Leuchtdiode (LED) steuert oder regelt. Die Erfindung kann jedoch auch bei vielen anderen Anwendungen eingesetzt werden, bei denen eine pulsmodulierte Folge dazu verwendet wird, einen Aktor oder Energiewandler zu steuern, wie beispielsweise ein Lichtelement bzw. einen Leuchte, einen Motor, ein Servo, ein Heizelement, ein Bremssystem, oder ähnliches.
  • Eine Pulsmodulation eines Signals, das das Produkt von zwei Faktoren repräsentiert, kann auf verschiedene Weise realisiert werden. Bei einem Ansatz, der in 1 dargestellt ist, werden zwei Faktoren Input_1 102 und Input_2 104 unter Verwendung eines arithmetischen Multiplizierers 106 in einer ersten Stufe miteinander multipliziert. Eine Quantisierung des von dem Multiplizierer ausgegebenen Produkts kann unter Verwendung eines Quantisierers durchgeführt werden, der eine große Gruppe von möglichen diskreten Werten auf eine kleinere Gruppe von diskreten Werten abbildet. Das Ergebnis wird in einer zweiten Stufe mittels eines Pulsmodulators 108 moduliert, um eine Ausgangspulsfolge 110 zu erzeugen. Ein Nachteil dieses Vorgehens sind die große Schaltungsfläche, die zur Realisierung verbraucht wird, und die große Anzahl von benötigten digitalen Bauelementen. Außerdem repräsentiert aufgrund der Quantisierung das Ausgangssignal das tatsächliche Multiplikationsergebnis möglicherweise nicht ausreichend genau.
  • Bei einem weiteren Ansatz, der in 2 dargestellt ist, wird jeder der zwei Eingangsfaktoren, Input_1 120 und Input_2 122, in einer ersten Stufe durch einen Pulsmodulator verarbeitet. Ein erster Pulsmodulator 124 erzeugt ein erstes Ausgangssignal bzw. eine erste Ausgangspulsfolge Pulse_stream_1 128, das den ersten Eingangsfaktor Input_1 120 repräsentiert, und ein zweiter Pulsmodulator 126 erzeugt ein zweites Ausgangssignal bzw. eine zweite Ausgangspulsfolge Puls_stream_2 130, das den zweiten Eingangsfaktor Input_2 122 repräsentiert. Ein AND-Logikblock 128 wendet eine logische AND-Operation (UND-Operation) auf die zwei Ausgangspulsfolgen an, um eine Ausgangspulsfolge 134 zu erzeugen. Wie bei dem ersten Ansatz besteht ein Nachteil dieses zweiten Ansatzes darin, dass das Ausgangssignal die Eingangssignale nicht mit ausreichender Genauigkeit repräsentiert. Bei diesem Ansatz gemäß
  • 2 können außerdem Synchronisationsprobleme zwischen den beiden Pulsfolgen auftreten, die zu Störungen führen können.
  • Es kann beispielsweise sein, dass für bestimmte Kombinationen der Eingangsfaktoren die Ausgangspulsfolge insgesamt ”0” ist. Wenn beispielsweise, wie in 3 dargestellt ist, die Eingangssignale Input_1 120 und Input_2 122 periodische Signale sind, die gegeneinander versetzt sind, so dass Signale Pulse_stream_1 128 und Pulse_stream_2 130 erzeugt werden, die einen gegenseitigen Offset aufweisen, kann es vorkommen, dass das Ergebnis der logischen AND-Verknüpfung dieser beiden Folgen, nämlich die Ausgangspulsfolge 134, permanent ”0” ist, obwohl das Produkt Input_1·Input_2 der Eingangsfolgen nicht ”0” ist. In anderen ähnlichen Fällen kann ebenfalls eine Impulsfolge entstehen, die eine falsche Information repräsentiert.
  • 4 veranschaulicht einen Pulsfolgegenerator 200, der eine Pulsmodulation des arithmetischen Produkts von zwei Faktoren für verschiedene Kombinationen von Pulsmodulatoren liefert. Bei einer Pulsweitenmodulation (PWM) ist der Ausgangs-Duty-Cycle Duty_Cycle_Out beispielsweise das Produkt der zwei Eingangs-Duty-Cycles Duty_Cycle_1, Duty_Cycle_2: Duty_Cycle_Out = Duty_Cycle_1·Duty_Cycle_2. Bei einer Pulsdichtemodulation (PDM) ist die Ausgangspulsdichte Pulse_Density_Out beispielsweise das Produkt der zwei Eingangspulsdichten Pulse_Density_1, Pulse_Density_2: Pulse_Density_Out = Pulse_Density_1·Pulse_Density_2. Bei einem kombinierten Pulsmodulator, der beispielsweise sowohl eine Pulsdichtemodulation, als auch eine Pulsweitenmodulation durchführt, ist die Ausgangspulsdichte Pulse_Density_Out das Produkt der Pulsdichte Pulse_Density_1 des Signals an einem Eingang und des Duty-Cycles Duty_Cycle_2 des Signals am anderen Eingang: Pulse_Density_Out = Pulse_Density_1·Duty_Cycle_2.
  • Dem Pulsfolgegenerator 200 sind zwei Eingangsterme Term_1 202 und Term_2 204 zugeführt, die multipliziert werden und die in eine Ausgangspulsfolge 206 gewandelt werden. Diese Eingangsterme werden nachfolgend auch als Eingangssignale oder Eingangspulsfolgen bezeichnet. Einer dieser Terme der Multiplikation, nämlich der zweite Term Term_2 204, wird mittels eines ersten Pulsmodulators 208 in eine 1-Bit-Pulsfolge Term_2_mod 210 gewandelt. Dieser Pulsmodulator 208 kann ein Pulsweitenmodulator, ein Pulsdichtemodulator, oder ähnliches sein. Die erste Pulsfolge ist eine N-Bit-Pulsfolge.
  • Die Multiplikation der 1-Bit-Pulsfolge Term_2_mod 210 und der N-Bit-Eingangspulsfolge Term_1 202 erfolgt mittels eines AND-Logikblock 212, um eine N-Bit-Ausgangsfolge P_result 214 zu erzeugen. Hierfür, und für andere noch erläuterte Ausführungsbeispiele, kann der Wert N der Mehrbit-Eingangssignale abhängig von der jeweiligen Anwendung sein. So kann N beispielsweise 4, 8, 12, 16, 24, 32, 48, 64, usw. sein. Das N-Bit-Ausgangssignal des AND-Logikblocks 212 wird dann einem zweiten Pulsmodulator 216 zugeführt, der das Ausgangssignal P_result 214 in eine Ausgangspulsfolge 206 wandelt, die beispielsweise eine 1-Bit-Pulsfolge ist. Dieser Pulsmodulator 216 kann ebenfalls ein Pulsweitenmodulator, ein Pulsdichtemodulator, oder ähnliches sein. Das Ausgangssignal des zweiten Pulsmodulators 216 repräsentiert somit das Produkt zwischen den zwei Eingangstermen bzw. Eingangsfolgen Term_1 202 und Term_2 204 in dem dargestellten Beispiel.
  • 5 veranschaulicht eine Implementierung eines AND-Blocks 212 der bitweise funktioniert, d. h. der bitweise eine logische AND- bzw. UND-Verknüpfung durchführt. Dem AND-Block 212 sind als Eingangssignale die N-Bit-Pulsfolge Term_1 und die 1-Bit-Pulsfolge Term_2 mod zugeführt. Der AND-Block 212 führt eine bitweise AND-Verknüpfung der 1-Bit-Folge Term_2_mod mit jedem Bit der N-Bit-Folge Term_1 aus, um die N-Bit-Ausgangsfolge P_result 214 zu erzeugen. Die Operation des AND-Blocks 212 ist in 6 in Zeitverläufen dargestellt, die den zeitlichen Zusammenhang zwischen der Eingangspulsfolge Term_2_mod und der Ausgangsfolge P_result veranschaulichen.
  • Bei einigen Ausführungsbeispielen führt der Pulsfolgegenerator 200 zu einer Vereinfachung gegenüber bekannten Ansätzen. Der Pulsfolgegenerator 200 kann beispielsweise unter Verwendung einfacher oder grundlegender digitaler Blöcke realisiert werden. Ein einfacher Taktgenerator kann für alle Blöcke des Pulsfolgegenerators 200 verwendet werden. Bei einigen Ausführungsbeispielen liefert der Pulsfolgegenerator 200 ein Ausgangssignal, das exakt das Produkt der Werte von zwei Eingangsfaktoren repräsentiert. Außerdem treten bei dem Pulsfolgegenerator keine Störungen oder andere Arten von Synchronisationsproblemen auf.
  • Wie zuvor erörtert wurde, kann die Erzeugung einer Pulsfolge, die auf dem Produkt von zwei Eingangsfaktoren basiert, vielfältig verwendet werden. Diese Art der Multiplikation kann beispielsweise bei Beleuchtungsanwendungen eingesetzt werden, wie beispielsweise bei der Steuerung einer Rot-Grün-Blau-(RGB)-LED, wenn beispielsweise die Farbe und die Lichtintensität unabhängig voneinander als verschiedene Eingangswerte repräsentiert sind. 7 veranschaulicht die Verwendung von drei Pulsfolgegeneratoren, von denen jeder zum Steuern einer der drei Farben einer RGB-LED dient. In dem LED-Steuersystem 230 ist ein Eingangsterm bzw. ein Eingangswert die Farbintensität und der andere Eingangsterm bzw. der andere Eingangswert ist der Dimm-Wert, die eine Steuerung der Farbe und des Intensitätspegels der RGB-LED ermöglichen.
  • Der Intensitätspegel 232 ist das erste Eingangssignal des LED-Steuersystems 230 und wählt die Lichtintensität der RGB-LED 272 aus. Der Intensitätspegel 232 ist einem ersten Pulsmodulator 234 zugeführt, der eine 1-Bit-Pulsfolge Dimm_pulse_stream (Dimm P. S.) 236 erzeugt. Dieser Pulsmodulator 234 kann ein Pulsweitenmodulator, in Pulsdichtemodulator, oder ähnliches sein. Dieser Pulsmodulator 234 wird von allen drei Pulsfolgegeneratoren genutzt bzw. ist allen drei Pulsfolgegeneratoren gemeinsam, von denen jeder einen der drei Farbkanäle, Rot, Grün und Blau, steuert. Das RGB-Verhältnis 238 ist das andere Eingangssignal des LED-Steuersystems 230 und wählt die Farbintensität aus, die durch die RGB-LED 272 dargestellt werden soll. Unter Verwendung des RGB-Verhältnisses 238 als Eingangssignal erzeugt eine Farbauswahleinheit 240 drei N-Bit-Signals 242, 244, 246, von denen jedes die Farbintensität für eine der drei Farben repräsentiert, nämlich ein erstes Signal 242 für die Farbe Rot, ein zweites Signal 244 für die Farbe Grün und ein drittes Signal 246 für die Farbe Blau. Eine Multiplikation der Ausgangssignale der Farbauswahleinheit 240 und des Pulsmodulators 234 erfolgt durch AND-Blöcke 248, 250 und 252. Das erste N-Bit-Ausgangssignal 242 der Farbauswahleinheit 240, das die Farbe Rot repräsentiert, und das 1-Bit-Ausgangssignal 236 des Pulsmodulators 234 sind einem ersten AND-Block 248 zugeführt, der ein N-Bit-Ausgangssignal P_result_R 254 für den ersten Kanal erzeugt, der die Farbe Rot repräsentiert. Dieser Kanal wird nachfolgend auch als Rot-Kanal bezeichnet. In entsprechender Weise wird das zweite N-Bit-Ausgangssignal 244 der Farbauswahleinheit 240, das die Farbe Grün repräsentiert, und das Ausgangssignal des Pulsmodulators 234 einem zweiten AND-Block 250 zugeführt, der ein N-Bit-Ausgangssignal P_result_G 246 für einen zweiten Farbkanal erzeugt, der die Farbe Grün repräsentiert. Dieser zweite Farbkanal wird nachfolgend auch als Grün-Kanal bezeichnet. In entsprechender Weise werden das dritte N-Bit-Ausgangssignal 246 der Farbauswahleinheit 240, das die Farbe Blau repräsentiert, und das 1-Bit-Ausgangssignal 236 des Pulsmodulators 234 einem dritten AND-Block 252 zugeführt, der ein Ausgangssignal P_result_B 258 für einen dritten Kanal erzeugt, der die Farbe Blau repräsentiert. Dieser Kanal wird nachfolgend auch Blau-Kanal bezeichnet.
  • Jedes der Ausgangssignale der AND-Blöcke 248, 250, 252 ist jeweils einem Pulsmodulator einer zweiten Stufe zugeführt. Ein erster Pulsmodulator 260 im Rot-Kanal wandelt das N-Bit-Signal P_result_R 254 in eine N-Bit-Ausgangspulsfolge OUT_RED 266 um. Ein zweiter Pulsmodulator 262 im Grün-Kanal wandelt die N-Bit-Ausgangsfolge P_result_G 256 in eine N-Bit-Ausgangspulsfolge OUT_GREEN 268 um. Und ein dritter Pulsmodulator 264 im Blau-Kanal wandelt die N-Bit-Ausgangsfolge P_result_B 258 in eine N-Bit-Ausgangspulsfolge OUT_BLUE 270 um. Diese Pulsmodulatoren können Pulsweitenmodulatoren, Pulsdichtemodulatoren, oder ähnliches sein. Die drei 1-Bit-Ausgangspulsfolgen OUT_RED 266, OUT_GREEN 268 und OUT_BLUE 270 werden dann dazu verwendet, die Farbe und die Lichtintensität der RGB-LED 272 zu steuern.
  • Das LED-Steuersystem 230 ermöglicht die Auswahl einer Farbe (RGB-Verhältnis) und eines Intensitätspegels für eine RGB-LED und erzeugt drei Ausgangspulsfolgen, nämlich eine für jeden der Kanäle Rot, Grün und Blau. Wenn beispielsweise Pulsdichtemodulatoren verwendet werden, entspricht die Pulsdichte des Ausgangssignals jedes Kanals dem Produkt zwischen der Pulsdichte des die jeweilige Farbe (R/G/B) repräsentierenden Signals und der Pulsdichte des den Intensitätspegel repräsentierenden Signals. Die Blöcke oder Funktionen, die zuvor erläutert wurden (und die nachfolgend noch erläutert werden) können in Hardware, Software, Firmware oder als Kombination dieser Möglichkeiten, realisiert werden. D. h. die Funktionen können als Software oder Firmware eines Mikroprozessors, eines digitalen Signalprozessors oder eines Mikrocontrollers implementiert werden. Wenn diese Funktionen als Software oder Firmware implementiert werden, sind die Eingangssignale und die Ausgangssignale der einzelnen Elemente Eingangssignale und Ausgangssignale von Software- oder Firmware-Codes, Routinen oder -Schritten. Alternativ können die einzelnen Funktionen oder Funktionsblöcke auch als digitale Logik, entweder mit diskreten Bauelementen oder mit einem oder mehreren integrierten Schaltungen, realisiert werden. Alternativ können die zuvor erläuterten Ausführungsbeispiele getrennt von dem durch die Ausgangspulsfolge zu steuernden Gerät oder gemeinsam mit diesem Gerät integriert werden. Außerdem kann eine beliebige Kombination der zuvor erläuterten Alternativen verwendet werden, um die Ausführungsbeispiele zu implementieren.
  • Um mit dem Ausführungsbeispiel einer LED-Steuerung fortzuführen, veranschaulicht 8 eine mögliche Implementierung eines Pulsdichtemodulators, von dem zwei in dem in 9 dargestellten Pulsfolgegenerator im Rot-Kanal verwendet werden. Insbesondere zeigt 8 einen 10-Bit-Sigma-Delta-Pulsdichtemodulator 280 erster Ordnung. Der Sigma-Delta-Modulator kann alternativ auch von höherer Ordnung sein, als von erster Ordnung, wie beispielsweise von zweiter Ordnung, dritter Ordnung, vierter Ordnung oder höher. Der Pulsdichtemodulator 280 funktioniert im Wesentlichen als 1-Bit-Quantisierer, der eine 1-Bit-Ausgangsbitfolge pd_out 284 aus einem N-Bit-Eingangssignal pd_in 282 erzeugt. Dem Pulsdichtemodulator ist außerdem einem Taktsignal 286 und ein Rücksetzsignal 288 zugeführt. Ein Integrier diff_reg 290 integriert das N-Bit-Eingangssignal pd_in 282, um eine Summen- oder Sigma-Funktion zur Verfügung zu stellen. Das Ausgangssignal des Integrierers diff_reg 290 wird als Fehlersignal in der Sigma-Delta-Regelschleife zurückgekoppelt, um vom Eingangssignal abgezogen zu werden, um dadurch Quantisierungsfehler auszumitteln.
  • 9 veranschaulicht schematisch einen Teil eines Pulsfolgegenerators 300 für den Rot-Kanal. Die Darstellung enthält auch Signalnamen, die angegeben sind, um die Funktionsweise des Pulsfolgegenerators 300 in nachfolgend dargestellten Zeitverläufen zu veranschaulichen. Bei der Anordnung gemäß 9 werden zwei Sigma-Delta-Pulsdichtemodulatoren in dem Pulsfolgegenerator 300 für den Rot-Kanal verwendet, die ähnlich dem in 8 dargestellten Sigma-Delta-Pulsdichtemodulator 280 sind. Bezugnehmend auf 9, erzeugt ein Dimmpegel-Generator 302 ein 12-Bit-Dimmpegel-Signal 304, das die Lichtintensität der RGB-LED auswählt, als ersten Faktor eines Multiplikationsprodukts. Das Dimmpegel-Signal 304 wird einem ersten Sigma-Delta-Pulsdichtemodulator 306 zugeführt, der eine 1-Bit-Ausgangsfolge dim_out 308 erzeugt. Ein 10-Bit-Signal red_in_dim (9:0) 310 ist der andere Faktor des Multiplikationsprodukts und wählt die durch die LED darzustellende Farbintensität für die Farbe Rot.
  • Die Multiplikation erfolgt durch einen AND-Block 312, wobei in 9 lediglich einige der Bits dargestellt sind. Das Signal red_in_dim (9:0) 310 und das 1-Bit-Signal dim_out 308 sind dem AND-Block 312 zugeführt, um das 10-Bit-Produktsignal pd_in_red (9:0) 320 für den Rot-Kanal zu erzeugen. Jedes Bit red_in_dim(n) des Farbintensitätssignals 310 wird mit dem Dimmpegel-Signal dim_out 308 AND-verknüpft. Die AND-Funktionen 314, 316 und 318 verarbeiten die Bits red_in_dim (7), red_in_dim (8) bzw. red_in_dim (9).
  • Das 10-Bit-Signal pd_in_red (9:0) wird dann dem zweiten Sigma-Delta-Pulsdichtemodulator 322 zugeführt. Der zweite Pulsdichtemodulator 322 wandelt das 10-Bit-Signal pd_in_red (9:0) in eine 1-Bit-Ausgangsfolge RED_OUT 324 um. Die 1-Bit-Ausgangsfolge red_out 324 wird dann dazu verwendet, die Farbintensität der Farbe Rot und Lichtintensität der LED zu steuern. Den verschiedenen Blöcken oder Funktionen des Pulsgenerators 300 sind ebenfalls das Rücksetzsignal rst_n 326 und das Taktsignal clk 328 zugeführt.
  • In 9 ist lediglich der Pulsfolgegenerator für den Rot-Kanal dargestellt. Die Pulsfolgegeneratoren für die übrigen Kanäle, d. h. den Blau-Kanal und den Grün-Kanal, können entsprechend realisiert sein. Die Pulsmodulatoren 306 und 322 gemäß 9 können entsprechend des Pulsmodulators gemäß 8 realisiert sein.
  • 10 veranschaulicht ein Zeitdiagramm 330 für Signale des Pulsfolgegenerators 300 mit den zwei Sigma-Delta-Modulatoren 306 und 322 gemäß 9. 11 zeigt ein Zeitdiagramm 332, das einen Ausschnitt des in 10 dargestellten Zeitdiagramms 330 vergrößert darstellt. 11 zeigt im Wesentlichen ein Integrationsintervall bzw. einen Zyklus der Sigma-Delta-Pulsdichtemodulatoren. Wie in den 10 und 11 dargestellt ist, wird die Dichte des Ausgangssignals red_out 324 des zweiten Pulsdichtemodulators, das dem Ausgangssignal des Integrierers diff_reg 290 gemäß 8 entspricht, durch die Dichte des Ausgangssignal dim_out 308 des ersten Pulsdichtemodulators 306 moduliert.
  • Bezugnehmend auf 4 umfasst der Pulsfolgegenerator 200 allgemein drei Primärblöcke oder Primärfunktionen, einen ersten Pulsmodulator 208, einen AND-Block 212, und einen zweiten Pulsmodulator 216. Ein Term oder Wert der Multiplikation, nämlich der zweite Term Term_2 204, wird durch den ersten Pulsmodulator 208 in eine Pulsfolge Term_2_mod 210 gewandelt. Das Produkt der zwei Eingangswerte wird durch den AND-Block 212 gebildet, dem als Eingangssignal die N-Bit-Pulsfolge Term_1 202 und die 1-Bit-Pulsfolge Term_2_mod zugeführt sind. Das Ausgangssignal des AND-Blocks 212 ist ein Rechtecksignal P_result 214. Allgemein entspricht der Mittelwert über ein ausreichend langes Intervall des Signals P_result 214 dem Produkt der zwei Werte Term_1 202 und Term_2 204. Das Signal P_result 214 wird dann durch den zweiten Pulsmodulator 216 in eine Ausgangspulsfolge 206 gewandelt. Ein Beispiel für die Verwendung von Pulsdichtemodulatoren wird nachfolgend beschrieben. Es sei zunächst angenommen, dass für die Frequenz, mit der sich die beiden Werte ändern, gilt,
    Figure 00150001
    wobei B_Term_x der Frequenzbereich des Signals, n die Anzahl der Bits des Modulatoreingangssignals, und Tclk die Dauer einer Taktperiode des Modulators ist.
  • Für einen vollständigen Zyklus benötigt der Pulsdichtemodulator exakt 2n·Tclk Taktzyklen. Um also eine korrekte Pulsdichte zu erhalten, werden 2n·Tclk Takte benötigt. Diese Annahme gilt für beide Eingangswerte Term_1 und Term_2.
  • Wenn diese Annahme gilt, besitzt die Ausgangs-Bitfolge eines Pulsdichtemodulators, dem der Eingangswert Term_2 zugeführt ist, dieselbe Anzahl von ”Einsen” (”1”) wie der Eingangswert Term_2 alle 2n·Tclk Taktzyklen. Das Ausgangssignal P_result entspricht daher dem Eingangswert Term_1, wenn Term_2_mod ”1” ist, und das Ausgangssignal P_result ist ”0”, wenn Term_2_mod ”0” ist, wie dies in 6 dargestellt ist.
  • Der Mittelwert des Signals P_result kann durch folgende Gleichung ermittelt werden:
    Figure 00160001
    wobei N = 2n. Dies entspricht: P_mean=(1/N)2·Term_1·Term_2 (Gleichung 3).
  • Ein konkretes Ausführungsbeispiel ist nachfolgend wiedergegeben:
    Für n = 3 gilt: N = 8. Wenn beispielsweise Term_1 = 2 und Term_2 = 3 gilt, erhält man unter Verwendung von Gleichung 3: P_mean = (2/8)·(3/8) = 0.09375.
  • Die Pulsfolge Term_2_mod ist beispielsweise ”00100101”. Die Folge P_result ist daher ”00200202”, und P_mean = (0 + 0 + 2 + 0 + 0 + 2 + 0 + 2)/8 = 0,09375.
  • Eine Umwandlung der Folge P_result mit dem zweiten Pulsdichtemodulator führt daher zu einer Ausgangspulsfolge, die die Pulsdichte des Produkts Term_1·Term_2 repräsentiert.
  • Als weiteres Beispiel veranschaulichen die 12, 13 und 14A14B das Verhalten eines Pulsfolgegenerators, der einen Pulsweitenmodulator vor einer bitweisen AND-Logik und einen Pulsdichtemodulator nach einer bitweisen AND-Logik aufweist (PWM-PDM). Als weiteres Beispiel veranschaulichen die 15 und 16 das Verhalten eines Pulsfolgegenerators, der zwei Pulsweitenmodulatoren (PWM-PWM) aufweist.
  • Bei dem PWM-PDM-Ausführungsbeispiel, dessen Zeitverläufe in den 12, 13 und 14A14B dargestellt sind, zeigt das Zeitdiagramm 340 gemäß 12 allgemein die Funktionsweise des PWM-PDM-Systems, während das Zeitdiagramm gemäß 13 ein vergrößertes Zeitdiagramm 342 eines Teils des Zeitdiagramms 340 ist, das einen der Zyklen veranschaulicht. Das Zeitdiagramm 344 gemäß der 14A14B ist gegenüber dem Zeitdiagramm 342 weiter vergrößert und zeigt den aktiven Teil eines Zyklus. Wie anhand dieser Zeitverläufe ersichtlich ist, konzentriert das PWM-PDM-Ausführungsbeispiel die PDM-Ausgangsfolge (das Signal pdm_out) nur im aktiven Teil des PWM-Duty-Cycle (Signal dim_out), während in inaktiven Teil des PWM-Duty-Cycles weite freie, d. h. pulsfreie, Bereiche vorhanden sind. Wenn das Signal pdm_out dazu verwendet wird, eine LED zu steuern, sind die freien Bereiche für das Auge im Allgemeinen nicht sichtbar. Allgemein ist die Dimm-Auflösung für das PWM-PDM-System geringer als die Auflösung, die durch ein vergleichbares PDM-PDM-System erreicht wird.
  • Bei dem in den 15 und 16 dargestellten PWM-PWM-Ausführungsbeispiel zeigt das Zeitdiagramm 350 gemäß 15 die allgemeine Funktionsweise des PWM-PDM-Systems, während das Zeitdiagramm gemäß 16 ein vergrößertes Zeitdiagram 352 eines Teils des Zeitdiagramms 350 ist, das verschiedene Zyklen zeigt. In dem PWM-PWM-System sind die Pulse ebenfalls im aktiven Teil des Duty-Cycles des ersten PWM konzentriert. Da die beiden PWMs eine gemeinsame Arbeitsfrequenz besitzen, gibt es allgemein einen Verlust an Auflösung während des Dimm-Betriebs zwischen 0 und 100% verglichen mit der Auflösung, die durch ein vergleichbares PDM-PDM-System erhalten werden kann.
  • Allgemein ist die Dimm-Auflösung des PWM-PWM-Systems die geringste der drei verschiedenen Systemarten. Dies ist im Wesentlichen dadurch bestimmt, dass ein Aktualisieren des Eingangssignals und des Ausgangssignals des Pulsweitenmodulators (PWM) nur dann erfolgt, nachdem der gesamte Zählerbereich durchlaufen wurde. Der Pulsdichtemodulator (PDM) besitzt hingegen eine Struktur, die das Ausgangssignal schnell an das Eingangssignal anpasst. Das PDM-PDM-System ist aus diesem Grund das leistungsfähigste System für das Dimmen und Ansteuern einer RGB-LED.
  • Bei einigen Pulsmodulator-Systemen ist es möglich, dass die Intervalle zwischen aktiven Pulsen so lang sind, dass sie zu einer diskontinuierlichen Ausgangsfolge für den zu steuernden Energiewandler oder Aktor führen. Der Effekt einer solchen Diskontinuität kann abhängig sein von der Art und der Sensitivität des Empfängers des Signals. Bei einer LED-Ansteuerung kann eine solche Diskontinuität beispielsweise zu einem Flackern des Lichts der LED führen.
  • Frühere Steuerverfahren umfassen ein analoges zeitkontinuierliches Ansteuern, eine Amplitudenmodulation, oder eine ansteigende Modulationsrate, aber jeder dieser Ansätze besitzt Nachteile. Die Nachteile analoger Verfahren und von Amplitudenmodulationsverfahren im Vergleich zu digitalen Verfahren sind allgemein bekannt. So sind beispielsweise analoge Verfahren üblicherweise komplexer zu implementieren, teurer und sind anfällig für Hitze, Drift und Rauschen. Die Erhöhung der Modulationsrate führt allgemein zu einer höheren Systemkomplexität und zu höheren Kosten.
  • Die nachfolgend erläuterten Ausführungsbeispiele verhindern, dass Diskontinuitäten der Ausgangsfolge mit einer wahrnehmbaren Frequenz auftreten. Wenn diese Ausführungsbeispiele beispielsweise in einem LED-Steuersystem eingesetzt werden, verhindern sie ein Flackern der LED auch dann, wenn lange Intervalle zwischen aktiven Pulsen vorhanden sind. Einige dieser Ausführungsbeispiele können zusammen mit den zuvor erläuterten Ausführungsbeispielen verwendet werden, beispielsweise in Pulsmodulatoren der zweiten Stufe.
  • Ein Ausführungsbeispiel, das wahrnehmbare Diskontinuitäten einer Ausgangsfolge verhindert, ist in 17 dargestellt. Der dort dargestellte Pulsfolgegenerator 400 verwendet einen Eingangs-Offset, um wahrnehmbare Diskontinuitäten zu vermeiden. Solche Diskontinuitäten werden nachfolgend auch als Pulspausen oder Pulsruhezeiten bezeichnet. Insbesondere sind dem Pulsmodulator 400 direkt nur die N – 1 signifikantesten Bits, LSB + 1 bis MSB, als Eingangssignal 404 zugeführt, anstatt dem Pulsmodulator 400 das gesamte N-Bit-Eingangssignal zur Durchführung der Pulsmodulation durchzuführen. Das niederwertigste Bit LSB 406 des Eingangssignals ist zusammen mit einem Offset-Signal 408 einem OR-Gatter (ODER-Gatter) 410 zugeführt. Das periodische Hinzufügen des Offset-Signals zu einem Bit verhindert, dass der Eingang des Pulsmodulators 408 für eine längere Zeitdauer 0 ist. Der 1-LSB-Offset, der zu dem Eingangssignal addiert wird, steuert die minimale Ausgangsfrequenz der Ausgangspulsfolge 412, auch dann, wenn das Eingangssignal eine lange inaktive Zeitdauer besitzt.
  • 18 veranschaulicht ein anderes Ausführungsbeispiel eines Pulsfolgegenerators 420. Bei diesem Ausführungsbeispiel erzeugt ein Pulsmodulator 422 Pulse bei einer Frequenz, die abhängig ist von einen N-Bit-Eingangssignal 428. Die erste Ausgangspulsfolge 430, die durch den Pulsmodulator 422 geliefert wird, wird von einem Diskontinuitäts-Füller (engl.: discontinuity filler) 424 überwacht. Wenn der Diskontinuitätsfüller 424 detektiert, dass eine bestimmte Zeitdauer seit dem letzten Puls verstrichen ist, erzeugt der Diskontinuitätsfüller 424 einen Füllpuls 432, der der Ausgangspulsfolge hinzugefügt wird. Der Füllpuls 432 wird mit der ersten Pulsfolge 434 mittels OR-Gatters 426 OR-verknüpft, und zwar derart, dass ein Ausgangspuls als Teil der Ausgangspulsfolge 434 ausgegeben wird, wenn kein Puls durch den Pulsmodulator 422 erzeugt wurde.
  • Der Füllpuls 432 setzt den Pulsmodulator 422 außerdem zur selben Zeit zurück. Auf diese Weise nimmt der Empfänger der Ausgangspulsfolge 426, wie beispielsweise eine LED oder ein Energiewandler, die Trennung zwischen zwei aktiven Pulsen nicht als ein momentanes Abschalten war. Auf diese Weise entspricht die Ungenauigkeit im Hinblick auf die Eingangssignale weniger als 1 – LSB.
  • Alternativ werden bei einem anderen Ausführungsbeispiel Pulse des Diskontinuitäts-Füllers 424 durch das OR-Gatter 426 mit Pulsen des Pulsmodulators gemischt, wie dies in 18 dargestellt ist, ohne jedoch den Pulsmodulator zurückzusetzen. Dies ist ähnlich dem Hinzufügen eines Eingangs-Offset, wie er bei dem Ausführungsbeispiel gemäß 17 durchgeführt wird, wobei dennoch eine Ungenauigkeit von weniger als 1 – LSB vorhanden ist.
  • 19 veranschaulicht ein weiteres Ausführungsbeispiel eines Pulsgenerators 440. Bei diesem Ausführungsbeispiel wird die Dichte der Pulse moduliert. Der Pulsmodulator 442 erzeugt normalerweise Pulse bei einer Frequenz die abhängig ist von dem N-Bit-Eingangssignal 452. Die durch den Pulsmodulator 442 ausgegebene Ausgangspulsfolge 446 wird durch den Diskontinuitätsfüller 444 überwacht. Wenn der Diskontinuitätsfüller 424 detektiert, dass eine bestimmte Zeitdauer seit dem letzten Puls verstrichen ist, d. h., wenn eine Diskontinuität der Ausgangsfolge detektiert wurde, injiziert der Diskontinuitätsfüller 444 einmalig einen Wert von 2MSB – 1 in das Eingangssignal des Pulsmodulators 442. Alternativ kann ein beliebiger anderer Wert ungleich 0, der zwischen 1 und 2MSB – 1 liegt, wie beispielsweise 1, 2MSB/2 – 1, usw., in das Eingangssignal des Pulsmodulators 442 injiziert werden.
  • Der Diskontinuitätsfüller 444 erzeugt das Injektionssignal 448 bei Detektion einer Diskontinuität der Ausgangspulsfolge 446. Das Injektionssignal 448 wird einem OR-Gatter 450 zugeführt, dem auch das N-Bit-Eingangssignal 452 zugeführt ist. Das Ausgangssignal 454 des OR-Gatters 450 liefert das Eingangssignal des Pulsmodulators 442. Sogar dann, wenn das N-Bit-Eingangssignal 452 0 ist, wird das Injektionssignal 448 auf den Eingang des Pulsmodulators 442 zurückgeführt. Abhängig von der Injektion des Diskontinuitätsfüllers 448 erzeugt der Pulsmodulator 442 eine Ausgangspulsfolge 446 ohne eine Diskontinuität.
  • 20 veranschaulicht einen Pulsfolgegenerator 460 der eine spezielle Implementierung des Diskontinuitätsfüllers 424 gemäß 18 aufweist. Der Diskontinuitätsfüller umfasst einen Zähler 462, einen Vergleichsschwellenspeicher 464 und einen Komparator 466. Dem Zähler 462 ist ein Pulsfolgesignal pdm_out des Pulsgenerators 422 zugeführt. Der Vergleichsschwellenspeicher 464 kann ein Register sein, in dem ein nummerischer Wert gespeichert ist, der eine maximale Anzahl von Taktpulsen (eine maximale Anzahl von Taktpulsen bzw. eine maximale Zeitdauer) repräsentiert, die zwischen zwei aufeinanderfolgenden Pulsen zulässig sind. Der Komparator 466 vergleicht die zwei Werte, und wenn der Zähler 462 den Schwellenwert erreicht, erzeugt der Komparator 466 einen Ausgangspuls, der in die Ausgangspulsfolge 434 eingefügt wird, um den inaktiven Zustand (Ruhezustand) der Ausgangspulsfolge 434 zu unterbrechen. Dieser Puls ist ein hinzugefügter Wert und entspricht nicht einem tatsächlichen Eingangswert des Eingangssignals 428. Zusätzlich setzt der Puls von dem Komparator 466 den Zähler 462 synchron zurück, so dass das Zählen eines neuen Zeitintervalls sofort beginnt. Außerdem setzt der Puls von dem Komparator 466 den Pulsmodulator 422 zurück, so dass die Erzeugung der Ausgangspulsfolge erneut begonnen wird. Der Puls von dem Komparator 466 ist einem Inverter 468 zugeführt, dessen Ausgangssignal mit einem Rücksetz-Signal Rst_n AND-verknüpft wird, wobei das Ausgangssignal dieses AND-Gatters auf den Rücksetzeingang des Pulsmodulators 422 zurückgeführt ist. Allgemein verhindert das Zurücksetzen des Pulsmodulators dass ein Puls des Pulsmodulators sofort nach einem Puls des Komparators 466 auftritt, wodurch Spitzen (Peaks) in dem Energiewandler vermieden werden.
  • Während einer Zähloperation wird der Zähler 462 durch die Ausgangspulse des Modulators synchron zurückgesetzt, so dass der Diskontinuitätsfüller ein frequenzabhängiges Freigabe-Eingangssignal besitzt. D. h., wenn die Frequenz der Ausgangspulsfolge des Pulsmodulators ausreichend hoch ist, erreicht der Zählerwert nicht den Schwellenwert, und zusätzliche Pulse werden nicht erzeugt, so dass die Ausgangspulsfolge nicht modifiziert wird.
  • 21 veranschaulicht die Anwendung eines Pulsfolgegenerators 420 mit einem Diskontinuitätsfüller für eine LED-Ansteuerung und ein Dimmen der LED. Dem Diskontinuitätsfüller 484 ist ein N-Bit-Eingangssignal 482 zugeführt und er erzeugt eine interne Pulsfolge. Wenn eine Diskontinuität detektiert wird, erzeugt der Diskontinuitätsfüller 484 einen Puls, um eine Diskontinuität am Ausgang zu vermeiden. Das durch OR-Verknüpfung der internen Pulsfolge und der Pulsfolge des Diskontinuitätsfüllers erhaltene Folge ist die Pulsfolge 486, die dazu verwendet wird, die LED 488 zu steuern.
  • VHDL-Implementierungen von verschiedenen Aspekten des Diskontinuitätsfüllers sind nachfolgend wiedergegeben. In dem nachfolgend erläuterten Code besitzen die einzelnen Variablen und Parameter folgende Bedeutung:
    ”pdm_out” ist die durch den Pulsdichtemodulator erzeugte Pulsfolge, die verknüpft ist mit ”pd_in”, das das synchrone Rücksetzsignal des Zählers ist.
  • ”reg” ist die Anzahl der Perioden von ”clk_50k” die seit dem letzten Puls ”pd_in” erfolgt sind.
  • ”cmp” ist die maximale Anzahl von Perioden des Signals ”slk_50k” zwischen zwei Ausgangspulsen.
  • ”pd_out” ist das Ergebnis des Komparators, das nur dann ”1” ist, wenn die maximale Zeit zwischen zwei Pulsen erreicht ist.
  • ”Rst_n” ist das Rücksetzsignal, das im Low-Zustand aktiv ist.
  • ”rst_n” ist ein PDM-Rückkopplungs-Rücksetzsignal, das ((NOT(pd_out))AND Rst_n) entspricht. Dieses Signal ist im Low-Zustand aktiv, wenn Rst_n = ”0” oder wenn ”pd_out” = ”1”
  • ”pdm_in” ist das Eingangssignal des Pulsdichtemodulators.
  • Die Folge, die durch logische OR-Verknüpfung zwischen pdm_out (Ausgangssignal des Pulsmodulators) und dem Signal pd_out (Komparatorausgang) erhalten wird, ist die Ausgangspulsfolge OUT, die direkt der LED-Treiberstufe zugeführt werden kann.
  • Der nachfolgend erläuterte Code beschreibt ein Modell für das Vergleichsschwellenregister den Zähler und die Komparatorlogik (≥) in dem Diskontinuitätsfüller.
  • Figure 00240001
  • Figure 00250001
  • Figure 00260001
  • Abschließend sei darauf hingewiesen, dass Merkmale, die im Zusammenhang mit einzelnen Ausführungsbeispielen erläutert wurden auch dann mit Merkmalen anderer Ausführungsbeispiele kombiniert werden können, wenn dies zuvor nicht explizit erläutert wurde.

Claims (20)

  1. Pulsfolgegenerator, der aufweist: einen ersten Pulsmodulator (208) mit einem Mehrbit-Eingang und einem ersten 1-Bit-Ausgang für eine 1-Bit-Pulsfolge; einen AND-Logikblock (212) mit einem ersten Eingang, der an den ersten 1-Bit-Ausgang des ersten Pulsmodulators (208) gekoppelt ist, und der einen zweiten Mehrbit-Eingang und einen Mehrbit-AND-Ausgang aufweist; und einen zweiten Pulsmodulator (216), mit einem Eingang, der an den Mehrbit-AND-Ausgang gekoppelt ist, und der einen zweiten 1-Bit-Ausgang für eine 1-Bit-Pulsfolge aufweist, die ein Produkt der Signale an den ersten und zweiten Mehrbit-Eingängen repräsentiert.
  2. Pulsgenerator nach Anspruch 1, wobei jeder der Pulsmodulatoren (208, 216) ein Pulsdichtemodulator ist.
  3. Pulsgenerator nach Anspruch 2, bei dem jeder der Pulsdichtemodulatoren ein Sigma-Delta-Pulsdichtemodulator erster Ordnung ist.
  4. Pulsgenerator nach einem der vorangehenden Ansprüche, bei dem der AND-Logikblock (212) dazu ausgebildet ist, für jedes Bit eines Signals am zweiten Mehrbit-Eingang eine bitweise logische AND-Verknüpfung durchzuführen.
  5. Pulsgenerator nach einem der vorangehenden Ansprüche, der weiterhin aufweist: einen LED-Treiber mit einem Eingang, der an den zweiten 1-Bit-Ausgang gekoppelt ist, wobei das Signal am ersten Mehrbit-Eingang einen Dimmpegel für eine LED repräsentiert und wobei ein Signal an dem zweiten Mehrbit-Eingang eine Farbintensität für die LED repräsentiert.
  6. Ein Verfahren zum Erzeugen einer Ausgangspulsfolge, das aufweist: ein erstes Pulsmodulieren eines ersten Mehrbit-Eingangssignals um eine erste 1-Bit-Pulsfolge zu erzeugen; Verwenden einer bitweisen AND-Logikfunktion, um die erste 1-Bit-Pulsfolge und ein zweites Mehrbit-Eingangssignal zu kombinieren, um dadurch ein Mehrbit-AND-Ausgangssignal zu erzeugen; und ein zweites Pulsmodulieren des Mehrbit-AND-Ausgangsignals um eine 1-Bit-Ausgangspulsfolge zu erzeugen, die ein Produkt der ersten und zweiten Mehrbit-Eingangssignale repräsentiert.
  7. Verfahren nach Anspruch 6, bei dem die ersten und zweiten Pulsmodulationen Pulsdichtemodulationen sind.
  8. Verfahren nach Anspruch 6 oder 7, das weiterhin aufweist: Steuern einer Leuchtdiode mit der 1-Bit-Ausgangsfolge.
  9. Verfahren nach Anspruch 8, bei dem das erste Mehrbit-Eingangssignal einen Dimmpegel der LED repräsentiert und das zweite Mehrbit-Eingangssignal eine Farbintensität der LED repräsentiert.
  10. Verfahren nach Anspruch 9, das weiterhin aufweist: Erzeugen von drei unabhängigen 1-Bit-Ausgangspulsfolgen, jeweils eine für einen Rot-Kanal, einen Grün-Kanal und einen Blau-Kanal, wobei die erste 1-Bit-Pulsfolge allen Kanälen gemeinsam ist, und wobei in jedem Kanal eine separate AND-Logikfunktion und eine separate zweite Pulsmodulation abhängig von dem zweiten Mehrbit-Signal für jede Farbe durchgeführt wird.
  11. Pulsfolgegenerator, der aufweist: einen Pulsmodulator (422; 442) mit einem Mehrbit-Eingang und einem ersten 1-Bit-Ausgang (430; 446); ein OR-Logikgatter (426; 450), das an den Pulsmodulator (422; 442) gekoppelt ist; und einen Diskontinuitätsfüller (424; 444) mit einem Zähler-Rücksetzeingang, der an den ersten 1-Bit-Ausgang des Pulsmodulators (422; 442) gekoppelt ist, und der einen Füller-Ausgang (432; 448) aufweist, der an einen ersten Eingang des OR-Logikgatters (426; 450) gekoppelt ist.
  12. Pulsgenerator nach Anspruch 11, bei dem der erste 1-Bit-Ausgang des Pulsmodulators an einen zweiten Eingang des OR-Logikgatters (426) gekoppelt ist, und wobei das OR-Logikgatter einen zweiten 1-Bit-Ausgang (434) aufweist.
  13. Pulsgenerator nach Anspruch 11 oder 12, bei dem der Füllerausgang (432) des Diskontinuitätsfüllers (424) außerdem an einen ersten Rücksetzeingang des Pulsmodulators (422) gekoppelt ist.
  14. Pulsgenerator nach Anspruch 11, bei dem das OR-Logikgatter (450) einen zweiten Eingang aufweist, dem ein Mehrbit-Quellensignal zugeführt ist, und wobei das OR-Logikgatter einen Ausgang (454) aufweist, der an den ersten Mehrbit-Eingang des Pulsmodulators (442) gekoppelt ist.
  15. Pulsfolgegenerator nach einem der vorangehenden Ansprüche, bei dem der Diskontinuitätsfüller (424; 444) weiterhin aufweist: einen Zähler mit einem Zähler-Rücksetzeingang und mit einem Ruhezeitzähler-Ausgang aufweist; einen Vergleichsschwellenspeicher, der einen maximalen Ruhezeitzähler-Schwellenwert enthält; und einen Vergleicher zum Vergleichen eines Signals am Ruhezeitzähler-Ausgang mit dem maximalen Ruhezeitzähler-Schwellenwert, wobei der Komparator einen Füllerausgang als Komparatorausgang aufweist, der anzeigt, ob das Signal am Ruhezeitzähler-Ausgang gleich dem maximalen Ruhezeitzähler-Schwellenwert oder größer als der maximal Ruhezeitzähler-Schwellenwert ist.
  16. Verfahren zum Erzeugen einer Ausgangspulsfolge, das aufweist: Pulsmodulieren eines ersten Mehrbit-Signals, um eine erste 1-Bit-Folge zu erzeugen; Überwachen der ersten 1-Bit-Folge auf Ruheperioden; und wenn eine Ruheperiode ermittelt wird, die gleich oder größer einem Diskontinuitätsschwellenwert ist, Einfügen wenigstens eines Füllpulses in die 1-Bit-Pulsfolge.
  17. Verfahren nach Anspruch 16, bei dem das Einfügen des wenigstens einen Füllpulses das Einfügen eines Füllpulses direkt in die 1-Bit-Pulsfolge umfasst, wobei die 1-Bit-Pulsfolge die erste 1-Bit-Pulsfolge kombiniert mit dem Füllpuls aufweist.
  18. Verfahren nach Anspruch 17, das weiterhin aufweist: Rücksetzen des Pulsmodulators mit dem Füller-Ausgangssignal, wenn eine Ruheperiode detektiert wird, die gleich oder größer als die Diskontinuitätsschwelle ist.
  19. Verfahren nach Anspruch 16, bei dem die Ausgangspulsfolge die erste 1-Bit-Pulsfolge ist, und wobei das Einfügen des Füller-Ausgangssignals das Hinzufügen eines Wertes ungleich Null zu dem Mehrbit-Eingangssignal vor der Pulsmodulation umfasst.
  20. Verfahren nach einem der Ansprüche 16 bis 19, das weiterhin aufweist: Ansteuern einer LED mit der 1-Bit-Ausgangspulsfolge.
DE102011076692.8A 2010-05-28 2011-05-30 Pulsmodulationsanordnung und pulsmodulationsverfahren Active DE102011076692B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/790,352 2010-05-28
US12/790,352 US8232902B2 (en) 2010-05-28 2010-05-28 Pulse modulation devices and methods

Publications (2)

Publication Number Publication Date
DE102011076692A1 true DE102011076692A1 (de) 2011-12-01
DE102011076692B4 DE102011076692B4 (de) 2014-11-20

Family

ID=44924887

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102011076692.8A Active DE102011076692B4 (de) 2010-05-28 2011-05-30 Pulsmodulationsanordnung und pulsmodulationsverfahren

Country Status (3)

Country Link
US (1) US8232902B2 (de)
CN (1) CN102332897B (de)
DE (1) DE102011076692B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220183123A1 (en) * 2020-12-09 2022-06-09 Elmos Semiconductor Se Biolgically safe control of led lamps

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5544231B2 (ja) * 2010-07-15 2014-07-09 富士フイルム株式会社 内視鏡光源装置及び内視鏡システム
US8278987B2 (en) 2010-08-11 2012-10-02 Micro Technology, Inc. Duty cycle based phase interpolators and methods for use
US9564885B2 (en) 2011-12-05 2017-02-07 Rambus Inc. Event-driven clock duty cycle control
US8902089B2 (en) 2012-05-02 2014-12-02 Qualcomm Incorporated Systems and methods for performing digital modulation
US8866655B2 (en) 2012-08-10 2014-10-21 Infineon Technologies Ag Modulator with variable quantizer
US8829816B2 (en) 2012-08-10 2014-09-09 Infineon Technologies Ag Linear walk arrangement
US9055632B2 (en) 2012-08-10 2015-06-09 Infineon Technologies Ag Bit packer for control signals
US9036657B2 (en) * 2013-01-14 2015-05-19 Infineon Technologies Ag Variable load driver with power message transfer
US9769550B2 (en) 2013-11-06 2017-09-19 Nvidia Corporation Efficient digital microphone receiver process and system
US9454975B2 (en) * 2013-11-07 2016-09-27 Nvidia Corporation Voice trigger
EP2927805A1 (de) * 2014-03-31 2015-10-07 Nxp B.V. Steuersystem
US9690727B2 (en) * 2014-10-31 2017-06-27 Atmel Corporation System internal latency measurements in realtime applications
CN104485925B (zh) * 2014-12-10 2017-03-29 中国电子科技集团公司第二十研究所 塔康信标随机填充脉冲产生方法
US10339850B2 (en) * 2015-08-06 2019-07-02 Nvidia Corporation Low-latency display
CN106817138B (zh) * 2017-01-09 2019-03-05 东南大学 一种射频发射机及其信号产生方法
CN110472200B (zh) * 2019-07-29 2023-10-27 深圳市中兴新云服务有限公司 一种基于表单的数据处理方法、装置及电子设备

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4660162A (en) 1984-08-31 1987-04-21 Raytheon Company Interpolation pulse duration modulated multiplier
DE4109233A1 (de) * 1991-03-21 1992-09-24 Rexroth Mannesmann Gmbh Digitale ansteuerelektronik mit pulsweitenmoduliertem (pwm)-ausgangssignal zum ansteuern elektrischer stellglieder eines hydraulischen systems
CN1115770C (zh) * 1999-05-08 2003-07-23 阎文革 1比特全数字高效率功率放大器
US7176948B2 (en) 2000-04-12 2007-02-13 Honeywell International Inc. Method, apparatus and computer program product for controlling LED backlights and for improved pulse width modulation resolution
US20020101198A1 (en) 2000-12-18 2002-08-01 Kemp William Harry LED lamp with color and brightness controller for use in wet, electrically hazardous bathing environments
US6586890B2 (en) 2001-12-05 2003-07-01 Koninklijke Philips Electronics N.V. LED driver circuit with PWM output
KR20070065375A (ko) * 2004-09-14 2007-06-22 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 펄스폭 변조 장치
US20070064007A1 (en) 2005-09-14 2007-03-22 Childers Winthrop D Image display system and method
US7321203B2 (en) 2006-03-13 2008-01-22 Linear Technology Corporation LED dimming control technique for increasing the maximum PWM dimming ratio and avoiding LED flicker
US7667625B2 (en) * 2007-02-28 2010-02-23 Exar Corporation Universal and fault-tolerant multiphase digital PWM controller for high-frequency DC-DC converters
EP2230885B1 (de) 2009-03-12 2010-11-10 Infineon Technologies Austria AG Sigma-Delta-Stromquelle und LED-Treiber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220183123A1 (en) * 2020-12-09 2022-06-09 Elmos Semiconductor Se Biolgically safe control of led lamps
US11751305B2 (en) * 2020-12-09 2023-09-05 Elmos Semiconductor Se Biologically safe control of LED lamps

Also Published As

Publication number Publication date
US20110291584A1 (en) 2011-12-01
US8232902B2 (en) 2012-07-31
DE102011076692B4 (de) 2014-11-20
CN102332897B (zh) 2014-11-26
CN102332897A (zh) 2012-01-25

Similar Documents

Publication Publication Date Title
DE102011076692B4 (de) Pulsmodulationsanordnung und pulsmodulationsverfahren
DE102004004319B4 (de) Steuervorrichtung für eine Licht emittierende Diode
DE4109233C2 (de)
EP2474200B1 (de) Betrieb von pulsmodulierten leds
DE102010041227A1 (de) System und Verfahren zum nicht-linearen Dimmen einer Lichtquelle
DE102004056979A1 (de) Leuchtensteuersystem
DE3719713C2 (de)
EP3053410B1 (de) Verfahren zur erzeugung einer sequenz von binären codewörtern eines mehrbit-codes für ein ansteuersignal für einen verbraucher
WO2012145775A1 (de) Vorrichtung zur steuerung eines beleuchtungsgeräts
WO2015074891A1 (de) Steuern eines wenigstens zwei halbleiterlichtquellen aufweisenden leuchtmittels
DE10357776B4 (de) Steueranordnung mit Leuchtdioden
DE102018126249A1 (de) Verfahren zum ansteuern mehrerer lichtemitierender dioden und anstuerschaltung
WO2006027343A1 (de) Verfahren zur steuerung der energiezufuhr von einer stromquelle an einen stromverbraucher
EP3072358A1 (de) Steuern eines wenigstens zwei halbleiterlichtquellen aufweisenden leuchtmittels
DE60203248T2 (de) Digital programmierbarer Umwandler zur Verkleinerung des Tastverhältnisbereichs einer pulsbreitenmodulierten Kodierung
DE102006010311B3 (de) Anordnung zur Ansteuerung von LEDs
EP3235349B1 (de) Helligkeitsregelung einer lichtsignalanlage
DE60316030T2 (de) Vorrichtung und verfahren zur sicheren handhabung eines asynchronen herunterfahrens eines impulsbreitenmodulierten ausgangssignals
DE60117837T2 (de) Schaltungsanordnung
DE102014202077A1 (de) Digitale Schaltung zur Erzeugung eines pulsweitenmodulierten Signals, insbesondere zur Regelung einer analogen Größe
WO2018184920A1 (de) Dimmen von leuchtmitteln
EP1552611B1 (de) Verfahren und vorrichtung zur erzeugnung von zwei- oder mehrkanaligen pulsweitenmodulierten rechteckpulsen
DE102017205779A1 (de) Dimmen von Leuchtmitteln mittels Pulsweitenmodulation und zusätzlicher Feinregelung der Abgabeleistung
DE102020132878B3 (de) Leuchte und Verfahren mit biologisch unbedenkliche Ansteuerung von LED-Leuchtmitteln
DE102013108257A1 (de) Verfahren und Einrichtung zum Dimmen von LED

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R082 Change of representative