DE102008026211A1 - Halbleiterbauelement mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht - Google Patents

Halbleiterbauelement mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht Download PDF

Info

Publication number
DE102008026211A1
DE102008026211A1 DE102008026211A DE102008026211A DE102008026211A1 DE 102008026211 A1 DE102008026211 A1 DE 102008026211A1 DE 102008026211 A DE102008026211 A DE 102008026211A DE 102008026211 A DE102008026211 A DE 102008026211A DE 102008026211 A1 DE102008026211 A1 DE 102008026211A1
Authority
DE
Germany
Prior art keywords
layer
dielectric
mask
metal
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102008026211A
Other languages
English (en)
Other versions
DE102008026211B4 (de
Inventor
Michael Grillberger
Matthias Lehr
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Original Assignee
AMD Fab 36 LLC and Co KG
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AMD Fab 36 LLC and Co KG, Advanced Micro Devices Inc filed Critical AMD Fab 36 LLC and Co KG
Priority to DE102008026211A priority Critical patent/DE102008026211B4/de
Priority to US12/401,887 priority patent/US20090294921A1/en
Publication of DE102008026211A1 publication Critical patent/DE102008026211A1/de
Application granted granted Critical
Publication of DE102008026211B4 publication Critical patent/DE102008026211B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Es wird eine dielektrische Deckschicht eines modernen Metallisierungssystems in lokal beschränkter Weise vorgesehen, um damit einen direkten Kontakt des dielektrischen Materials einer Metallisierungsschicht mit einem dielektrischen Material mit kleinem epsilon mit einer nachfolgenden Metalliserungsschicht zu ermöglichen, wodurch sich eine bessere Haftung und eine insgesamt bessere mechanische Integrität ergeben.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Offenbarung integrierte Schaltungen und betrifft insbesondere die Metallisierungsschichten mit geringerer Permittivität unter Verwendung von dielektrischen Materialien mit kleinem ε und dielektrischen Deckschichten zum Einschluss einer oberen Fläche von Metallleitungen.
  • Beschreibung des Stands der Technik
  • In einer integrierten Schaltung wird eine große Anzahl von Schaltungselementen, Transistoren, Kondensatoren, Widerstände und dergleichen in oder auf einem geeigneten Substrat für gewöhnlich einer im Wesentlichen ebenen Konfiguration hergestellt. Auf Grund der großen Anzahl an Schaltungselementen und der erforderlichen komplexen Gestaltung moderner integrierter Schaltungen können die elektrischen Verbindungen der einzelnen Schaltungselemente im Allgemeinen nicht innerhalb der gleichen Ebene hergestellt werden, in der die Schaltungselemente hergestellt sind. Typischerweise werden derartige elektrische Verbindungen in einer oder mehreren zusätzlichen „Verdrahtungsschichten” gebildet, die auch als Metallisierungsschichten bezeichnet werden. Diese Metallisierungsschichten enthalten im Allgemeinen metallenthaltende Leitungen, die die elektrische Verbindung innerhalb der Ebene schaffen, und enthalten auch mehrere Zwischenebenenverbindungen, die auch als Kontaktdurchführungen bezeichnet werden und mit einem geeigneten Metall gefüllt sind. Die Kontaktdurchführungen stellen eine elektrische Verbindung zwischen Metallleitungen zweier benachbarter gestapelter Metallisierungsschichten her, wobei die metallenthaltenden Leitungen und die Kontaktdurchführungen gemeinsam auch als Verbindungsstruktur bezeichnet werden.
  • Auf Grund der fortschreitenden Forderung nach Verringerung der Strukturgrößen von sehr komplexen Halbleiterbauelementen, werden gut leitende Metalle, etwa Kupfer und Legierungen davon in Verbindung mit dielektrischen Materialien mit kleinem ε häufig als Alternative bei der Herstellung von Metallisierungsschichten eingesetzt. Typischerweise werden mehrere Metallisierungsschichten aufeinander gestapelt, um die Verbindungen zwischen allen inneren Schaltungselementen und von I/O-(Eingabe/Ausgab) Anschlüssen, Leistungs- und Masseanschlussflächen des betrachteten Schaltungsaufbaus zu realisieren.
  • Für äußerst größenreduzierte integrierte Schaltungen ist die Signalausbreitungsverzögerung und damit die Arbeitsgeschwindigkeit der integrierten Schaltung nicht mehr durch die Feldeffekttransistoren begrenzt, sondern auf Grund der erhöhten Dichte an Schaltungselementen, die eine noch größere Anzahl an elektrischen Verbindungen erfordert, durch den geringen Abstand der Metallleitungen beschränkt, da die Kapazität zwischen Leitungen erhöht ist, wozu auch noch die Tatsache kommt, dass die Metallleitungen eine beschränkte Leitfähigkeit auf Grund des geringeren Querschnitts besitzen. Aus diesem Grunde werden übliche Dielektrika, etwa Siliziumdioxid (ε > 4) und Siliziumnitrid (ε > 7) durch dielektrische Materialien mit geringerer Permittivität besitzt, die daher auch als Dielektrika mit kleinem ε mit einer relativen Permittivität von drei oder weniger bezeichnet werden. Die geringere Permittivität dieser Materialien mit kleinem ε wird häufig durch das Vorsehen des dielektrischen Materials in einem porösen Zustand erreicht, wodurch ein ε-Wert deutlich unter 3,0 möglich ist, wobei derartige Materialien auch als Materialien mit Ultra-kleinem ε bezeichnet werden. Auf Grund der inhärenten Eigenschaften, etwa einen hohen Maß an Porosität des dielektrischen Materials ist jedoch die Dichte und damit die mechanische Stabilität oder Festigkeit deutlich geringer im Vergleich zu gut bewährten Dielektrika, etwa Siliziumdioxid und Siliziumnitrid.
  • Auf Grund der Herstellung von kupferbasierten Metallisierungsschichten wird eine sogenannte Damaszener- oder Einlegetechnik häufig eingesetzt auf Grund der Eigenschaften des Kupfers, keine flüchtigen Ätzprodukte zu bilden, wenn dieses mit gut etablierten anisotropen Ätzumgebungen behandelt wird. Des weiteren kann Kupfer auch nicht effizient mit hohen Abscheideraten auf der Grundlage gut etablierter Abscheidetechniken aufgebracht werden, die typischerweise für Aluminium eingesetzt werden, etwa CVD (chemische Dampfabscheidung). Daher wird in der Einlegetechnik das dielektrische Material strukturiert um Gräben und/oder Kontaktöffnungen zu erhalten, die nachfolgend mit dem Metall durch elektrochemische Abscheidetechnikten gefüllt werden.
  • Bekanntlich diffundiert Kupfer gut in einer Vielzahl von Materialien, etwa Silizium, Siliziumdioxid und auch in vielen dielektrischen Materialien mit kleinem ε, die typischerweise in aufwendigen Metallisierungssystemen verwendet werden. Aus diesem Grunde muss ein direkter Kontakt von kupferbasierten Materialien mit vielen dielektrischen Materialien, Siliziumdioxid und Materialien mit kleinem ε oder sehr kleinem ε vermieden werden, wodurch geeignete Barrierenmaterialien erforderlich sind, die für die gewünschte diffusionsblockierende Wirkung sorgen, um damit eine unerwünschte Diffusion von Kupferatomen zu unterdrücken. Des weiteren sollen die entsprechenden Barrierenmaterialien auch eine Wechselwirkung reaktiver Komponenten, etwa von Sauerstoff, Fluor und dergleichen unterdrücken, die in geringsten Mengen in dem umgebenden Material vorhanden sein können. Aus diesem Grunde werden häufig geeignete leitende Barrierenmaterialien, etwa Tantal, Tantalnitrid und dergleichen, eingesetzt, um in geeigneter Weise das Kupfermetall innerhalb der Kontaktdurchführungen und Metallleitungen einzuschließen, wobei auch für ein gewünschtes mechanisches und elektrisches Verhalten der betrachteten Metallgebiete gesorgt wird. D. h., obwohl Kupfer einen deutlich geringeren Widerstand und ein höheres Widerstandsvermögen gegenüber Elektromigration im Vergleich zu beispielsweise Aluminium in modernen Halbleiterbauelementen aufweist, treten dennoch moderat hohe Stromdichten während des Betriebs des Bauelements auf Grund der hohen Packungsdichte der Bauelement auf, die eine entsprechende Verringerung der Querschnittsflächen der Metallleitungen in dem Metallisierungssystem erfordert. Folglich repräsentiert die Elekgromigration, d. h. das Wandern von Kupferatomen in der Richtung des Elektronenstromes, einen der wesentlichen Ausfallmechanismen moderner Halbleiterbauelemente, da eine Zunahme der gerichteten Diffusion von Kupferatomen entlang der Metallleitungen und Kontaktdurchführungen schließlich zu einer Zunahme des Widerstands der entsprechenden Verbindungsstruktur führt und schließlich einen gesamten Ausfall nach sich zieht, da die Elektromigration wesentlich von den Eigenschaften entsprechender Grenzflächen abhängt, die mit Barrierenmaterialien, dieelektrischen Materialien und dergleichen gebildet sind. Somit sorgen gut etablierte leitende Barrierenmaterialien für eine Verstärkung der entsprechenden Bindungen im Grenzflächenbereich, wodurch diese Grenzflächen weniger zu einem vorzeitigen durch Elektromigration hervorgerufenen Ausfall führen. Andererseits wird typischerweise eine dielektrische Barrierenschicht oder Deckschicht auf der Oberseite der fertiggestellten Metallleitung gebildet, die gleichzeitig als eine Ätzstoppschicht zum Strukturieren einer nachfolgenden Metallisierungsschicht dient, wobei ebenfalls für die gewünschten Barrieren- und Elektromigrationseigenschaften zu sorgen ist. Beispielsweise ist Siliziumnitrid ein dielektrisches Material, das in ausreichender Weise die Diffusion von Kupfer unterdrücken kann und auch ein akzeptables Elektromigrationsverhalten aufweist. Andere geeignete Materialien, etwa stickstoffenethaltendes Siliziumkarbid und dergleichen, können ebenfalls als effiziente Deckschichten für Metallleitungen eingesetzt werden.
  • Bei dem stetig abnehmenden Strukturgrößen in der Bauteilebene müssen jedoch auch geringere Abmessungen für Metallleitungen und Kontaktdurchführungen eingeführt werden, wobei auch die gesamte parasitäre Kapazität zu verringern ist, insbesondere in Metallisierungsschichten, in denen die parasitäre Kapazität zwischen benachbarten Metallleitungen wesentlich zur gesamten Signalausbreitungsverzögerung beiträgt. Die entsprechenden dielektrischen Materialien mit einer deutlich geringeren dielektrischen Konstante von weniger als 3,0 führen jedoch zu einem deutlichen Ausbeuteverlust auf Grund mechanischer Instabilitäten, wie dies mit Bezug zu den 1a bis 1d nachfolgend detaillierter beschrieben ist.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100, in welchem ein dielektrisches Material mit geringerer Permittivität, beispielsweise mit einem Wert von 3,0 und deutlich weniger, gemäß einer Einlegetechnik strukturiert wird, in der beispielsweise eine Kontaktdurchführung und eine Metallleitung strukturiert und in einer gemeinsamen Prozesssequenz gefüllt werden. Das Halbleiterbauelement 100 umfasst ein Substrat 101, das ein beliebiges geeignetes Trägermaterial repräsentiert, um darauf Schaltungselemente und dergleichen herzustellen, wie dies für den Gesamtaufbau des Halbleiterbauelements 100 erforderlich ist. Der Einfachheit halber sind derartige Schaltungselemente, etwa Transistoren, Kondensatoren, Widerstände und dergleichen nicht gezeigt. Wie zuvor erläutert ist, sind typischerweise eine oder mehrere Metallisierungsschichten erforderlich, um die elektrischen Verbindungen zwischen den diversen Schaltungselementen bereitzustellen, die in und über dem Substrat 101 vorgesehen sind. In dem gezeigten Beispiel ist eine erste Metallisierungsschicht 110, die nicht notwendigerweise die aller erste Metallisierungsebene vorgesehen und enthält eine geeignete dielektrische Schicht 111, beispielsweise in Form eines geeigneten dielektrischen Materials, etwa Siliziumdioxid und dergleichen. Die dielektrische Schicht 111 enthält auch ein dielekterisches Material mit kleinem ε, wobei dies von den gesamten Bauteilerfordernissen abhängt. Beispielsweise repräsentiert in komplexen Metallisierungssystemen die parasitäre Kapazität nicht notwendigerweise eine Beschränkung, so dass die Verwendung mechanisch robusterer dielektrischer Materialien, etwa Siliziumdioxid, als geeignet erachtet wird, während in anderen Metallisierungsebenen, etwa der Metallisierungsschicht 120 ein dielektrisches Material mit kleinem ε vorzusehen ist, um die Erfordernisse im Hinblick auf das elektrische Leistungsverhalten der Metallisierungsschicht 120 zu erfüllen. Die dielektrische Schicht 111 umfasst mehrere Metallgebiete, etwa eine Metallleitung 112, die ein gut leitendes Metall, etwa Kupfer, möglicherweise in Verbindung mit einem geeigneten leitenden Barrierenmaterial 112b aufweist. Beispielsweise ist das Barrierenmaterial 112b aus Tantal und Tantalnitrid aufgebaut, wodurch für die Barriereneigenschaften gesorgt ist, während auch die Haftung des gut leitenden Metallkerns 112a in Bezug auf das umgebende dielektrische Material 111 verbessert ist. Des weiteren ist eine dielektrische Deckschicht 113, die beispielsweise aus Siliziumnitrid, stickstoffenthaltendem Siliziumkarbid und dergleichen aufgebaut ist, auf der dielektrischen Schicht 111 und dem Metallgebiet 112 gebildet, wodurch eine Grenzufläche 113s mit dem Metallgebiet 112 erzeugt wird, deren Eigenschaften einen wesentlichen Einfluss auf das gesamte elektrische Verhalten des Metallgebiets 112 besitzt, wie dies zuvor beschrieben ist.
  • Das Halbleiterbauelement 100 umfasst ferner ein dielektrisches Material 121 in der zweien Metallisierungsschicht 120, das ein dielektrisches Material mit kleinem ε repräsentiert und das aus einer beliebigen geeigneten Materialzusammensetzung, etwa siliziumbasierten Materialien, Polymermaterialien und dergleichen aufgebaut ist, wobei auch ein gewisser Grad an Porosität zum Erhalten einer geringeren dielektrischen Konstante vorgesehen werden kann, wodurch sich jedoch auch eine geringere mechanische Stabilität ergibt. Es sollte beachtet werden, dass in einigen konventionellen Lösungen die dielektrische Schicht 121 einen Oberflächenbereich mit verbesserten mechanischen Eigenschaften besitzt, um damit Schäden während der nachfolgenden Bearbeitung, beispielsweise im Hinblick auf Ätzprozesse, chemisch-mechanische Polierprozesse und dergleichen, zu verringern. Der Einfachheit halber sind Oberflächenschichten in 1a nicht gezeigt. Ferner ist in dieser Fertigungsphase eine Ätzmaske 102 über der dielektrischen Schicht 121 gebildet und besitzt eine Öffnung 102a, die im Wesentlichen die laterale Größe einer in dem dielektrischen Material 121 zu bildenden Kontaktlochöffnung definiert. Die Ätzmaske 102 umfasst ein Lackmaterial, möglicherweise in Verbindung mit einem ARC-(antireflektierenden Beschichtungs-)Material, das aus etwa Siliziumoxidnitrid und dergleichen aufgebaut ist, das auch ein als ein Hartmaskenmaterial während des Strukturierens des dielektrischen Materials 121 verwendet werden kann. D. h., in modernsten Bauelementen, etwa dem Bauelement 100, wird typischerweise ein Lithographieprozess mit moderat kurzer Wellenlänge der Belichtungsstrahlung angewendet, wodurch ebenfalls eine angepasste Dicke des Lackmaterials erforderlich ist, die in Form eines positiven Lackmaterials oder negativen Lackmaterials in Abhängigkeit der gesamten Prozessstrategie vorgesehen wird. Eine Dicke des Lackmaterials, das in der Ätzmaske 102 verwendet wird, ist jedoch unter Umständen nicht ausreichend, um dem Ätzangriff während eines nachfolgenden Ätzprozesses zu wiederstehen, wodurch ein zusätzliches Maskenmaterial erforderlich ist, das zuverlässig auf der Grundlage des zuvor belichteten und entwickelten Lackmaterials strukturiert werden kann.
  • Das in 1a gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden konventionellen Prozesstechniken hergestellt werden. Nach dem Erzeugen von Schaltungselementen in und über dem Substrat 101, das auf Grundlage gut etablierter Prozessstrategien erfolgen kann, werden ein oder mehrere Metallisierungsschichten hergestellt, etwa die Metallisierungsschicht 110. Zu diesem Zweck wird ein geeignetes dielektrisches Material, etwa ein dielektrisches Material mit kleinem ε und dergleichen abgeschieden, beispielsweise durch CVD und dergleichen, woran sich ein Strukturierungsschema anschließt, wie es in ähnlicher Weise zur Herstellung der Äztmaske 102 und zum Strukturieren des dielektrischen Materials 121 angewendet wird. Somit wird die Beschreibung der entsprechenden Strukturierungssequenz weggelassen. Nach dem Strukturieren des dielektrischen Materials 111, um einen geeigneten Graben zu erhalten, wird das Barrierenmaterial 112 abgeschieden, beispielsweise durch Sputter-Abscheidung, woran sich eine elektrochemische Abscheidung des Materials 112a anschließt, was durch eine stromlose Abscheidung, durch Elektroplattieren und dergleichen möglicherweise in Verbindung mit einem vorhergehenden Abscheiden eines Saatmaterials erreicht werden kann. Danach wird überschüssiges Material etwa durch chemisch-mechanisches Polieren (CMP) entfernt. Als nächstes wird die dielektrische Deckschicht 113 gebildet, beispielsweise durch plasmaunterstützte CVD und dergleichen. Es sollte beachtet werden, dass die Deckschicht 113 zwei oder mehr Teilschichten aufweisen kann, wenn dies gewünscht ist. Anschließend wird das dielektrische Material mit kleinem ε 121 abgeschieden, beispielsweise durch CVD, Aufschleudertechniken und dergleichen, wobei dies von der Art des vorzusehenden Materials mit kleinem ε abhängt. Wie zuvor erläutert ist, kann bei Bedarf eine Oberflächenbehandlung ausgeführt werden oder es kann eine Oberflächenschicht aufgebracht werden, um die gesamten mechanischen Eigenschaften der dielektrischen Schicht 121 zu verbessern. Danach werden ARC-Materialien und Hartmaskenmaterialien aufgebracht, woran sich das Abscheiden des Lackmaterials der Ätzmaske 102 anschließt. Daraufhin wird das Lackmaterial belichtet unter Anwendung einer entsprechenden Lithographiemaske, die so gestaltet ist, dass die Öffnung 102a beispielsweise auf der Grundlage eines positiven Lackmaterials bereitgestellt wird. D. h., in diesem Falle werden belichtete Bereiche des Lackmaterials eine photochemische Reaktion zeigen, so dass die belichteten Materialbereiche einen gewissen Schwellwert übersteigen und während eines nachfolgenden Entwicklungsprozesses entfernt werden. Auf der Grundlage des strukturierten Lackmaterials wird die Ätzmaske 102 gebildete und nachfolgend wird eine geeignete Ätzumgebung eingerichtet, um durch das dielektrische Material zu ätzen, während die dielektrische Deckschicht 113 als ein effizientes Ätzstoppmaterial verwendet wird.
  • 1b zeigt schematisch das Halbleiterbauelement 100 nach der oben beschriebenen Prozesssequenz und nach dem Entfernen der Ätzmaske 102. Wie gezeigt, ist eine Kontaktlochöffnung 121a in der dielektrischen Schicht 121 gebildet und erstreckt sich in die dielektrische Deckschicht 113.
  • 1c zeigt schematisch das Halbleiterbauelement 100 in einem weiter fortgeschrittenen Fertigungsstadium. Wie gezeigt, ist die Kontaktlochöffnung 121a mit einem Material 104 gefüllt, das ebenfalls eine geeignete ARC-Schicht über der dielektrischen Schicht 121 bildet. Zu diesem Zweck werden typischerweise geeignete Lackmaterialien, Polymermaterialien und dergleichen eingesetzt. Des weiteren ist eine Ätzmaske 103, beispielsweise in Form einer Lackmaske, auf dem Material 104 gebildet und besitzt eine Öffnung 103a, die der lateralen Größe eines in der dielektrischen Schicht 121 zu bildenden Grabens entspricht, um Metallleitungen für die Metallisierungsschicht 120 zu bilden.
  • Das in 1c gezeigte Bauelement 100 kann auf der Grundlage gut etablierter Techniken hergestellt werden, etwa dem Abscheiden des Materials 104, etwa durch Aufschleudertechniken und dergleichen, möglicherweise in Verbindung mit einem Einebnungsschritt, woran sich eine Lithographiesequenz anschließt, die das Abscheiden eines Lackmaterials, etwa eines positiven Lackmaterials, und dessen Belichtung auf der Grundlage einer Lithographiemaske beinhaltet, die zum Erzeugen einer Öffnung 103a in dem Lackmaterial nach dessen Entwicklung gestaltet ist. Im Hinblick auf eine geeignete Lackdicke sowie auf die Belichtungswellenlänge gelten die gleichen Kriterien, wie sie zuvor erläutert sind. Danach wird ein anisotroper Ätzprozess auf der Grundlage gut etablierter Techniken ausgeführt, wodurch eine entsprechende Öffnung in der dielektrischen Schicht 121 gebildet wird. Anschließend werden die Lackmaske 103 und das Material 104 entfernt und es werden auch die verbleibenden Bereiche der Schicht 113 in der Öffnung 121a entfernt, um einen Oberflächenbereich des Materials 112a freizulegen.
  • Es sollte beachtet werden, dass auch andere Strukturierungsschemata eingesetzt werden können, beispielsweise Einzel-Damaszener-Prozesse, in denen die Kontaktlochöffnung 121a gebildet und in einer separaten Prozesstechnik gefüllt wird und danach die Grabenöffnung auf der Grundlage der Lackmaske 103 in der zuvor beschriebenen Weise hergestellt wird. Nach der Strukturierungssequenz werden die resultierenden Öffnungen, d. h. die Kontaktlochöffnung 121a und eine entsprechende Grabenöffnung (nicht gezeigt) mit einem geeigneten Material gefüllt.
  • 1d zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der ein Barrierenmaterial 122b, beispielsweise in Form eines geeignetn leitenden Materials, etwa Tantal, Tantalnitrid, und dergleichen in der Kontaktlochöffnung 121a und einer Grabenöffnung 121b gebildet ist, während auch ein Metall vorgesehen ist, wodurch ein Metallgebiet 121 innerhalb der Öffnungen 121b, 121a gebildet wird. Das leitende Barrierenmateral 122b kann durch Sputterabscheidung und dergleichen aufgebracht werden, wie dies auch zuvor mit Bezug zu dem Barrierenmaterial 112b erläutert ist, während das Metall für das Metallgebiet 122, beispielsweise Kupfer, auf der Grundlage gut etablierter elektrochemischer Abscheidetechniken gebildet werden kann. Um die Öffnungen 121a, 121b zuverlässig zu füllen, wird typischerweise eine gewisse Menge an Überschussmaterial 121e vorgesehen, das nachfolgend mittels einer geeigneten Einebnungstechnik entfernt wird, die für gewöhnlich einen CMP-Prozess umfasst. Während des CMP-Prozesses 105 werden auch Bereiche des Barrierenmaterials 122b außerhalb des Metallgebiets 122 entfernt, wodurch elektrisch isolierte Metallleitungen entstehen. Jedoch ist auf Grund der geringeren mechanischen Stabilität des dielektrischen Materials 121 mit kleinem ε und insbesondere auf einer geringeren Haftung des Materials 121 an das dielektrische Deckschichtmaterial 113 ggf. eine deutliche Ablösung wahrzunehmen, wie dies zuvor erläutert ist und als 113a gekennzeichnet ist, die zu einer geringeren Zuverlässigkeit und schließlich auch zu einer geringeren Ausbeute führt.
  • Folglich werden große Anstrengungen unternommen, um neue Materialien für die dielektrische Deckschicht 113 zu entwickeln, um eine erhöhte Haftung zu ermöglichen, wodurch ausgeprägte Modifizierungen an den gesamten Prozesssequenzen erforderlich sind, wodurch auch möglicherweise die Barriereneigenschaften, die Ätzstoppeigenschaften und andere Eigenschaften der dielektrischen Deckschicht 113 negativ beeinflusst werden.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Offenbarung Verfahren und Halbleiterbauelemente, in denen eine bessere mechanische Integrität von Metallisierungssystemen in Verbindung mit dielektrischen Materialien mit kleinem ε erreicht wird, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest reduziert werden.
  • Überblick über die Offenbarung
  • Im Allgemeinen betrifft die vorliegende Offenbarung Halbleiterbauelemente und Verfahren, in denen die mechanische Stabilität eines Metallisierungssystems in modernsten Halbleiterbauelementen verbessert wird, indem die Haftung eines dielektrischen Materials mit kleinem ε an einem darunter liegenden dielektrischen Zwischenschichtmaterial erhöht wird, indem eine entsprechende dielektrische Deckschicht in einer räumlich beschränkten Weise vorgesehen wird, so dass wesentliche Teile des dielektrischen Materials mit kleinem ε nicht mit der dielektrischen Deckschicht in Kontakt sind. Auf diese Weise wird die gesamte mechanische Stabilität erhöht, da diversen dielektrischen Zwischenschichtmaterialien der benachbarten Metallisierungsebenen im Allgemeinen ein besseres Haftungsverhalten zueinander aufweisen, so dass das Vorsehen von Grenzflächenbereichen zwischen den zwei aufeinanderfolgenden dielektrischen Schichten somit zu einer insgesamt besseren Stabilität führt, wobei gleichzeitig gut etablierte dielektrische Deckschichtmaterialien verwendet werden können, die im Wesentlichen auf die Metallleitung beschränkt sind. Folglich wird das gute Elektromigrationsverhalten beibehalten und es besteht auch ein hohes Maß an Kompatibilität mit gut etablierten Prozessen und Materialien.
  • Ein anschauliches hierin offenbartes Verfahren betrifft das Herstellen einer Metallisierungsschicht für Halbleiterbauelemente. Das Verfahren umfasst das Bilden einer dielektrischen Deckschicht auf einer dielektrischen Schicht und einem Metallgebiet, das in der dielektrischen Schicht gebildet ist, wobei die dielektrische Deckschicht und das Metallgebiete eine Grenzfläche bilden. Des weiteren umfasst das Verfahren das Entfernen der dielektrischen Deckschicht von zumindest einem Teil der dielektrischen Schicht, während die Grenzfläche beibehalten wird.
  • Ein weiteres anschauliches hierin offenbartes Verfahren umfasst das Bilden einer dielektrischen Deckschicht auf einer dielektrischen Schicht einer Metallisierungsschicht eines Halbleiterbauelements, wobei die dielektrische Schicht ein Metallgebiet aufweist, das eine Grenzfläche mit der dielektrischen Deckschicht bildet. Das Verfahren umfasst ferner das Bilden einer Maske über der dielektrischen Deckschicht, um zumindest das Metallgebiet abzudecken. Schließlich umfasst das Verfahren das Entfernen der dielektrischen Deckschicht von einem Teil der dielektrischen Schicht, der nicht an der Maske bedeckt ist.
  • Ein anschauliches hierin offenbartes Halbleiterbauelement umfasst ein dielektrisches Material mit kleinem ε, das über einem Substrat ausgebildet ist, und es sind mehrere Metallleitungen in dem dielektrischen Material mit kleinem ε ausgebildet. Ferner umfasst das Halbleiterbauelement eine dielektrische Deckschicht, die auf den Metallleitungen gebildet ist, um eine Grenzfläche mit jeder der Metallleitungen zu bilden, wobei die dielektrische Deckschicht sich lateral von jeder der Metallleitungen mit einem Abstand erstreckt, der kleiner ist als die Hälfte eines Abstands zwischen zwei benachbarten Metallleitungen.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1d schematisch Querschnittsansichten eines Halbleiterbauelements 100 während diverser Fertigungsphasen bei der Herstellung einer Metallisierungsschicht auf der Grundlage einer dielektrischen Deckschicht gemäß konventioneller Strategien zeigen;
  • 2a bis 2d schematisch Querschnittsansichten eines Halbleiterbauelements mit diverser Fertigungsphasen zeigen, wenn eine dielektrische Deckschicht hergestellt und diese auf der Grundlage einer Maske gemäß anschaulicher Ausführungsformen strukturiert wird;
  • 2e und 2f schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zeigen, in denen eine Maske zum Strukturieren der dielektrischen Deckschicht auf Grundlage einer ähnlichen Lithographiesequenz gebildet wird, wie sie zur Herstellung eines darunter liegenden Metallgebiets gemäß noch weiterer anschaulicher Ausführungsformen verwendet wird;
  • 2g bis 2j schematisch Querschnittsansichten des Halbleiterbauelements während diverser Fertigungsphasen zeigen, in denen die dielektrische Deckschicht auf der Grundlage einer selbstjustierten Prozessstrategie gemäß noch weiterer anschaulicher Ausführungsformen strukturiert wird; und
  • 2k und 2l schematisch eine Querschnittsansicht bzw. eine Draufsicht des Halbleiterbauelements zeigen, das Metallleitungen mit einer dielektrischen Deckschicht aufweist, die räumlich so beschränkt sind, dass insgesamt die mechanische Stabilität gemäß anschaulicher Ausführungsformen verbessert wird.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung und in den Zeichnungen dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Offenbarung auf die speziellen anschaulichen Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Offenbarung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen betrifft die vorliegende Offenbarung Halbleiterbauelemente und Verfahren zu deren Herstellung, in denen eine dielektrische Deckschicht, die zum Einschluss eines Metalls dient, als ein Ätzstoppmaterial und dergleichen während der Herstellung komplexer Metallisierungssysteme verwendet wird, räumlich so beschränkt wird, dass ein direkter Kontakt des dielektrischen Zwischenschichtmaterials einer tieferliegenden Metallisierungsebene und des dielektrischen Zwischenschichtmaterials der nachfolgenden Metallisierungsebene ermöglicht wird, wodurch die Haftung zwischen den dielektrischen Materialien benachbarter Metallisierungsschichten verbessert wird. Die dielektrische Deckschicht wird in einer räumlich beschränkten Weise mittels Lithographie gebildet, wobei in einigen anschaulichen Ausführungsformen die gleiche Lithographiemaske verwendet wird, wodurch nicht unnötig zur gesamten Prozesskomplexität beigetragen wird. Beispielsweise wird eine Maske auf der Grundlage der Lithographiemaske gebildet, die zuvor zur Erzeugung der Gräben der Metallleitungen der tieferliegenden Metallisierungsschicht verwendet wurde, wobei bei Bedarf Prozessparameter so eingestellt werden, dass größere laterale Abmessungen erreicht werden, um damit eine zuverlässige Abdeckung des Metallgebiets durch die Maske zu ermöglichen. In anderen anschaulichen Ausführungsformen wird eine im Wesentlichen selbstjustierte Prozesssequenz eingesetzt, um die dielektrische Deckschicht zu strukturieren, wodurch zusätzliche Lithographieschritte vermieden werden, was insgesamt zu geringeren Herstellungskosten führt.
  • Mit Bezug zu den 2a bis 2l werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 2a zeigt schematisch ein Halbleiterbauelement 200 in einer gewissen Fertigungsphase, in der eine erste Metallisierungsschicht 210 über einem Substrat 201 vorgesehen ist. Das Substrat 201 repräsentiert ein beliebiges geeignetes Trägermaterial, um darüber ein Metallisierungssystem für ein Halbleiterbauelement zu bilden, in welchem dielektrische Materialien mit kleinem ε im Hinblick auf das elektrische Verhalten des betrachteten Metallisierungssystems vorzusehen sind. Beispielsweise repräsentiert das Substrat 201 ein Halbleitersubstrat, ein isolierendes Substrat und dergleichen, in welchem eine Halbleiterschicht (nicht gezeigt) gebildet ist, in und über welcher Schaltungselemente, etwa Transistoren und dergleichen vorzusehen sind. In anderen Fallen sind entsprechende Schaltungselemente nicht in dem Substrat 201 enthalten, wenn beispielsweise das Metallisierungssystem mit der Metallisierungsschicht 210 separat zu einer entsprechenden Bauteilschicht einer Mikrostruktur oder eines Halbleiterbauelements herzustellen ist. Wenn beispielsweise entsprechende Schaltungselemente in und über dem Substrat 201 vorgesehen sind, können kritische Abmessungen davon, etwa eine Gatelänge von Feldeffekttransistoren, ungefähr 50 nm oder weniger betragen, wodurch typischerweise auch anspruchsvolle Strukturierungsschemata und Materialien in der Metallisierungsschichten, etwa der Schicht 210 erforderlich sind. Ferner kann in der gezeigten Fertigungsphase die Metallisierungsschicht 210 ein dielektrisches Material 211 aufweisen, das ein dielektrisches Material mit kleinem ε enthalten kann, etwa ein Material mit einer dielektrischen Konstante von 3,0 oder kleiner. Die dielektrische Schicht 211 wird auch als dielektrisches Zwischenschichtmaterial bezeichnet, da es einen wesentlichen Bereich repräsentiert, der zwischen lateral benachbarten Metallleitungen und Metallgebieten, etwa der Metallleitung 212, der Metallisierungsschicht 210 gebildet ist. Daher können die Metallleitungen 212 als Metallschichten bezeichnet werden, während das dielektrische Material 211 als dessen Zwischenschichtmaterial betrachtet wird. Die Metallleitung 212 enthält ein geeignetes Metall 212a, etwa Kupfer, Kupferlegierungen, Silber, Aluminium und dergleichen, wobei dies von den gesamten Bauteilerfordernissen abhängt. Des weiteren ist in der gezeigten Ausführungsform ein Barrierenmaterial 212b in der Metallleitung 212 vorgesehen, wobei das Barrierenmaterial 212b ein leitendes Material repräsentiert, das die gewünschten Haftungseigenschaften, Diffusionsblockiereigenschaften, das Elektromigrationsverhalten und dergleichen bereitstellt, wie diese auch zuvor mit Bezug zu dem Halbleiterbauelement 100 erläutert ist. Ferner ist eine dielektrische Deckschicht 213 auf der dielektrischen Schicht 211 und der Metallleitung 212 gebildet, wodurch eine Grenzfläche 213s mit dem Metallgebiet 212 gebildet wird. Es sollte beachtet werden, das das Metallgebiet 212 zusätzlich zu der dielektrischen Deckschicht 213 auch eine leitende Deckschicht, beispielsweise in Form einer Legierung und dergleichen, aufweisen kann, um damit das gesamte Elektromigrationsverhalten an der Grenzfläche 213s weiter zu verbessern. Wie zuvor angegeben ist, wird die dielektrische Deckschicht 213 in Form einer geeigneten Materialzusammensetzung, etwa in Form von Siliziumnitrid, stickstoffenthaltendem Siliziumkarbid, Siliziumkarbid, einer Mischung zweier oder mehrerer dieser Materialien und dergleichen vorgesehen.
  • Das in 2a gezeigte Halbleiterbauelement 200 kann auf der Grundlage von im Wesentlichen den gleichen Prozesstechniken hergestellt werden, wie sie zuvor mit Bezug zu dem Bauelement 100 erläutert sind, wenn Bezug genommen wurde auf den Metallisierungsschichten 110 und 120. Jedoch wird im Gegensatz zu der konventionellen Prozessstrategie die dielektrische Deckschicht 213 strukturiert, um damit wesentliche Bereiche des dielektrischen Materials 211 vor der Herstellung einer weiteren Metallisierungsschicht freizulegen.
  • 2b zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist eine Maskenschicht 214, die beispielsweise aus einem Lackmaterial aufgebaut ist, über der dielektrischen Deckschicht 213 gebildet. Beispielsweise repräsentiert die Maskenschicht 214 ein geeignetes Lackmaterial, das zur Strukturierung der dielektrischen Deckschicht 213 auf der Grundlage einer Ätzmaske, die aus der Schicht 214 gebildet wird, geeignet ist. Zu diesem Zweck wird in einigen anschaulichen Ausführungsformen (nicht gezeigt) eine geeignete Lithographiemaske vorgesehen, die ein belichtendes Lackmaterial 214 derart ermöglicht, dass ein nicht entwickelter Bereich über dem Metallgebiet 212 gebildet wird, möglicherweise mit lateralen Abmessungen, die größer sind als die lateralen Abmessungen des Metallgebiets 212, so dass ein Prozessspielraum im Hinblick auf die Justiergenauigkeit gegeben ist. In anderen anschaulichen Ausführungsformen wird, wie gezeigt ist, das Lackmaterial der Maske 214 mit einem photochemischen Verhalten vorgesehen, das invers zu dem photochemischen Verhalten eines Lackmaterials ist, wie es zum Strukturieren einer Öffnung für das Metallgebiet 212 verwendet wurde. Wie zuvor mit Bezug zu dem Bauelement 100 und auf die Lackschicht 103 (siehe 1c) erläutert ist, kann ein Negativlack oder ein Positivlack in Verbindung mit einer geeigneten Lithographiemaske verwendet werden, um beispielsweise eine gewünschte Öffnung, etwa die Öffnung 103a aus 1c zu erhalten. Folglich kann unter Verwendung eines Lackmaterials mit entgegengesetztem photochemischen Verhalten die gleiche Lithographiemaske während einer Belichtung 215 zum Erzeugen eines latenten Bildes 214l verwendet werden, das einen Teil repräsentiert, der während einer nachfolgenden Entwicklung der belichteten Schicht 214 nicht zu entfernen ist. In einigen anschaulichen Ausführungsformen wird eine Dicke 214t der Lackschicht 214 so gewählt, dass das Gesamtverhalten des Belichtungsprozesses 215 im Vergleich zu den Belichtungsbedingungen während des vorhergehenden Lithographieprozesses zum Bilden einer Ätzmaske für die Öffnung des Metallgebiets 212 verbessert sind, wie dies auch zuvor mit Bezug zu dem Bauelement 100 erläutert ist, da in dem vorhergehenden Lithographieprozess die entsprechende Lackmaske als eine Ätzmaske zum Ätzen durch eine große Dicke des dielektrischen Materials 211 dienen muss, wodurch eine geeignete Anfangsdicke des Lackmaterials erforderlich war. Andererseits kann während der Belichtung 215 die geringere Dicke 214t verwendet werden, da die entsprechende Ätzmaske, d. h. der nicht entfernte Bereich des latenten Bild 214l, für einen Ätzprozess zum Ätzen durch die dielektrische Deckschicht 213 verwendet wird, die eine deutlich geringere Dicke im Vergleich zu der dielektrischen Schicht 211 aufweist, wodurch deutlich geringere Gesamtätzzeiten erforderlich sind.
  • Ferner kann während des Belichtungsprozesses 215 der Belichtungsdosis so gewählt werden, dass ein gewisses Maß an Überbelichtung auftritt, wodurch ebenfalls Energie an Randgebieten 214e des latenten Bildes 214l deponiert wird, obwohl die gleiche Lithographiemaske eingesetzt wird, die ansonsten einer lateralen Größe des Metallgebiets 212 entspricht. Folglich wird auch ein kritischer Schwellwert des Lackmaterials der Schicht 214 überschritten oder wird nicht erreicht, wobei dies von der Art des in den Randgebieten 214 verwendeten Lackmaterials abhängt, wodurch das latente Bild 214l mit größeren latenten Abbildungen bei Bedarf erhalten wird.
  • 2c zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist eine Maske 214m über dem Metallgebiete 212 angeordnet, wobei die laterale Größe der Maske 214m im Wesentlichen dem latenten Bild 214l entspricht. Die Maske 214m wird durch Entwickeln der belichteten Maskenschicht 214 unter Anwendung geeigneter Prozessparameter erhalten, die im Stand der Technik gut bekannt sind.
  • 2d zeigt schematisch das Halbleiterbauelement 200 während eines Ätzprozesses 216 zum wirksamen Entfernen eines Teils der dielektrischen Deckschicht 213, der von der Maske 214m bedeckt ist. Somit wird eine räumlich beschränkte Deckschicht 213a vorgesehen, die zumindest das Metallgebiet 212 abdeckt und sich möglicherweise lateral über das Metallgebiet 212 hinaus erstreckt, um damit einen gewissen Prozesstoleranzbedarf zu schaffen. Der Ätzprozess 216 kann auf der Grundlage plasmaunterstützter Ätzrezepte ausgeführt werden, die im Stand der Technik für eine Vielzahl von Deckmaterialien, wie sie zuvor angegeben sind, bekannt sind, während in anderen anschaulichen Ausführungsformen auch nasschemische Ätzrezepte eingesetzt werden, wenn eine ausreichende Ätzselektivität im Hinblick auf das darunter liegende dielektrische Material 211 erreicht wird. Wie zuvor mit Bezug zu dem Bauelement 100 erläutert ist, wird in einigen anschaulichen Ausführungsformen auch eine geeignet Oberflächenschicht des Materials 211 vorgesehen, beispielsweise durch Oberflächenbehandlung, Abscheidung und dergleichen, um damit die gesamten Eigenschaften des Materials 211 zu verbessern, ohne jedoch in unerwünschter Weise die gesamten dielektrischen Eigenschaften zu modifizieren. In diesem Falle wird eine gewisse erhöhte Ätzwiderstandsfähigkeit während des Prozesses 211 erreicht, wodurch der Grad an Flexibilität bei der Auswahl eines geeigneten Ätzrezepts erhöht wird. Beispielsweise können siliziumnitridbasierte Materialien effizient mittels einer Vielzahl plasmaunterstützter Chemien selektiv zu siliziumdioxidbasierten Materialien entfernt werden, wobei auch selektive nasschemische Ätzrezepte für diese Materialien verfügbar sind. Danach wird die Maske 214m durch gut etablierte Lackabtragungsprozesse entfernt und die weitere Bearbeitung wird fortgesetzt, indem ein dielektrisches Material mit kleinem ε gebildet und dieses strukturiert wird, um Kontaktlochöffnungen und Grabenöffnungen zu bilden, wie dies auch zuvor mit Bezug zu dem Halbleiterbauelement 100 erläutert ist, wenn auf die Metallisierungsschicht 120 verwiesen wird.
  • Mit Bezug zu den 2e und 2f werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen die Maske 214m auf der Grundlage einer Lithographiesequenz gebildet wird, die sehr ähnlich zur Lithographiesequenz ist, die zum Strukturieren des dielektrischen Materials 211 angewendet wird, um eine Öffnung für das Metallgebiet 212 zu schaffen.
  • 2e zeig schematisch das Halbleiterbauelement 200 mit einer Lackmaske 203, in der eine Öffnung 203 gebildet ist, die im Wesentlichen dem Metallgebiet 212 entspricht. Des weiteren ist die Maskenschicht 214 über der Lackschicht 203 gebildet, um im Wesentlichen vollständig die Öffnung 203a zu füllen. Beispielsweise wird die Maskenschicht 214 in Form eines Lackmaterials oder eines anderen Polymermaterials und dergleichen vorgesehen, das in sehr nicht-konformer Weise aufgebracht werden kann.
  • Die strukturierte Lackschicht 203 kann durch Abscheiden eines geeigneten Lackmaterials strukturiert werden, das gleiche photochemische Verhalten wie ein Lackmaterial besitzt, das zuvor zum Strukturieren der dielektrischen Schicht 211 verwendet wurde, wie dies auch zuvor erläutert ist. Somit können ähnliche Prozesstechniken eingesetzt werden, wobei auch in diesem Falle eine geringere Schichtdicke für die Schicht 203 verwendet werden kann, um damit das Gesamtverhalten des Lithographieprozesses zu verbessern, wie dies auch zuvor diskutiert ist. Es sollte beachtet werden, dass in einigen anschaulichen Ausführungsformen das Belichten des Lackmaterials 203 auf der Grundlage geeignet ausgewählter Belichtungsparameter, etwa einer höheren Dosis, ausgeführt wird, um ebenfalls eine größere laterale Größe der Öffnung 203a zu schaffen, wenn eine entsprechende Prozesstoleranz gewünscht ist. Beispielsweise werden geeignete Prozessparameter im Hinblick auf die Belichtungsdosis einfach ermittelt, indem geeignete Testläufe ausgeführt werden, um eine gewünschte laterale Abmessung für eine gegebene Dicke der Lackschicht 203 zu ermitteln. Danach wird das Maskenmaterial 214 abgeschieden und kann nachfolgend eingeebnet werden, um die Schicht 203 freizulegen, die dann selektiv in Bezug auf das verbleibende Material der Maskenschicht 214 auf Grundlage einer geeigneten Ätzrezepts entfernt wird, für das geeignete Prozessparameter für eine Vielzahl von Lackmaterialien, Polymermaterialien und dergleichen verfügbar sind.
  • 2f zeigt schematisch das Halbleiterbauelement 200 nach der zuvor beschriebenen Prozesssequenz. Somit ist nach dem selektiven Entfernen der Lackschicht 203 die Maske 214m gemäß den Abmessungen der Öffnung 203a des Metallgebiets 213 gebildet. Anschließend wird der Ätzprozess 216 (siehe 2d) ausgeführt, um die nicht abgedeckten Bereiche der dielektrischen Deckschicht 213 zu entfernen, wie dies zuvor erläutert ist. Anschließend wird die weitere Bearbeitung fortgesetzt, indem die nachfolgende Metallisierungsebene auf der Grundlage eines dielektrischen Materials mit kleinem ε gebildet wird.
  • Mit Bezug zu den 2g bis 2j werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen die dielektrische Deckschicht auf dem Metallgebiet in einer im Wesentlichen selbstjustierten Weise positioniert wird.
  • 2g zeigt schematisch das Halbleiterbauelement 200 in einer Fertigungsphase, in der eine Öffnung 221d, die in der dielektrischen Schicht 211 gebildet ist, mit einem leitenden Barrierenmaterial 212b und dem Metall 222e gefüllt ist, das ebenfalls überschüssiges Material über der Barrierenschicht 212 bereitstellt. Wie zuvor bereits erläutert ist, kann die Öffnung 221b auf der Grundlage von Lithographietechniken hergestellt werden, wie sie zuvor mit Bezug zu dem Bauelement 100 beschrieben sind, wenn die Metallisierungsschicht 120 beschrieben wird. Danach wird das leitende Barrierenmaterial 212b auf der Grundlage einer beliebigen geeigneten Abscheidetechnik, etwa Sputter-Abscheidung, CVD, selbstimitierende CVD-Techniken und dergleichen aufgebracht. Danach wird die Metallschicht 222e durch elektrochemische Abscheidetechniken gebildet.
  • 2h zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der ein Abtragungsprozess 205a ausgeführt wird, um das überschüssige Material 222e zu entfernen und um auch eine Vertiefung 212r in dem Metallgebiet 212 zu erzeugen. Beispielsweise wird während des Entfernungsprozesses 205a ein CMP-Prozess auf der Grundlage eines Rezepts ausgeführt, das vorzugsweise Material der Schicht 222e entfernt, wobei das Barrierenmaterial 212b als eine CMP-Stoppschicht dient. Folglich kann durch Anwenden einer geeigneten Nachpolierzeit die Vertiefung 212r in einem oberen Bereich des Metallgebiets 212 geschaffen werden. In anderen anschaulichen Ausführungsformen werden gut etablierte CMP-Rezepte eingesetzt, in denen auch das Barrierenmaterial 212b als eine Stoppschicht dient, und danach wird ein elektrochemischer Ätzprozess ausgeführt, wodurch in selektiver Weise ein Teil des Materials 212a entfernt wird, um die Vertiefung 212r zu erzeugen.
  • 2i zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der die dielektrische Deckschicht 212 auf der Barrierenschicht 212b und innerhalb der Vertiefung 212r gebildet ist. Zu diesem Zweck wird die dielektrische Deckschicht 213 mit einer ausreichenden Dicke abgeschieden, um die Vertiefung 212r vollständig zu füllen, was durch Vorsehen einer längeren Abscheidezeit möglich ist, wobei gut etablierte Prozessparameter angewendet werden.
  • 2j zeigt schematisch das Bauelement 200 während eines weiteren Abtragungsprozesses 205b, der einen CMP-Prozess umfasst, um überschüssiges Material der dielektrischen Deckschicht 213 zu entfernen, wodurch die räurnlich beschränkte Deckschicht 213a entsteht, wobei auch das Barrierenmaterial außerhalb der Metallleitung 212 entfernt wird, wodurch elektrisch isolierte Metallleitungen in der Metallisierungsschicht 210 geschaffen werden. Es sollte beachtet werden, dass der Abtragungsprozess 205b mehrere CMP-Schritte enthalten kann, wenn die Abtragsraten der Materialien 213, 212b nicht kompatibel sind. Beispielsweise wird die Barrierenschicht 212b auf der Grundlage eines geeigneten Prozessrezepts entfernt, das eine erhöhte Abtragsrate für das Barrierenmaterial 212b im Vergleich zur Deckschicht 213a ergibt.
  • Folglich kann die räumlich beschränkte dielekitrische Deckschicht 213a auf der Grundlage einer selbstjustierten Fertigungssequenz bereitgestellt werden, wobei zusätzliche Photolithographieprozesse vermieden werden, wodurch zu geringeren Herstellungskosten beigetragen wird.
  • Danach wird die weitere Bearbeitung fortgesetzt, wie dies zuvor beschrieben ist.
  • 2k zeigte schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist eine zweite Metallisierungsschicht 220 über der ersten Metallisierungsschicht 210, die das dielektrische Material 211 und die räumlich beschränkte dielektrische Deckschicht 213 aufweist, die zumindest die Metallleitung 212 bedeckt, gebildet. Die zweite Metallisierungsschicht 220 umfasst ein dielektrisches Material 221, beispielsweise ein Material mit kleinem ε oder mit sehr kleinem ε, was auf Grund der räumlich beschränkten dielektrischen Deckschicht 213a Grenzflächenbereiche 221s mit dem Material 211 zwischen den diversen Metallleitungen 212 bildet, die in der ersten Metallleitung 210 ausgebildet sind. Folglich wird eine verbesserte mechanische Integrität des Stapels der Schichten 210, 220 erreicht, da die Haftung des Materials 221 an das Material 211 deutlich größer ist im Vergleich zur Haftung des Materials 221 zu dem Material der dielektrischen Deckschicht 213a, wie dies zuvor erläutert ist. Des weiteren umfasst die zweite Metallisierungsschicht 220 Metallgebiete 221, beispielsweise in Form von Metallleitungen, die eine oder mehrere der Metallleitungen 212 in der Metallisierungsschicht 210 mittels entsprechender Kontaktdurchführungen 222b verbinden. Das Metallgebiete 222 enthält ferner ebenfalls das Barrierenmaterial 212b in Verbindung mit einem gut leitenden Metall, etwa Kupfer und dergleichen, wie dies zuvor mit Bezug zu der Metallleitung 212 erläutert ist. Ferner ist eine dielektrische Deckschicht 223a auf dem Metallgebiet 222 in Form einer räumlich beschränkten Schicht in ähnlicher Weise vorgesehen, wie dies für die Schicht 213a erläutert ist. Des weiteren umfasst das Halbleiterbauelement 200 eine weitere Metallisierungsschicht 230 mit einem dielektrischen Material 231, beispielsweise in Form eines dielektrischen Materials mit kleinem ε, das ebenfalls mit dem Material 221 an Grenzflächen 231s verbunden ist, wobei auch in diesem Falle eine verbesserte Haftung auf Grund der räumlichen Beschränkung der dielektrischen Deckschicht 223 gelingt.
  • In Bezug auf Prozesstechniken zur Herstellung des Halbleiterbauelements 200, wie es in 2k gezeigt ist, sei auf die Prozesstechniken verwiesen, die mit Bezug zu dem Bauelement 100 beschrieben sind, wenn das Herstellen und Strukturieren von dielektrischen Materialien betroffen sind. Des weiteren kann die räumlich beschränkte Deckschicht 223a gemäß den gleichen Prozessstrategien hergestellt werden, wie dies mit Bezug zu den 2a bis 2j erläutert ist.
  • 2l zeigt schematisch eine Draufsicht des Halbleiterbauelements 200 in einer Fertigungsphase vor dem Bilden der Metallisierungsschicht 220. Wie gezeigt, umfasst die Metallisierungsschicht 210 das dielektrische Material 211, in der mehrere Metallleitungen 212 gebildet sind, wie dies zuvor beschrieben ist. Des weiteren sind die räumlich beschränkten dielektrischen Deckschichten 213a so vorgesehen, dass zumindest die Metallgebiete 212, wie sie durch gestrichelte Linien dargestellt sind, zuverlässig durch die Deckschichten 213a abgedeckt sind. In der gezeigten Ausführungsform erstrecken sich die Deckschichten 213a lateral von den Metallleitungen 213 entsprechend einem Abstand 213d, der kleiner ist als die Hälfte eines Abstands 212d zwischen zwei benachbarten Metallleitungen 212. Auf diese Weise wird sichergestellt, dass das dielektrische Material 211 der Metallisierungsschicht 210 direkt mit dem dielektrischen Material einer nachfolgenden Metallisierungsschicht, etwa der Metallisierungsschicht 220, zwischen benachbarten Metallleitungen 212 direkt in Kontakt kommt. In einer anschaulichen Ausführungsform ist der Abstand 213 kleiner als eine Breite 212w jeder der Metallleitungen 212.
  • Es gilt also: Die vorliegende Offenbarung stellt Halbleiterbauelemente und Verfahren zu deren Herstellung bereit, wobei die gesamte mechanische Integrität eines Metallisierungsschichtstapels verbessert wird, indem eine dielektrische Deckschicht in lokal beschränkter Weise so bereitgestellt wird, dass Grenzflächen zwischen den dielektrischen Zwischenschichtmaterialien zweier aufeinanderfolgender Metallisierungsschichten gebildet sind. Die dielektrische Deckschicht kann lokal beschränkt werden, indem eine Maske gebildet wird, was in einigen anschaulichen Ausführungsformen unter Anwendung der gleichen Lithographiemaske bewerkstelligt wird, wie sie zum Strukturieren des darunter liegenden dielektrischen Materials verwendet wird. In anderen Fällen wird eine selbstjustierte Prozesssequenz eingesetzt.
  • Weitere Modifizierungen und Variationen der vorliegenden Offenbarung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der hierin offenbarten Prinzipien zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (20)

  1. Verfahren zur Herstellung einer Metallisierungsschicht eines Halbleiterbauelements, wobei das Verfahren umfasst: Bilden einer dielektrischen Deckschicht auf einer dielektrischen Schicht und auf einem Metallgebiet, das in der dielektrischen Schicht gebildet ist, wobei die dielektrische Deckschicht und das Metallgebiet eine Grenzfläche bilden; und Entfernen der dielektrischen Deckschicht von zumindest einem Teil der dielektrischen Schicht, wobei die Grenzfläche beibehalten wird.
  2. Verfahren nach Anspruch 1, wobei Entfernen der dielektrischen Deckschicht von zumindest einem Teil der dielektrischen Schicht umfasst: Bilden einer Maske über der dielektrischen Deckschicht, um zumindest das Metallgebiet abzudecken und Entfernen eines Teils der Deckschicht, der nicht von der Maske abgedeckt ist.
  3. Verfahren nach Anspruch 2, wobei Bilden der Maske umfasst: Bilden einer Lackschicht mit entgegengesetztem photochemischen Reaktionsverhalten im Vergleich zu einem Lackmaterial, das zum Strukturieren der dielektrischen Schicht verwendet wird, wenn eine Öffnung für das Metallgebiet gebildet wird, wobei das Verfahren ferner umfasst: Belichten der Lackschicht mit der gleichen photolithographischen Maske, wie sie zur Herstellung der Öffnung verwendet wird.
  4. Verfahren nach Anspruch 3, wobei die Lackschicht auf der Grundlage einer Belichtungsdosis belichtet wird, um eine laterale Abmessung der Maske zu erhalten, die größer ist als eine laterale Abmessung des Metallgebiets.
  5. Verfahren nach Anspruch 3, wobei eine Dicke der Lackschicht kleiner ist als eine Dicke des Lackmaterials, das zur Herstellung der Öffnung verwendet wird.
  6. Verfahren nach Anspruch 2, wobei Bilden der Maske umfasst: Bilden einer Lackschicht über der Deckschicht, Bilden einer Maskenöffnung in der Lackschicht, die im Wesentlichen dem Metallgebiet entspricht, Füllen der Maskenöffnung mit einem Maskenmaterial und Entfernen der Lackschicht selektiv zu dem Maskenmaterial.
  7. Verfahren nach Anspruch 6, wobei die Maskenöffnung zur Anwendung einer lithographischen Maske gebildet wird, die zur Herstellung einer Öffnung für das Metallgebiet, das in der dielektrischen Schicht gebildet ist, verwendet wird.
  8. Verfahren nach Anspruch 1, wobei Bilden der Deckschicht umfasst: Bilden einer Vertiefung in dem Metallgebiet und Bilden der Deckschicht derart, dass diese zumindest die Vertiefung teilweise ausfüllt.
  9. Verfahren nach Anspruch 8, wobei Entfernen der dielektrischen Deckschicht von zumindest einem Teil der dielektrischen Schicht Ausführen eines Einebnungsprozesses umfasst.
  10. Verfahren nach Anspruch 9, das ferner umfasst: Bilden einer Öffnung in der dielektrischen Schicht, Bilden einer leitenden Barrierenschicht in der Öffnung, Füllen der Öffnung mit einem Metall und Entfernen von überschüssigem Material des Metalls, um das Metallgebiet bereitzustellen.
  11. Verfahren nach Anspruch 10, wobei die Vertiefung vor dem Entfernen der Barrierenschicht von der dielektrischen Schicht außerhalb des Metallgebiets gebildet wird.
  12. Verfahren nach Anspruch 11, wobei die Vertiefung durch Ausführen eines chemischmechanischen Einebnungsprozesses gebildet wird.
  13. Verfahren nach Anspruch 11, wobei die Vertiefung durch Ausführen eines elektrochemischen Abtragungsprozesses gebildet wird.
  14. Verfahren nach Anspruch 1, wobei die dielektrische Schicht Material mit einer Dielektrizitätskonstante von ungefähr 3,0 oder weniger aufweist.
  15. Verfahren mit: Bilden einer dielektrischen Deckschicht auf einer dielektrischen Schicht einer Metallisierungsschicht eines Halbleiterbauelements, wobei die dielektrische Schicht ein Metallgebiet aufweist, das eine Grenzfläche mit der dielektrischen Deckschicht bildet; Bilden einer Maske über der dielektrischen Deckschicht, um zumindest das Metallgebiet abzudecken; und Entfernen der dielektrischen Deckschicht von einem Teil der dielektrischen Schicht, der nicht durch die Maske bedeckt ist.
  16. Verfahren nach Anspruch 15, das ferner umfasst: Bilden des Metallgebiets durch Anwenden einer Lithographiemaske und eines ersten Lackmaterials mit einem positiven oder einem negativen Belichtungsverhalten, wobei die Maske unter Verwendung der Lithographiemaske und eines zweiten Lackmaterials gebildet wird, das im Vergleich zu dem oben genannten positiven oder negativen Belichtungsverhalten das umgekehrte Belichtungsverhalten aufweist.
  17. Verfahren nach Anspruch 16, wobei eine Dicke des zweiten Lackmaterials kleiner als eine Dicke des ersten Lackmaterials.
  18. Verfahren nach Anspruch 17, wobei Prozessparameter einer Lithographieprozesssequenz so festgelegt werden, dass die Maske mit einer lateralen Größe gebildet wird, die größer ist als eine laterale Größe des Metallgebiets.
  19. Halbleiterbauelement mit: einem dielektrischen Material mit kleinem ε, das über einem Substrat gebildet ist; mehreren Metallleitungen, die in dem dielektrischen Material mit kleinem ε gebildet sind; und einer dielektrischen Deckschicht, die auf den Metallleitungen gebildet ist, derart, dass eine Grenzfläche mit jeder der Metallleitungen gebildet wird, wobei die dielektrische Deckschicht sich lateral von jeder der Metallleitungen mit einem Abstand erstreckt, der kleiner ist als die Hälfte eines Abstands zwischen lateral benachbarten Metallleitungen ist.
  20. Halbleiterbauelement nach Anspruch 19, wobei die dielektrische Deckschicht sich lateral von jeder der Metallleitungen mit einem Abstand erstreckt, der kleiner ist als eine Breite jeder der Metallleitungen.
DE102008026211A 2008-05-30 2008-05-30 Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht Expired - Fee Related DE102008026211B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102008026211A DE102008026211B4 (de) 2008-05-30 2008-05-30 Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht
US12/401,887 US20090294921A1 (en) 2008-05-30 2009-03-11 Semiconductor device comprising metal lines with a selectively formed dielectric cap layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102008026211A DE102008026211B4 (de) 2008-05-30 2008-05-30 Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht

Publications (2)

Publication Number Publication Date
DE102008026211A1 true DE102008026211A1 (de) 2009-12-10
DE102008026211B4 DE102008026211B4 (de) 2010-07-08

Family

ID=41268648

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102008026211A Expired - Fee Related DE102008026211B4 (de) 2008-05-30 2008-05-30 Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht

Country Status (2)

Country Link
US (1) US20090294921A1 (de)
DE (1) DE102008026211B4 (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US11145631B1 (en) 2018-06-12 2021-10-12 Facebook Technologies, Llc Display devices and methods of making the same
US10921499B1 (en) 2018-06-12 2021-02-16 Facebook Technologies, Llc Display devices and methods for processing light
US10685876B2 (en) * 2018-09-18 2020-06-16 International Business Machines Corporation Liner and cap structures for reducing local interconnect vertical resistance without compromising reliability
US10832946B1 (en) 2019-04-24 2020-11-10 International Business Machines Corporation Recessed interconnet line having a low-oxygen cap for facilitating a robust planarization process and protecting the interconnect line from downstream etch operations

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274499B1 (en) * 1999-11-19 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Method to avoid copper contamination during copper etching and CMP
US6452285B1 (en) * 2000-10-17 2002-09-17 Advanced Micro Devices, Inc. Fabrication of standard defects in contacts
US20030001240A1 (en) * 2001-07-02 2003-01-02 International Business Machiness Corporation Semiconductor devices containing a discontinuous cap layer and methods for forming same
US20040113279A1 (en) * 2002-12-16 2004-06-17 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US6825561B1 (en) * 2003-06-19 2004-11-30 International Business Machines Corporation Structure and method for eliminating time dependent dielectric breakdown failure of low-k material
US20050151224A1 (en) * 2004-01-14 2005-07-14 Kazuhide Abe Semiconductor device and manufacturing method thereof
US7378738B2 (en) * 2003-09-02 2008-05-27 International Business Machines Corporation Method for producing self-aligned mask, articles produced by same and composition for same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274499B1 (en) * 1999-11-19 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Method to avoid copper contamination during copper etching and CMP
US6452285B1 (en) * 2000-10-17 2002-09-17 Advanced Micro Devices, Inc. Fabrication of standard defects in contacts
US20030001240A1 (en) * 2001-07-02 2003-01-02 International Business Machiness Corporation Semiconductor devices containing a discontinuous cap layer and methods for forming same
US20040113279A1 (en) * 2002-12-16 2004-06-17 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US6825561B1 (en) * 2003-06-19 2004-11-30 International Business Machines Corporation Structure and method for eliminating time dependent dielectric breakdown failure of low-k material
US7378738B2 (en) * 2003-09-02 2008-05-27 International Business Machines Corporation Method for producing self-aligned mask, articles produced by same and composition for same
US20050151224A1 (en) * 2004-01-14 2005-07-14 Kazuhide Abe Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US20090294921A1 (en) 2009-12-03
DE102008026211B4 (de) 2010-07-08

Similar Documents

Publication Publication Date Title
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102008063430B4 (de) Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102007004860B4 (de) Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102010002454A1 (de) Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102010002411B4 (de) Verfahren zur Herstellung von Kontaktbalken mit reduzierter Randzonenkapazität in einem Halbleiterbauelement
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102006056626A1 (de) Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
DE102008059499A1 (de) Mikrostrukturbauelement mit einer Metallisierungsstruktur mit Luftspalten, die zusammen mit Kontaktdurchführungen hergestellt sind
DE102008054068A1 (de) Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
DE102008026211B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht
DE102010063294A1 (de) Metallisierungssysteme von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102008044988A1 (de) Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht
DE102006025405B4 (de) Verfahren zur Herstellung einer Metallisierungsschicht eines Halbleiterbauelements mit unterschiedlich dicken Metallleitungen
DE102007009912A1 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE102006041004B4 (de) Technik zum Reduzieren plasmainduzierter Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Owner name: AMD FAB 36 LLC & CO. KG, 01109 DRESDEN, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: AMD FAB 36 LLC & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: AMD FAB 36 LLC & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: AMD FAB 36 LLC & CO. KG, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: AMD FAB 36 LLC & CO. KG, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

R082 Change of representative

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

Effective date: 20120125

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

Effective date: 20120125

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20121201