DE102004025959A1 - Processing a substrate by pressurizing processing chamber before, after, and/or simultaneous with application of radiation to heat substrate and/or processing chemistry contained in processing chamber - Google Patents

Processing a substrate by pressurizing processing chamber before, after, and/or simultaneous with application of radiation to heat substrate and/or processing chemistry contained in processing chamber Download PDF

Info

Publication number
DE102004025959A1
DE102004025959A1 DE200410025959 DE102004025959A DE102004025959A1 DE 102004025959 A1 DE102004025959 A1 DE 102004025959A1 DE 200410025959 DE200410025959 DE 200410025959 DE 102004025959 A DE102004025959 A DE 102004025959A DE 102004025959 A1 DE102004025959 A1 DE 102004025959A1
Authority
DE
Germany
Prior art keywords
processing
substrate
chamber
radiation
present
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE200410025959
Other languages
German (de)
Inventor
Garry L. Fremont Montierth
Robert R. Richmond Matthews
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Pct Systems Inc Fremont
PCT Systems Inc
Original Assignee
Pct Systems Inc Fremont
PCT Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Pct Systems Inc Fremont, PCT Systems Inc filed Critical Pct Systems Inc Fremont
Publication of DE102004025959A1 publication Critical patent/DE102004025959A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/005Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by infrared radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Inorganic Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

Processing a substrate includes inserting substrate into a processing chamber, introducing processing chemistry, pressurizing the chamber by introducing processing chemistry component and/or gas, and applying radiation to heat substrate layer and/or processing chemistry component to promote reaction between the substrate and processing chemistry. The pressurizing step occurs before, after, and/or simultaneous with radiation application step. An independent claim is also included for a substrate-processing apparatus comprising a chamber (6) connected with a processing chemistry source, a pressurization source connected with the chamber and operable to increase a pressure within the chamber during processing, and a radiation source (14) connected with the chamber through a radiation-permeable window or through a network of lenses and mirrors.

Description

QUERVERWEISE AUF ZUGEHÖRIGE ANMELDUNGENCROSS REFERENCES TO RELATED APPLICATIONS

Diese nicht vorläufige Anmeldung beansprucht Priorität von der nicht vorläufigen US-Patentanmeldung Nr. 10/456,995, die am 06. Juni 2003 eingereicht wurde.This not provisional Registration takes priority from the non-provisional U.S. Patent Application No. 10 / 456,995, filed June 6, 2003 has been.

HINTERGRUND DER ERFINDUNGBACKGROUND OF THE INVENTION

Während der Herstellung von Halbleitereinrichtungen ist es häufig nützlich, ein organisches Photoresistmaterial, das als Maske für Prozesse wie z.B. Ätzen oder Ionenimplantation dient, in einem Muster zu entwickeln. Nach einer Ionenimplantation von Metallen in ein maskiertes Substrat ist jedoch die entwickelte organische Photoresistmaske ohne Beschädigen des darunterliegenden Materials schwierig zu entfernen.During the Of semiconductor devices, it is often useful to use an organic photoresist material, that as a mask for Processes such as etching or ion implantation is used to develop in a pattern. To an ion implantation of metals into a masked substrate However, the developed organic photoresist mask is without damaging the difficult to remove underlying material.

Herkömmlicherweise werden solche ionenimplantierten organometallischen Photoresistmaterialien in zwei Stufen entfernt. Zunächst wird das das organometallische Material tragende Substrat einem ein mikrowelleninduziertes Plasma nutzenden Sauerstoffverascher ausgesetzt. Dieser anfängliche Veraschungsschritt hat typischerweise erhebliche Mengen von Partikeln/implantierten Metallen zur Folge, die auf der Oberfläche des Substrats verbleiben.traditionally, become such ion-implanted organometallic photoresist materials removed in two stages. First the substrate carrying the organometallic material becomes one exposed to microwave-induced plasma ashing. This initial one Ashing step typically has significant amounts of particles / implanted Metals that remain on the surface of the substrate.

Daher wird herkömmlicherweise ein zweiter Schritt ausgeführt, bei dem die veraschte Substratoberfläche einer Nassbearbeitung in einem Piranha-Prozess mit Caroscher Säure (einer Kombination von Schwefelsäure und Wasserstoffperoxid) bei Temperaturen von über 100°C unterzogen wird. Weder der Ozonveraschungs- noch der Nassbearbeitungsschritt allein sind effektiv. Außerdem erzeugt die intensive Mikrowellenstrahlung, die verwendet wird, um das Plasma zu erzeugen, langlebige reaktive Arten, typischerweise Radikale, die auf der Substratoberfläche vorhandene fragile Strukturen beschädigen können.Therefore becomes conventional carried out a second step in which the ashes substrate surface of a wet processing in a Piranha process with Carosher acid (a combination of sulfuric acid and hydrogen peroxide) at temperatures above 100 ° C. Neither that Ozone ashing and wet processing alone are effective. Moreover generates the intense microwave radiation that is used to to generate the plasma, long-lived reactive types, typically Radicals, the fragile structures present on the substrate surface can damage.

Dementsprechend besteht in der Technik ein Bedarf an verbesserten Verfahren und Geräten zum Behandeln eines Halbleiterwafers.Accordingly there is a need in the art for improved methods and devices for treating a semiconductor wafer.

KURZE ZUSAMMENFASSUNG DER ERFINDUNGSHORT SUMMARY THE INVENTION

Ausführungsformen gemäß der vorliegenden Erfindung liefern Verfahren und Geräte zum Heizen eines Substrats mit Strahlung während einer chemischen Bearbeitung. Konkret wird Strahlung im Hochfrequenz- oder Mikrowellenteil des elektromagnetischen Spektrums auf ein innerhalb einer Bearbeitungskammer untergebrachtes Substrat angewendet, um erwünschte chemische Reaktionen im Zusammenhang mit dem Substrat zu fördern. Eine Prozessführung bzw. Bearbeitung gemäß Ausführungsformen der vorliegenden Erfindung kann die Anwendung von Mikrowellen, HF-, IR- oder UV-Strahlung oder elektromagnetischer Induktion ausnützen, um das Substrat zu erhitzen. Alternative Ausführungsformen der vorliegenden Erfindung können für eine effektivere Bearbeitung Kombinationen dieser Energiearten verwenden. Zum Beispiel kann UV-Strahlung zusammen mit einer Mikrowellenheizung in die Kammer einge führt werden, um aus den chemischen Stoffen für die Bearbeitung reaktive Arten zu erzeugen.embodiments according to the present invention deliver processes and equipment for heating a substrate with radiation during chemical processing. Specifically, radiation is in the high-frequency or microwave part of the electromagnetic Spectrum on a housed within a processing chamber Substrate applied to desired Promote chemical reactions related to the substrate. A Litigation or processing according to embodiments the use of microwaves, RF, Use IR or UV radiation or electromagnetic induction to do this Heat substrate. Alternative embodiments of the present Invention can for one use combinations of these types of energy more effectively. For example, UV radiation can be combined be introduced into the chamber with a microwave heater, to get out of the chemical substances for the editing to create reactive types.

Eine Bearbeitung gemäß Ausführungsformen der vorliegenden Erfindung kann bei erhöhten Drücken stattfinden, um Konzentrationen eines reagierenden Stoffs zu steigern, oder kann bei Drücken unterhalb des Umgebungsdrucks stattfinden, um die Lebensdauer und daher die Bearbeitungseffektivität von Radikalen oder anderen reaktiven Arten, die innerhalb der Kammer vorhanden sind, zu verlängern. Eine besonders vielversprechende Ausführungsform der vorliegenden Erfindung ist das Stripping bzw. Ablösen von Photoresisten, die einer Ionenimplantation unterzogen wurden, wobei die implantierten Wafer Ozongas ausgesetzt werden.A Processing according to embodiments The present invention can take place at elevated pressures to concentrations of a reacting substance, or may increase at pressures below of the ambient pressure take place to the lifespan and therefore the Editing effectiveness of Radicals or other reactive species within the chamber exist to extend. A particularly promising embodiment of the The present invention is stripping Photoresists that have undergone ion implantation, wherein the implanted wafers are exposed to ozone gas.

Die chemischen Stoffe für die Bearbeitung, die in die Kammer eingebracht werden, um mit dem erhitzten Substrat zu reagieren, können in Form eines Gases, einer Flüssigkeit oder irgendeiner Kombination aus einem Gas und einer Flüssigkeit wie zum Beispiel Nebel bestehen. Alternativ dazu könnten die chemischen Stoffe für die Bearbeitung auch in Form eines Feststoffs wie zum Beispiel Staub genutzt werden. In diesen Fällen können die chemischen Stoffe für die Bearbeitung unter dem Einfluss einer Druckdifferenz zur oder durch die Bearbeitungskammer transportiert werden.The chemical substances for the machining that is brought into the chamber to match the heated Can react substrate in the form of a gas, a liquid or any combination of a gas and a liquid such as fog. Alternatively, the chemical Fabrics for processing also in the form of a solid such as dust be used. In these cases can the chemical substances for processing under the influence of a pressure difference to or are transported through the processing chamber.

Eine Ausführungsform eines Verfahrens gemäß der vorliegenden Erfindung zur Bearbeitung eines Substrats umfasst ein Vorsehen einer Bearbeitungskammer, Einsetzen eines Substrats in die Bearbeitungskammer und Einbringen chemischer Stoffe für die Bearbeitung in die Bearbeitungskammer. Die Bearbeitungskammer wird durch Einführen einer Komponente der chemischen Stoffe für die Bearbeitung in die Bearbeitungskammer und/oder Einführen eines Gases in die Bearbeitungskammer unter Druck gesetzt. Strahlung wird zugeführt, um eine Schicht des Substrats und/oder eine Komponente der chemischen Stoffe für die Bearbeitung zu erhitzen, wodurch eine Reaktion zwischen dem Substrat und den chemischen Stoffen für die Bearbeitung gefördert wird, wobei der Schritt einer Unterdrucksetzung vor, nach und/oder gleichzeitig mit dem Bestrahlungsschritt stattfindet.An embodiment of a method according to the present invention for processing a substrate comprises providing a processing chamber, inserting a substrate into the processing chamber and introducing chemical substances for processing into the processing chamber. The processing chamber is pressurized by introducing a component of the processing chemicals into the processing chamber and / or introducing a gas into the processing chamber. Radiation is supplied to heat a layer of the substrate and / or a component of the processing chemicals, thereby promoting a reaction between the substrate and the processing chemicals the step of pressurizing takes place before, after and / or simultaneously with the irradiation step.

Eine Ausführungsform des Geräts gemäß der vorliegenden Erfindung zum Bearbeiten eines Substrats umfasst eine Kammer in Fluidverbindung mit einer Quelle für chemische Stoffe für die Bearbeitung und eine Quelle zur Unterdrucksetzung in Fluidverbindung mit der Kammer, wobei die Quelle zur Unterdrucksetzung betreibbar ist, um während einer Bearbeitung den Druck innerhalb der Kammer zu erhöhen. Eine Strahlungsquelle steht mit der Kammer in Verbindung, um eine Schicht eines Substrats, ein das Substrat berührendes Bauteil und/oder chemische Stoffe für die Bearbeitung zu erhitzen, die innerhalb der Kammer vorhanden sind.A embodiment of the device according to the present Invention for processing a substrate comprises a chamber in Fluid connection with a source of chemical substances for processing and a source of pressurization in fluid communication with the Chamber, the source of pressurization being operable to while machining to increase the pressure within the chamber. A Radiation source communicates with the chamber by one layer a substrate, a component touching the substrate and / or chemical substances for the Heat processing that exist within the chamber.

Das Wesen und die Vorteile der hierin offenbarten Erfindungen können durch Verweis auf die restlichen Teile der Beschreibung und die beigefügten Zeichnungen besser verstanden werden.The The essence and advantages of the inventions disclosed herein can be ascertained by Reference to the remaining parts of the description and the accompanying drawings be better understood.

KURZE BESCHREIBUNG DER ZEICHNUNGENBRIEF DESCRIPTION OF THE DRAWINGS

1 zeigt eine vereinfachte Querschnittansicht einer Ausführungsform eines Geräts zum Bearbeiten eines Substrats gemäß der vorliegenden Erfindung. 1 FIG. 14 shows a simplified cross-sectional view of an embodiment of an apparatus for processing a substrate in accordance with the present invention.

2 zeigt eine vereinfachte Querschnittansicht einer alternativen Ausführungsform eines Geräts zur Bearbeitung gemäß der vorliegenden Erfindung. 2 Figure 3 shows a simplified cross-sectional view of an alternative embodiment of a machining device according to the present invention.

3 zeigt eine vereinfachte Draufsicht einer weiteren alternativen Ausführungsform eines Bearbeitungsgeräts gemäß der vorliegenden Erfindung. 3 shows a simplified top view of a further alternative embodiment of a processing device according to the present invention.

4 zeigt eine vereinfachte Querschnittansicht einer weiteren alternativen Ausführungsform gemäß der vorliegenden Erfindung. 4 shows a simplified cross-sectional view of another alternative embodiment according to the present invention.

5 zeigt eine vereinfachte Draufsicht noch einer weiteren alternativen Ausführungsform eines Bearbeitungsgeräts gemäß der vorliegenden Erfindung. 5 shows a simplified top view of yet another alternative embodiment of a processing device according to the present invention.

AUSFÜHRLICHE BESCHREIBUNG DER ERFINDUNGDETAILED DESCRIPTION OF THE INVENTION

1 zeigt eine vereinfachte Querschnittansicht einer Ausführungsform eines Geräts 10 zum Bearbeiten eines Substrats gemäß der vorliegenden Erfindung. Ein Substrat oder Wafer 2 wird auf einem innerhalb einer Kammer 6 positionierten Drehtisch 4 getragen. Das Substrat 2 kann mehrere verschiedene Materialien einschließlich, nicht aber darauf beschränkt, Silicium, GaAs und andere Halbleitermaterialien, Quarz, Borsilicatglas, Flachschirmanzeigen, mikroelektro-mechanische Einrich tungen (MEMS), Festplattensubstrate, biomedizinische Objektträger und andere Medien umfassen. Die Oberfläche des Substrats 2 kann ferner gemusterte Schichten verschiedener Materialien wie zum Beispiel dielektrischer, metallischer, organischer oder organometallischer Materialien umfassen. Für die Zwecke dieser Anmeldung bezieht sich der Ausdruck "organometallisch" auf jedes kohlenstoffhaltige Material, das auch ein oder mehr Metalle enthält. Ein Beispiel eines organometallischen Materials ist ein organisches Photoresistmaterial, das mit Metallen wie z.B. Phosphor oder Bor ionenimplantiert wurde. Ein anderes Beispiel eines organometallischen Materials sind chemische Nebenprodukte einer Plasmaätzung, die sich auf den Seitenwänden von Merkmalen der Einrichtungen abscheiden. 1 shows a simplified cross-sectional view of an embodiment of a device 10 for processing a substrate according to the present invention. A substrate or wafer 2 is on one inside a chamber 6 positioned turntable 4 carried. The substrate 2 may include several different materials including, but not limited to, silicon, GaAs and other semiconductor materials, quartz, borosilicate glass, flat panel displays, microelectromechanical devices (MEMS), hard disk substrates, biomedical slides, and other media. The surface of the substrate 2 may further comprise patterned layers of various materials such as dielectric, metallic, organic or organometallic materials. For the purposes of this application, the term "organometallic" refers to any carbonaceous material that also contains one or more metals. An example of an organometallic material is an organic photoresist material that has been ion-implanted with metals such as phosphorus or boron. Another example of an organometallic material is chemical by-products of a plasma etch that deposit on the sidewalls of features of the device.

Die Kammer 6 enthält einen Einlass 8 und einen Auslass 9, um chemische Stoffe, die mit dem Substrat 2 reagieren sollen, zu empfangen bzw. ausströmen zu lassen. Die Kammer 6 kann vollständig oder teilweise geschlossen sein, so dass die chemischen Stoffe für die Bearbeitung während einer Bearbeitung unter erhöhten oder reduzierten Drücken gehalten werden können. In die Kammer 6 eingeführte chemische Stoffe für die Bearbeitung können jedes beliebige Gas, jede beliebige Flüssigkeit oder jede Gas/Flüssigkeitskombination umfassen, die mit dem Substrat 2 oder darauf vorhandenem Material reagieren soll.The chamber 6 contains an inlet 8th and an outlet 9 to chemical substances with the substrate 2 should react to receive or let out. The chamber 6 can be completely or partially closed so that the chemical substances for processing can be kept under elevated or reduced pressures during processing. In the chamber 6 Chemicals introduced for processing can include any gas, liquid, or gas / liquid combination associated with the substrate 2 or react to existing material.

Die Kammer 6 besteht aus einem Material, das für Strahlung durchlässig ist, die beim Erhitzen des Substrats oder einer Schicht eines Materials auf dem Substrat genutzt wird, so dass vom Generator 14 emittierte Strahlung 12 in die Kammer 6 eintritt, mit dem Wafer 2 in Kontakt tritt und eine Erhitzung des Wafers 2 oder einer Schicht auf dem Wafer 2 zur Folge hat. Alternativ dazu kann die Kammer 6 ein Material aufweisen, das für die Strahlung nicht durchlässig ist, kann aber ferner ein Fenster aus einem für Strahlung durchlässigen Material enthalten, das den Eintritt der Strahlung in die Kammer gestattet.The chamber 6 consists of a material that is transparent to radiation, which is used when heating the substrate or a layer of material on the substrate, so that the generator 14 emitted radiation 12 into the chamber 6 occurs with the wafer 2 contacts and heating the wafer 2 or a layer on the wafer 2 has the consequence. Alternatively, the chamber 6 comprise a material which is not transparent to the radiation, but may also contain a window made of a transparent material which allows the radiation to enter the chamber.

Der Strahlungsgenerator 14 kann ein über einen Wellenleiter 13 mit der Kammer in Verbindung stehendes Magnetron 11 umfassen. Der Strahlungsgenerator 14 kann einen Generator für Mikrowellenstrahlung mit einer Frequenz von 915 oder 2450 MHz umfassen. Derartige Mikrowellenquellen liefern typischerweise eine Leistung zwischen etwa 300 und 1200 W. Ein von Ausführungsformen gemäß der vorliegenden Erfindung genutzter Mikrowellengenerator ist jedoch nicht auf irgendeine besondere Frequenz oder irgendeinen besonderen Leistungsbereich beschränkt und könnte alternativ dazu eine spezialisierte industrielle Auslegung aufweisen, die eine spezifische feste oder änderbare Leistung, Frequenz oder Pulsdauer ausnützt. Zum Beispiel könnten auch Generatoren, die eine variable Frequenz, variable Leistung und/oder präzise gesteuerte Leistungspegel ausnützen, gemäß Ausführungsformen der vorliegenden Erfindung in vorteilhafter Weise genutzt werden.The radiation generator 14 can one over a waveguide 13 Magnetron connected to the chamber 11 include. The radiation generator 14 can include a generator for microwave radiation with a frequency of 915 or 2450 MHz. Such microwave sources typically provide a power between about 300 and 1200 W. However, a microwave generator used by embodiments in accordance with the present invention is not limited to any particular frequency or power range, and alternatively could have a specialized industrial design that has a specific fixed or changeable Power, frequency or pulse duration. For example, Ge Generators, which utilize a variable frequency, variable power and / or precisely controlled power levels, are advantageously used according to embodiments of the present invention.

Der Wellenleiter 13 ist so konfiguriert, dass er Strahlung vom Generator 14 empfängt und diese Strahlung in einer einzigen Mode zur Kammer 6 transportiert. Die Kammer 6 ist dafür ausgelegt, sicherzustellen, dass die zugeführte Strahlung das (die) darin angeordnete(n) Substrate) gleichmäßig erhitzt. In einer Ausführungsform kann die Kammer 6 Abmessungen aufweisen, die dem Wellenleiter 13 ausreichend ähnlich sind, um den unipolaren Charakter der zugeführten Strahlung zu bewahren. Obgleich man nicht auf irgendeinen besonderen Ansatz beschränkt sein möchte, könnten in einer unipolare Strahlung ausnützenden mögli chen Ausführungsform der vorliegenden Erfindung Innenflächen der Kammer mit Strahlung absorbierenden Materialien verkleidet sein, um interne Reflexion der Strahlung zu unterdrücken, die unerwünschte Strahlung mit mehreren Moden verursacht.The waveguide 13 is configured to receive radiation from the generator 14 receives and this radiation in a single mode to the chamber 6 transported. The chamber 6 is designed to ensure that the radiation supplied heats the substrate (s) inside it evenly. In one embodiment, the chamber 6 Have dimensions that the waveguide 13 are sufficiently similar to preserve the unipolar character of the radiation supplied. Although not wishing to be limited to any particular approach, in a unipolar radiation-utilizing possible embodiment of the present invention, interior surfaces of the chamber could be clad with radiation-absorbing materials to suppress internal reflection of the radiation causing unwanted multi-mode radiation.

Es kann auch erwünscht sein, dass Strahlung, die der Kammer zugeführt wird, um den Wafer zu erhitzen, eine Strahlung mit mehreren Moden ist. Dies verhält sich so, weil viele Materialien, einschließlich Substrate aus einkristallinem Silicium, die bei der Herstellung von Halbleitereinrichtungen genutzt werden, für Mikrowellenstrahlung verhältnismäßig transparent sind, wobei ein Hauptteil der Energie der Strahlung, die auf das Substrat trifft, durchgeht, ohne absorbiert zu werden. Dementsprechend können die Verfahren und Geräte gemäß Ausführungsformen der vorliegenden Erfindung den Durchgang reflektierter Strahlung erfordern, um das gewünschte schnelle Erhitzen zu bewirken.It can also be desired be that radiation that is supplied to the chamber to heat the wafer is multi-mode radiation. This is because a lot of materials, including Single crystal silicon substrates used in the manufacture used by semiconductor devices, relatively transparent to microwave radiation are, with a major part of the energy of the radiation that is applied to the Substrate hits, passes without being absorbed. Accordingly can the procedures and equipment according to embodiments the present invention the passage of reflected radiation require to get the quick you want Effect heating.

Die Zuführung von Strahlung mit mehreren Moden in die Bearbeitungskammer, um eine gleichmäßige Erhitzung von darin angeordneten Substraten zu erreichen, kann auf verschiedenen Wegen erreicht werden. In der in 1 veranschaulichten spezifischen Ausführungsform wird eine gleichmäßige Heizung des (der) Wafers (Wafer) sichergestellt, indem die Wafer unter Verwendung eines Drehtischs in Bezug auf die Richtung der zugeführten Strahlung gedreht werden. Alternativ dazu könnte in der Kammer eine Modenmischanordnung (engl. mode stirring structure) wie zum Beispiel ein rotierender Metallventilator angeordnet sein, so dass eine vom Generator einfallende unipolare Strahlung innerhalb des Hohlraums zufällig reflektiert wird, um darin vorhandene Substrate zu erhitzen. Ferner könnte alternativ dazu der Mikrowellengenerator Strahlung mit oszillierenden Frequenzen oder verschiedenen Impulsdauern emittieren, um eine gleichmäßige Heizung mit Strahlung mit mehreren Moden gemäß Ausführungsformen der vorliegenden Erfindung zu erreichen. Ferner könnten alternativ dazu auch noch mehrere Mikrowellengeneratoren eingesetzt werden, um Strahlung mit einer Vielzahl von Moden gleichzeitig anzuwenden.The supply of radiation with several modes into the processing chamber in order to achieve a uniform heating of substrates arranged therein can be achieved in various ways. In the in 1 In the specific embodiment illustrated, uniform heating of the wafer (s) is ensured by rotating the wafers using a turntable with respect to the direction of the radiation supplied. Alternatively, a mode stirring structure, such as a rotating metal fan, could be placed in the chamber so that unipolar radiation incident from the generator is randomly reflected within the cavity to heat substrates present therein. Furthermore, alternatively, the microwave generator could emit radiation with oscillating frequencies or different pulse durations in order to achieve uniform heating with radiation with multiple modes according to embodiments of the present invention. Furthermore, as an alternative, a plurality of microwave generators could also be used to apply radiation with a plurality of modes simultaneously.

Die Ausführungsform von 1 zeigt einen Wafer 2, der auf einem Drehtisch 4 in einer zur Richtung der Strahlung 12 vom Generator 14 parallelen Ebene horizontal getragen wird. Die vorliegende Erfindung ist jedoch nicht auf diese besondere Konfiguration beschränkt, und in einer alternativen Ausführungsform könnte das Substrat senkrecht in Bezug auf die einfallende Strahlung oder in irgendeiner anderen Orientierung in Bezug auf die Richtung der vom Generator emittierten Strahlung getragen werden.The embodiment of 1 shows a wafer 2 standing on a turntable 4 in one to the direction of the radiation 12 from the generator 14 parallel plane is carried horizontally. However, the present invention is not limited to this particular configuration, and in an alternative embodiment the substrate could be carried perpendicular to the incident radiation or in any other orientation with respect to the direction of the radiation emitted by the generator.

Im Betrieb ist das Substrat 2 auf dem Drehtisch 4 innerhalb der Kammer 6 angeordnet. Durch den Einlass 8 lässt man einen chemischen Stoff für die Bearbeitung in die Kammer 6 strömen. Strahlung 12 vom Generator 14 wird in die Kammer 6 und in Kontakt mit dem Wafer 2 übertragen, was ein Erhitzen des Wafers 2 zur Folge hat. Die Strahlung 12 kann auch indirekt mit dem Wafer 2 in Kontakt treten, indem sie von den Innenflächen 6a der Kammer 6 reflektiert wird.The substrate is in operation 2 on the turntable 4 inside the chamber 6 arranged. Through the inlet 8th a chemical substance is left in the chamber for processing 6 stream. radiation 12 from the generator 14 gets into the chamber 6 and in contact with the wafer 2 transfer what a heating of the wafer 2 has the consequence. The radiation 12 can also be done indirectly with the wafer 2 get in touch by moving from the inner surfaces 6a the chamber 6 is reflected.

Als Folge einer Wechselwirkung zwischen der Strahlung 12 und dem Wafer 2 oder einer darauf vorhandenen Materialschicht wird der Wafer oder das den Wafer bedeckende Material erhitzt. Der in der Kammer 6 vorhandene chemische Stoff reagiert dann mit dem erhitzten Substrat 2 oder auf dessen Oberfläche vorhandenen Materialien. Die erhöhte Temperatur des Substrats, kombiniert mit den reaktiven Eigenschaften des chemischen Stoffes für die Bearbeitung, bewirken eine erwünschte chemische Reaktion.As a result of an interaction between the radiation 12 and the wafer 2 or an existing material layer, the wafer or the material covering the wafer is heated. The one in the chamber 6 existing chemical substance then reacts with the heated substrate 2 or materials present on its surface. The elevated temperature of the substrate, combined with the reactive properties of the chemical for processing, cause a desired chemical reaction.

Beim Abschluss der Bearbeitung oder während der Bearbeitung, wobei ein ständiger Strom eines chemischen Stoffes für die Bearbeitung durch die Kammer geleitet wird, kann der verbrauchte chemische Stoff für die Bearbeitung durch den Auslass 9 aus der Kammer 6 evakuiert werden. Der Strahlungsgenerator 14 beendet die Zuführung von Strahlung zur Kammer 6, was dem bearbeiteten Wafer 2 ermöglicht, viel schneller abzukühlen als mit herkömmlichen Kontaktheizern. Die durch Ausführungsformen gemäß der Erfindung ermöglichte schnelle Abkühlung erlaubt einen schnelleren Durchsatz und daher reduzierte Betriebskosten.At the end of processing or during processing, with a constant flow of a chemical being passed through the chamber for processing, the spent chemical may be passed through the outlet for processing 9 out of the chamber 6 be evacuated. The radiation generator 14 stops supplying radiation to the chamber 6 what the processed wafer 2 allows to cool down much faster than with conventional contact heaters. The rapid cooling made possible by embodiments according to the invention allows faster throughput and therefore reduced operating costs.

Ausführungsformen gemäß der vorliegenden Erfindung sind nicht darauf beschränkt, irgendeine besondere Art einer chemischen Bearbeitung auf einem Substrat durchzuführen. Eine besonders vielversprechende Anwendung für die vorliegende Erfindung liegt in dem Ablösen (Entfernung) von Mustern eines organometallischen Photoresistmaterials von der Oberfläche eines Halbleiterwafers unter Anwendung von Ozon. In einer solchen Ausführungsform fördert die erhöhte Temperatur des mit Mikrowellen geheizten Substrats eine schnelle Reaktion mit dem Ozon, um das organometallische Material zu verbrauchen.Embodiments in accordance with the present invention are not limited to performing any particular type of chemical processing on a substrate. A particularly promising application for the present inven One is to peel (remove) patterns of an organometallic photoresist material from the surface of a semiconductor wafer using ozone. In such an embodiment, the elevated temperature of the microwave-heated substrate promotes rapid reaction with the ozone to consume the organometallic material.

Gemäß einer Ausführungsform der vorliegenden Erfindung kann die Zuführung von Mikrowellenstrahlung von der Zuführung von reaktives Ozon enthaltendem Sauerstoffgas oder eines anderen chemi schen Stoffs für die Bearbeitung entkoppelt werden. In einem Prozess zum Ablösen eines implantierten Photoresists wird der implantierte Wafer erhitzt, und ein unabhängiger Generator erzeugt aus Sauerstoff Ozon. Das Ozongas tritt mit der Mikrowellenenergie nicht in Wechselwirkung und wird daher durch die Mikrowellenenergie nicht beeinflusst und zersetzt sich nicht, bis es die erhitzte Oberfläche der organometallischen Beschichtung erreicht. Das erzeugte Ozon enthält keine großen Mengen reaktiver Ionen oder Radikale mit hoher Energie, die auf der Waferoberfläche vorhandene heikle Strukturen beschädigen können.According to one embodiment The present invention can provide microwave radiation from the feeder of reactive ozone-containing oxygen gas or another chemical For the processing can be decoupled. In a process of peeling one implanted photoresists, the implanted wafer is heated, and an independent Generator generates ozone from oxygen. The ozone gas occurs with the Microwave energy does not interact and is therefore caused by does not affect and decompose the microwave energy, until it's the heated surface of the organometallic coating. The ozone generated contains no big ones Amounts of reactive ions or high energy radicals that are on the wafer surface can damage existing delicate structures.

Aufgrund der hohen Konzentration einer reaktiven Art mit verhältnismäßig geringer Energie, die sich aus der Zersetzung von Ozon ergibt, an der Substratoberfläche, können Substrate, die unter Ausnutzung dieses Prozesses gemäß der vorliegenden Erfindung gereinigt werden, im Wesentlichen frei von Rückständen sein. In einer Ausführungsform wurde auf jedem von zwei Wafern mit 200 mm ein Positivphotoresistharz auf Novolac-Basis mit einer Dicke von 12500 Å gebildet. Das Photoresist auf dem ersten Wafer wurde mit Arsen implantiert, und das Photoresist auf dem zweiten Wafer wurde mit Phosphor implantiert. Sowohl die Asals auch P-Implantationen wurden bei einer Dosis von etwa 3 × 1015 Atome/cm2 mit einer Implantationsenergie von 50 KeV bei 10000 μA durchgeführt.Because of the high concentration on the substrate surface of a reactive species with relatively low energy resulting from the decomposition of ozone, substrates cleaned using this process according to the present invention can be substantially free of residues. In one embodiment, a Novolac-based positive photoresist resin 12500 Å thick was formed on each of two 200 mm wafers. The photoresist on the first wafer was implanted with arsenic and the photoresist on the second wafer was implanted with phosphorus. Both the Asals and P implantations were carried out at a dose of approximately 3 × 10 15 atoms / cm 2 with an implantation energy of 50 KeV at 10000 μA.

Die das implantierte Resist tragenden Wafer wurden dann unter Atmosphärendruck in einem bei einer Leistungseinstellung von 40 % betriebenen Mikrowellenofen mit 1100 W erhitzt, während in einer höheren Konzentration als etwa 150000 ppm erzeugtes Ozongas mit einer Stromrate von 1,5 slm durch die Ofenkammer gezwungen wurde. Infolge dieser Prozessführung wurde von den Wafern in weniger als acht Minuten implantiertes Photoresist sauber abgelöst. Für Vergleichszwecke mit herkömmlichen Prozessen zur Entfernung von Photoresists wurde das gleiche implantierte Resistmaterial unter Verwendung herkömmlicher Prozesse mit Ozon bei hoher oder niedriger Temperatur überhaupt nicht abgelöst.The the implanted resist-bearing wafer was then under atmospheric pressure in a microwave oven operated at a power setting of 40% heated at 1100 W while in a higher one Concentration as about 150,000 ppm of ozone gas generated at a flow rate of 1.5 slm was forced through the furnace chamber. As a result of this litigation photoresist implanted by the wafers in less than eight minutes replaced cleanly. For comparison purposes with conventional Processes for removing photoresists were implanted the same Resist material using conventional processes with ozone not detached at all at high or low temperature.

Obgleich das obige Experiment die Entfernung eines Photoresistmaterials durch Beaufschlagung mit einem mit einer Ozonkonzentration von etwa 150000 ppm erzeugten Gas beschreibt, verlangt dies die vorliegende Erfindung nicht, und andere Ozonkonzentrationen zwischen 1000 bis 400000 ppm und höher könnten genutzt werden, da es keine bekannte Obergrenze der gemäß der vorliegenden Erfindung nützlichen Konzentration von Ozon gibt. Obgleich außerdem das obige Experiment mit der Verwendung von Ozon als Oxidationsmittel verbunden ist, erfordert dies die vorliegende Erfindung nicht, und andere oxidierende Arten oder Kombinationen oxidierender Arten wie z.B. Sauerstoff, Wasserstoffperoxid und andere Peroxide könnten alternativ dazu genutzt werden.Although the above experiment by removing a photoresist material Exposure to one with an ozone concentration of around 150,000 Describes ppm produced gas, the present invention requires not, and other ozone concentrations between 1000 to 400000 ppm and higher could be used as there is no known upper limit of that according to the present invention useful Concentration of ozone there. Although also the above experiment associated with the use of ozone as an oxidant, this does not require the present invention and others oxidizing Types or combinations of oxidizing species such as Oxygen, Hydrogen peroxide and other peroxides could alternatively be used become.

Beim Ablösen eines Photoresists oder anderen Anwendungen, die Ausführungsformen gemäß der vorliegenden Erfindung nutzen, kann der chemische Stoff für die Bearbeitung innerhalb entweder einer abgedichteten oder im Wesentlichen abgedichteten Bearbeitungskammer unter Überdruck gehalten werden, um die Effektivität und/oder Rate des Prozesses zu steigern. Eine Diskussion der Prozessführung bzw. Bearbeitung bei erhöhten Drücken ist in der gleichzeitig anhängigen Stammanmeldung Nr. 10/150,748, die am 17. Mai 2002 eingereicht wurde und durch Verweis hierin für alle Zwecke miteinbezogen ist, ausführlich beschrieben.At the supersede a photoresist or other application, the embodiments according to the present Utilizing invention, the chemical can be used for processing within either sealed or essentially sealed Processing chamber under excess pressure be kept to the effectiveness and / or rate of the process to increase. A discussion of the process management or processing at increased To press is pending at the same time Parent application No. 10 / 150,748, which was filed on May 17, 2002 and by reference herein for all purposes are included, described in detail.

Wie in der oben einbezogenen Anmeldung ausführlich beschrieben ist, kann eine Bearbeitung unter Überdrücken erreicht werden, indem man Bearbeitungsfluide in einen abgedichteten Bearbeitungsbehälter oder Bearbeitungsfluide in einen Bearbeitungsbehälter mit Auslässen begrenzter Kapazität strömen lässt, so dass innerhalb des Bearbeitungsbehälters ein Druck oberhalb des Drucks bei dem Austritt oder der Entlüftung aus dem Auslass vom Behälter ansteigt. Für gasförmige oder kompressible chemische Stoffe für die Bearbeitung und Komponenten kann dieser erhöhte Druck innerhalb des Bearbeitungsbehälters eine Erhöhung der Volumenkonzentration zur Folge haben. Erhöhte Drücke innerhalb der Kammer während einer Bearbeitung würden am ehesten typischerweise zwischen etwa 1 und 100 ATM liegen. Gemäß bestimmten Ausführungsformen der vorliegenden Erfindung kann der Bearbeitungsbehälter vorher unter Druck gesetzt werden.How is described in detail in the above-incorporated application processing under pressure by placing processing fluids in a sealed processing container or Machining fluids in a machining tank with outlets limited Capacity flows, so that a pressure above the Pressure increases at the outlet or vent from the outlet of the container. For gaseous or compressible chemical substances for The processing and components can be an increased pressure within the processing container increase the volume concentration. Increased pressures within the chamber during one Processing would take place on are typically between about 1 and 100 ATM. According to certain Embodiments of the In the present invention, the processing tank can be pressurized beforehand become.

Ein erhöhter Druck und/oder eine erhöhte Konzentration aktiver Prozesskomponenten in der Gasphase können/kann eine direkte Wechselwirkung zwischen der Gasphasenkomponente und der Waferoberfläche fördern. Alternativ dazu oder in Verbindung mit einer direkten Wechselwirkung zwischen der Gasphasenkomponente und der Waferoberfläche kann ein erhöhter Gasphasendruck die resultierende Konzentration dieser Komponenten in einer flüssigen Phase steigern, die in der Kammer vorhanden sein kann, wodurch wünschenswerte Bearbeitungseffekte wie z.B. die chemische Reaktivität erhöht werden. Eine derartige Prozessführung unter Druck, die bei erhöhten Temperaturen durchgeführt wird, die aus der Anwendung von Strahlung gemäß der Ausführungsformen der vorliegenden Erfindung resultieren, kann die Rate und Effektivität einer derartigen Prozessführung weiter steigern.An increased pressure and / or an increased concentration of active process components in the gas phase can / can promote a direct interaction between the gas phase component and the wafer surface. Alternatively, or in conjunction with a direct interaction between the gas phase component and the wafer surface, increased gas phase pressure can increase the resulting concentration of these components in a liquid phase that is present in the chamber can be, which increases desirable processing effects such as chemical reactivity. Such process control under pressure, carried out at elevated temperatures resulting from the use of radiation according to the embodiments of the present invention, can further increase the rate and effectiveness of such process control.

Obgleich eine Prozessführung gemäß Ausführungsformen der vorliegenden Erfindung dadurch gekennzeichnet sein kann, dass sie in einer "Kammer" durchgeführt wird, ist kein separater Bearbeitungsbehälter erforderlich, wenn man ein Bearbeitungsfluid aufgrund eines Druckabfalls zu einer oder durch eine Bearbeitungszone strömen lässt. Obgleich auch Ausführungsformen gemäß der vorliegenden Erfindung, die gerade diskutiert wurden, bei einem höheren Druck als Atmosphärendruck arbeiten können, können andere Ausführungsformen bei geringeren Drücken als Atmosphärendruck arbeiten, wo z.B. die Bearbeitungskammer vor der Einleitung eines chemischen Stoffes für die Bearbeitung evakuiert worden ist.Although a litigation according to embodiments of the present invention can be characterized in that it is carried out in a "chamber" no separate processing tank is required if one a machining fluid due to a pressure drop to or through flow a machining zone leaves. Although also embodiments according to the present Invention that have just been discussed at a higher pressure as atmospheric pressure can work, can other embodiments at lower pressures as atmospheric pressure work where e.g. the processing chamber before initiating a chemical substance for the processing has been evacuated.

Kombinationen chemischer Stoffe können gemäß Ausführungsformen der vorliegenden Erfindung in die Kammer eingeleitet werden. Zum Beispiel können Säuren in Verbindung mit dem Oxidationsmittel verwendet werden, um den Prozess einer Entfernung von Photoresist zu steigern. Beispiele von Säuren, die als Komponenten chemischer Stoffe für die Bearbeitung gemäß der Ausführungsformen der vorliegenden Erfindung genutzt werden können, beinhalten, sind aber nicht darauf beschränkt, anorganische Säuren und organische Säuren wie z.B. Essigsäure, Ameisensäure, Buttersäure, Propionsäure, Zitronensäure, Oxalsäure und Sulfonsäure. Derartige Säuren könnten in der gasförmigen Phase, in der flüssigen Phase in Form von Tröpfchen oder in der festen Phase in Form von Staub in die Kammer eingeleitet werden. Andere Beispiele aktiver Komponenten chemischer Stoffe für die Bearbeitung beinhalten, sind aber nicht darauf beschränkt, oberflächenaktive Stoffe und chelatbildende Stoffe.combinations Chemicals can, according to embodiments of the present invention are introduced into the chamber. To the Example acids can be used in conjunction with the oxidizing agent To increase the process of removing photoresist. Examples of acids, the as components of chemical substances for processing according to the embodiments of the present invention may include, but are not limited to inorganic acids and organic acids such as. Acetic acid, formic acid, butyric acid, propionic acid, Citric acid, oxalic acid and sulfonic acid. Such acids could in the gaseous Phase, in the liquid Phase in the form of droplets or introduced into the chamber in the solid phase in the form of dust become. Other examples of active components of chemical substances for processing include, but are not limited to, surfactants and chelating agents Substances.

Obgleich die vorliegende Erfindung oben in Verbindung mit einem Erhitzen eines Halbleiterwafers beschrieben wurde, um eine Beseitigung eines organometallischen Photoresists unter Verwendung eines chemischen Stoffes auf Ozonbasis zu fördern, ist die vorliegende Erfindung nicht auf diese besondere Anwendung beschränkt. Verfahren und Geräte gemäß der vorliegenden Erfindung könnten in Verbindung mit anderen Arten chemischer Stoffe für die Bearbeitung verwendet werden, um andere Arten einer Waferbearbeitung durchzuführen. Beispiele anderer Arten einer Waferbearbeitung, die für die vorliegende Erfindung geeignet sind, beinhalten, sind aber nicht darauf beschränkt, Ätzen anorganischer Schichten wie zum Beispiel Siliciumoxid oder Siliciumnitrid, das auf einem Substrat liegt, und Durchführen einer Reinigung nach der Bearbeitung wie zum Beispiel diejenigen, die der in der Technik gut bekannten RCA-Reinigungsabfolge analog sind.Although the present invention above in connection with heating of a semiconductor wafer has been described to eliminate an organometallic Photoresists using an ozone-based chemical to promote is the present invention is not limited to this particular application. method and devices according to the present Invention could in combination with other types of chemical substances for processing be used to perform other types of wafer processing. Examples other types of wafer processing necessary for the present invention are suitable, include, but are not limited to, etching inorganic layers such as silicon oxide or silicon nitride, which is on a Substrate lies, and performing post-processing cleaning such as those analogous to the RCA cleaning sequence well known in the art are.

Obgleich außerdem die obige Beschreibung auf eine Verwendung von Mikrowellenstrahlung fokussiert ist, um die Inhalte der Kammer zu erhitzen, verlangt dies die vorliegende Erfindung nicht. Andere Formen von Strahlung als Mikrowellen könnten verwendet werden, um innerhalb der Kammer vorhandene Substrate zu erhitzen, und die Verfahren und Geräte würden in den Umfang der vorliegenden Erfindung fallen. Zum Beispiel könnten alternative Ausführungsformen gemäß der vorliegenden Erfindung eine Heizung von Substraten mittels elektromagnetischer Induktion (EMIH) unter Ausnutzung von Strahlung mit Frequenzen im Bereich von wenigen MHz bis einige zehn GHz verwenden.Although Moreover the description above on the use of microwave radiation is focused to heat the contents of the chamber this is not the present invention. Other forms of radiation than microwaves could used to substrate present within the chamber heat, and the procedures and equipment would be within the scope of the present Invention fall. For example alternative embodiments according to the present Invention a heating of substrates by means of electromagnetic Induction (EMIH) using radiation with frequencies in the Use the range from a few MHz to a few tens of GHz.

1 veranschaulicht außerdem nur eine Ausführungsform eines Geräts zum Durchführen einer Bearbeitung gemäß der vorliegenden Erfindung, und andere Geräte und Verfahren würden ebenfalls in den Umfang der vorliegenden Erfindung fallen. 2 zeigt zum Beispiel eine vereinfachte Querschnittansicht einer alternativen Ausführungsform eines Geräts zum Durchführen einer Bearbeitung gemäß der vorliegenden Erfindung. Das Gerät 20 in 2 ist dem in 1 gezeigten ähnlich, enthält aber ferner eine wassergefüllte Spule 22 innerhalb der Kammer 24. Das Wasser innerhalb der Spule 22 absorbiert die Strahlung innerhalb der Kammer und heizt sich auf, wodurch der Effekt einer von den Wänden der Kammer reflektierten Strahlung gedämpft wird. 1 also illustrates only one embodiment of an apparatus for performing machining in accordance with the present invention, and other apparatus and methods would also fall within the scope of the present invention. 2 For example, Figure 11 shows a simplified cross-sectional view of an alternative embodiment of an apparatus for performing machining in accordance with the present invention. The device 20 in 2 is that in 1 shown similar, but also contains a water-filled coil 22 inside the chamber 24 , The water inside the coil 22 absorbs radiation within the chamber and heats up, dampening the effect of radiation reflected from the walls of the chamber.

Obgleich die Ausführungsform von 2 eine Spule enthält, die mit einem zirkulierenden Wasserstrom gefüllt ist, um Strahlung innerhalb der Kammer zu absorbieren, ist die vorliegende Erfindung nicht auf diese Konfiguration beschränkt. Andere Ansätze beinhalten ein Beschichten der Kammerwände mit einem Strahlung absorbierenden Material, Sprühen eines Wassernebels oder anderen Strahlung absorbierenden Materials in die Kammer oder auf die Oberfläche des Wafers oder einfaches Anordnen eines Tanks mit Wasser oder einem anderen Strahlung absorbierenden Material innerhalb der Kammer.Although the embodiment of 2 If a coil is filled with a circulating water stream to absorb radiation within the chamber, the present invention is not limited to this configuration. Other approaches include coating the chamber walls with a radiation absorbing material, spraying a water mist or other radiation absorbing material into the chamber or onto the surface of the wafer, or simply placing a tank with water or other radiation absorbing material within the chamber.

3 zeigt eine vereinfachte Draufsicht einer anderen alternativen Ausführungsform eines Bearbeitungsgeräts gemäß der vorliegenden Erfindung. Das Gerät 30 von 3 ist dem in 1 gezeigten ähnlich; ein Drehtisch 32 ist aber so konfiguriert, dass mehrere Wafer 34 in Bezug auf die Richtung der vom Mikrowellengenerator 38 emittierten Strahlung 36 getragen und gedreht werden. Außerdem sind ein Einlass 40 und ein Auslass 42 der Kammer 44 so konfiguriert, dass man eine ständige Zufuhr eines chemischen Stoffes für die Bearbeitung über Oberflächen 34a der Wafer 34 strömen lässt. Obgleich die Ausführungsform von 3 senkrecht zur Richtung der Mikrowellenstrahlung 36 orientierte Substrate 34 zeigt, verlangt dies die vorliegende Erfindung wieder nicht. Die Substrate 34 könnten vom Drehtisch 32 in Bezug auf den Mikrowellengenerator 38 in anderen Orientierungen getragen werden. Obgleich 3 eine Drehung einer den Wafer tragenden Drehtischanordnung zeigt, fordert dies außerdem die vorliegende Erfindung ebenfalls nicht. In alternativen Ausführungsformen könnten die Substrate in Bezug auf Strahlung innerhalb der Kammer durch Kontakt zwischen einer drehenden oder schnell drehenden Rolle oder einer anderen Struktur und einem Rand des Substrats gedreht werden. 3 shows a simplified top view of another alternative embodiment of a processing device according to the present invention. The device 30 of 3 is that in 1 shown similar; a turntable 32 but is configured to have multiple wafers 34 in terms of the direction of that from the microwave generator 38 emitted radiation 36 worn and rotated. There is also an inlet 40 and an outlet 42 the chamber 44 so confi guarantees that there is a constant supply of a chemical substance for processing over surfaces 34a the wafer 34 flows. Although the embodiment of 3 perpendicular to the direction of the microwave radiation 36 oriented substrates 34 shows, this does not require the present invention again. The substrates 34 could from the turntable 32 in relation to the microwave generator 38 to be worn in other orientations. Although 3 rotation of a turntable assembly supporting the wafer also does not require the present invention. In alternative embodiments, the substrates could be rotated with respect to radiation within the chamber by contact between a rotating or fast rotating roller or other structure and an edge of the substrate.

4 zeigt eine vereinfachte Querschnittsansicht einer anderen alternativen Ausführungsform eines Bearbeitungsgeräts gemäß der vorliegenden Erfindung. Das Gerät 40 von 4 ist dem in 1 gezeigten ähnlich, enthält aber zusätzlich eine Quelle 42 für Ultraviolettstrahlung (UV) in Verbindung mit einer Kammer 44 durch die Kammerwände oder durch ein UV-durchlässiges Fenster in den Kammerwänden. Obgleich die UV-Strahlungsquelle 42 außerhalb der Kammer 44 in 3 liegt, fordert dies die vorliegende Erfindung nicht, und in alternativen Ausführungsformen könnte die UV-Strahlungsquelle direkt innerhalb der Kammer vorhanden sein. 4 shows a simplified cross-sectional view of another alternative embodiment of a processing device according to the present invention. The device 40 of 4 is that in 1 shown similar, but also contains a source 42 for ultraviolet radiation (UV) in connection with a chamber 44 through the chamber walls or through a UV-permeable window in the chamber walls. Although the UV radiation source 42 outside the chamber 44 in 3 the present invention does not require this, and in alternative embodiments the UV radiation source could be present directly within the chamber.

Die UV-Quelle 42 liefert an die Kammer 44 eine Strahlung 46 mit einem wesentlich kürzeren Wellenlängenbereich (10–6 ≤ λ ≤ 10–8 m) als die Mikrowellenstrahlung (10–4 ≤ λ ≤ 10–1 m), die von der Mikrowellenquelle 48 geliefert wird. Dementsprechend kann die zur Kammer 44 übertragene UV-Strahlung 46 eine vorteilhafte Wechselwirkung mit innerhalb der Kammer vorhandenen chemischen Stoffen erlauben.The UV source 42 delivers to the chamber 44 a radiation 46 with a much shorter wavelength range (10 -6 ≤ λ ≤ 10 -8 m) than the microwave radiation (10 -4 ≤ λ ≤ 10 -1 m) emitted by the microwave source 48 is delivered. Accordingly, the chamber 44 transmitted UV radiation 46 allow an advantageous interaction with chemical substances present within the chamber.

Zum Beispiel kann eine verwendete UV-Strahlung mit einer Wellenlänge von 254 nm äußerst reaktive Arten wie z.B. molekularen Sauerstoff oder Sauerstoffradikale von Ozon innerhalb der Kammer erzeugen. Alternativ dazu oder in Verbindung mit diesem Prozess könnte verwendete UV-Strahlung mit einer Wellenlänge von 222 nm Hydroxylradikale aus innerhalb der Kammer vorhandenem Wasserstoffperoxid erzeugen. Gemäß noch einer weiteren alternativen Ausführungsform der vorliegenden Erfindung kann UV-Strahlung bei 172 nm von einer Quelle wie z.B. einer Excimerlampe auf innerhalb einer Bearbeitungskammer vorhandenen Sauerstoff angewendet werden. Diese UV-Strahlung mit 172 nm kann die Bildung reaktiver Sauerstoffradikale direkt aus molekularem Sauerstoff zur Folge haben, ohne Ozon überhaupt zu benötigen. Andere potentiell reaktive Arten, die aus der Anwendung von UV-Strahlung erzeugt werden, beinhalten, sind aber nicht darauf beschränkt, N2O, was bei Bestrahlung das äußerst reaktive Sauerstoffradikal bilden kann.For example, UV radiation used with a wavelength of 254 nm can produce extremely reactive species such as molecular oxygen or oxygen radicals from ozone within the chamber. Alternatively or in connection with this process, used UV radiation with a wavelength of 222 nm could generate hydroxyl radicals from hydrogen peroxide present within the chamber. According to yet another alternative embodiment of the present invention, UV radiation at 172 nm from a source such as an excimer lamp can be applied to oxygen present within a processing chamber. This 172 nm UV radiation can result in the formation of reactive oxygen radicals directly from molecular oxygen without the need for ozone at all. Other potentially reactive species generated from the use of UV radiation include, but are not limited to, N 2 O, which can form the extremely reactive oxygen radical when irradiated.

In jedem dieser Ansätze hat die Nähe der Strahlungsquelle zur Oberfläche des Substrats eine große Nähe der erzeugten radikalen Stoffe zur Oberfläche, mit der die Reaktion gewünscht wird, zur Folge. Eine schnelle Reaktion mit der Substratoberfläche kann somit stattfinden, bevor die kurzlebigen radikalen Arten, die durch Wechselwirkung mit der UV-Strahlung erzeugt werden, in nicht angeregte Arten zerfallen und die Effektivität der Prozessführung bzw. Bearbeitung reduzieren.In each of these approaches is close the radiation source to the surface of the substrate a large one Near the generated radical substances to the surface with which the reaction is desired result. A quick reaction with the substrate surface can thus take place before the short-lived radical species by Interaction with the UV radiation generated in non-excited Species decay and the effectiveness of litigation or Reduce machining.

Die Einführung der gasförmigen Arten in eine evakuierte Kammer kann außerdem die Lebensdauer von Radikalen und anderen reaktiven Arten verlängern, die durch Wechselwirkung mit der UV-Strahlung erzeugt werden. Demgemäß enthält die Ausführungsform eines in 4 gezeigten Geräts eine Vakuumpumpe 50 in Fluidverbindung mit der Kammer, was eine Evakuierung der Kammer während einer Bearbeitung erlaubt. Die Ausnutzung niedriger Drücke ist jedoch nicht auf eine UV-unterstützte Prozessführung gemäß der vorliegenden Erfindung beschränkt, und niedrige Drücke könnten ohne UV-Strahlung verwendet werden.The introduction of the gaseous species into an evacuated chamber can also extend the lifespan of radicals and other reactive species generated by interaction with UV radiation. Accordingly, the embodiment includes an in 4 device shown a vacuum pump 50 in fluid communication with the chamber, which allows the chamber to be evacuated during processing. However, the use of low pressures is not limited to UV assisted process management in accordance with the present invention, and low pressures could be used without UV radiation.

5 zeigt eine vereinfachte Draufsicht noch einer weiteren alternativen Ausführungsform eines Bearbeitungsgeräts gemäß der vorliegenden Erfindung. Das Gerät 50 von 5 ist dem in 4 gezeigten ähnlich; allerdings sind eine Mikrowellenquelle 52 und UV-Quelle 54 auf gegenüberliegenden Seiten des Wafers 56 angeordnet, wobei die Mikrowellenquelle 52 der Rückseite 56a des Wafers benachbart und die UV-Quelle 54 der Vorderseite 56b des Wafers benachbart ist. Die in 5 gezeigte Ausführungsform erlaubt, dass ein Strom eines Beschickungsgases über sowohl die Vorderseite als auch Rückseite des Wafers vorgesehen wird, wobei ein Ausströmanschluss 58 genutzt wird, um sowohl einen kontinuierlichen Strom eines chemischen Stoffes für die Bearbeitung über die Oberfläche des Substrats aufrechtzuerhalten als auch einen verbrauchten chemischen Stoff zur Bearbeitung zu entfernen. 5 shows a simplified top view of yet another alternative embodiment of a processing device according to the present invention. The device 50 of 5 is that in 4 shown similar; however, are a microwave source 52 and UV source 54 on opposite sides of the wafer 56 arranged, the microwave source 52 the back 56a adjacent to the wafer and the UV source 54 the front 56b is adjacent to the wafer. In the 5 The embodiment shown allows flow of a feed gas to be provided across both the front and back of the wafer, with an outflow port 58 is used both to maintain a continuous flow of a chemical for processing over the surface of the substrate and to remove a spent chemical for processing.

In bestimmten Anwendungen könnte die in 5 gezeigte Ausführungsform das Vorhandensein eines Wafers 56 oder von Materialien, die damit in engem Kontakt stehen oder darauf vorhanden sind, ausnutzen, um die einfallende Mikrowellen- oder HF-Strahlung zu nutzen, um die einfallende Mikrowellen- oder HF-Strahlung zu absorbieren und heiß zu werden, während zur gleichen Zeit die Wafereinheit die Mikrowellen- oder Hochfrequenzstrahlung blockieren und/oder reflektieren und verhindern kann, dass sie chemische Stoffe für die Bearbeitung erreicht und mit diesen in Wechselwirkung tritt, die über der Vorderseite des Wafers vorhanden sind. Die in 5 gezeigte Konfiguration ermöglicht, dass UV-Strahlung gleichzeitig mit einer Wafer-Heizung mittels Mikrowellen zugeführt wird, um die gewünschte Bearbeitung zu erzielen. Obgleich die Ausführungsform von 5 die UV-Quelle in direkter Verbindung mit der Kammer zeigt, verlangt dies die vorliegende Erfindung nicht, und die UV-Strahlung könnte durch ein reflektierendes/fokussierendes Netz mit Linsen oder Spiegeln zur Kammer und zum Wafer gerichtet werden.In certain applications, the in 5 Embodiment shown the presence of a wafer 56 or materials that are in close contact with or present on it to use the incident microwave or RF radiation to absorb the incident microwave or RF radiation and become hot while at the same time Wafer unit that can block and / or reflect microwave or high frequency radiation and prevent it from reaching and interacting with chemical substances for processing over the front of the wafer. In the 5 The configuration shown enables UV radiation to be supplied simultaneously with a wafer heater by means of microwaves in order to achieve the desired processing. Although the embodiment of 5 shows the UV source in direct communication with the chamber, the present invention does not require it, and the UV radiation could be directed to the chamber and the wafer by a reflective / focusing network with lenses or mirrors.

Ausführungsformen von Verfahren und Geräten gemäß der vorliegenden Erfindung bieten mehrere Vorteile gegenüber herkömmlichen Bearbeitungstechniken. Ein Vorteil ist eine erhöhte Genauigkeit der Heizung und eine entsprechende Erhöhung der Bearbeitungseffektivität. Zum Beispiel kann es wünschenswert sein, Ozon in der Kammer zu verwenden, um eine Bearbeitung wie z.B. Ablösen eines Photoresistmaterials zu bewerkstelligen. Die Stabilität von Ozon nimmt jedoch mit erhöhter Temperatur ab. Herkömmliche Ansätze zur Bearbeitung, die eine Kontaktheizung von Wafern oder ein Heizen von Wafern über Beaufschlagung mit heißen Gasen nutzen, können einfach eine Heizung der gesamten Kammer statt vielmehr des Wafers selbst zur Folge haben. In solchen herkömmlichen Ansätzen einer Kontaktheizung kann sich Ozon oder ein anderer reaktiver chemischer Stoff für die Bearbeitung zersetzen, bevor er die Oberfläche des Wafers erreicht. Diese Zersetzung reduziert die Effektivität und Bearbeitungsrate.embodiments of processes and devices according to the present Invention offer several advantages over conventional machining techniques. One advantage is an increased one Accuracy of heating and a corresponding increase in Processing efficiency. For example, it may be desirable ozone in the chamber to process such as e.g. supersede of a photoresist material. The stability of ozone however increases with increased Temperature. conventional approaches for processing that a contact heating of wafers or a heating of wafers over Exposure to hot Can use gases simply heating the entire chamber instead of the wafer itself result. In such conventional approaches one Contact heating can involve ozone or another reactive chemical Fabric for decompose the processing before it reaches the surface of the wafer. This Decomposition reduces effectiveness and processing rate.

Im Gegensatz dazu führen Ausführungsformen gemäß der vorliegenden Erfindung Mikrowellen der Kammer zu, um eine spezifische genaue Heizung des Wafers herbeizuführen, ohne dass eine allgemeine Aufheizung der gesamten Kammer resultiert. Ozon oder andere reaktive chemische Stoffe für die Bearbeitung, die in die Kammer eingeführt werden, bleiben somit intakt, bis sie die heiße Oberfläche des Wafers erreichen, woraufhin die gewünschte Bearbeitungsreaktion effizient stattfinden kann.in the Contrast with it embodiments according to the present Invention microwaves the chamber to a specific exact Bring about heating of the wafer, without a general heating of the entire chamber. ozone or other reactive chemical substances for machining that are in the Chamber introduced will remain intact until they reach the hot surface of the wafer, whereupon the desired Machining reaction can take place efficiently.

Ein weiterer Vorteil, der durch Ausführungsformen gemäß der vorliegenden Erfindung geliefert wird, ist ein erhöhter Durchsatz. Konkret beansprucht die Übertragung thermischer Energie auf die und von den Wafern während einer Heizung und Abkühlung Zeit und kann den effektiven Durchsatz eines Gerätes reduzieren. Herkömmliche Ansätze zum Heizen eines Wafers können eine Kontaktheizung nutzen, die erfordert, dass sowohl das kontaktierende Bauteil als auch der Wafer auf eine erhöhte Temperatur erhitzt werden. Außerdem können solche herkömmlichen Ansätze typischerweise die Abkühlung sowohl des erhitzten Wafers als auch des Heizbauteils über Mechanismen wie z.B. eine einen Strom eines Kühlgases ausnutzende Konvektion oder eine gekühlte Anordnung innerhalb der Kammer beinhalten. Dieser Ansatz verschwendet jedoch viel von der Energie, die beim Heizen genutzt wird, welche während jedes Durchgangs aus der Bearbeitungskammer entfernt werden muss.On Another advantage of embodiments according to the present Invention supplied is increased throughput. Specifically, the transfer takes up thermal energy on and off the wafers during a heating and cooling time and can reduce the effective throughput of a device. conventional approaches for heating a wafer use a contact heater that requires both the contacting The component and the wafer are heated to an elevated temperature. Moreover can such conventional approaches typically cooling of both the heated wafer and the heating device via mechanisms such as. a convection utilizing a flow of a cooling gas or a refrigerated arrangement include within the chamber. However, this approach is wasted much of the energy used in heating that is used during each run must be removed from the processing chamber.

Im Gegensatz dazu vermeiden viele Ausführungsformen gemäß der vorliegenden Erfindung die Verwendung eines separaten Kontaktbauteils, so dass kein Bedarf daran besteht, das Kontaktbauteil zusätzlich zum Wafer zu heizen und dann abzukühlen. Die Verwendung von Mikrowellenstrahlung, um den Wafer zu erhitzen, und die Beendigung der Verwendung von Mikrowellenstrahlung, um eine Abkühlung des Wafers zu ermöglichen, finden ohne jegliche Verzögerungszeit statt, die mit einem Erhitzen oder Abkühlen eines nahen Kontaktbauteils verbunden ist. Die erhöhte Geschwindigkeit und Effizienz der Heizung und Abkühlung erhöht den Durchsatz der Bearbeitungskammer.in the In contrast, many embodiments avoid the present Invention the use of a separate contact component, so that there is no need for the contact component in addition to Heat the wafer and then cool it down. The use of microwave radiation to heat the wafer and the cessation of using microwave radiation to a cooling down of the wafer to enable find without any delay time instead, with heating or cooling a nearby contact component connected is. The increased The speed and efficiency of heating and cooling increases throughput the processing chamber.

Noch ein weiterer Vorteil, der von Ausführungsformen gemäß der vorliegenden Erfindung geliefert wird, ist eine erhöhte Beaufschlagung von Oberflächen des Substrats mit chemischen Stoffen für die Bearbeitung. Zum Beispiel verwenden herkömmliche Kontaktheiztechniken typischerweise ein erhitztes Bauteil in direktem physischem Kontakt mit zumindest einer Oberfläche des Substrats, typischerweise der Rückseite des Wafers, oder in unmittelbarer physischer Nähe dazu. Das Vorhandensein dieses Kontaktbauteils kann den Strom chemischer Stoffe für die Bearbeitung zur Oberfläche der Rückseite des Wafers physisch behindern, wodurch die Effektivität und Flexibilität der Prozessführung bzw. Bearbeitung verringert wird, insbesondere da sich die Sauberkeit (engl. cleanliness) der Waferrückseite als ein wichtiges Element bei der Halbleiterherstellung erweist.Yet another advantage of embodiments according to the present Delivered invention is an increased exposure to surfaces of the Substrate with chemical substances for processing. For example use conventional Contact heating techniques typically involve a heated component in direct physical contact with at least one surface of the substrate, typically the back of the wafer, or in close physical proximity to it. The presence This contact component can handle the flow of chemical substances for processing to the surface the back of the wafer physically hamper, which increases the effectiveness and flexibility of the process control or processing is reduced, especially since cleanliness the back of the wafer proves to be an important element in semiconductor manufacturing.

Das Erhitzen des Wafers gemäß Ausführungsformen der vorliegenden Erfindung vermeidet jedoch diesen Nachteil. Das Substrat kann unter Verwendung von Mikrowellen oder anderer Strahlung, die dazu dient, sowohl die Vorderseite des Wafers als auch die Rückseite des Wafers zu erhitzen, in der Kammer über seine Seiten oder Ränder getragen werden. Chemische Stoffe für die Bearbeitung können dann gleichzeitig zugeführt werden und ungehindert zur erhitzten Vorderseite und Rückseite des Wafers strömen, um die gewünschte chemische Reaktion zu erreichen.The Heating the wafer in accordance with embodiments however, the present invention avoids this disadvantage. The Substrate can be created using microwaves or other radiation serves both the front of the wafer and the back heat of the wafer can be carried in the chamber over its sides or edges. Chemical substances for can edit then fed simultaneously become and unhindered to the heated front and back pour the wafer, to the one you want to achieve chemical reaction.

Ein weiterer Vorteil von Ausführungsformen gemäß der vorliegenden Erfindung ist die Fähigkeit, eine schnelle thermische Bearbeitung durchzuführen. In herkömmlichen Geräten und Verfahren, die eine Kontaktheizung des Wafers ausnutzen, wird die Zuführung thermischer Energie zum Wafer um die Zeit verlängert, die erforderlich ist, um das Kontaktbauteil aufzuheizen und abzukühlen. Diese verlängerte Zeitspanne für die Beaufschlagung mit hohen Temperaturen muss im thermischen Haushalt berücksichtigt werden, der für einen bestimmten Prozess einkalkuliert wird, um unerwünschte Effekte wie z.B. Migration implantierter Dotierstoffe innerhalb eines Substrats zu vermeiden.Another advantage of embodiments according to the present invention is the ability to perform rapid thermal processing. In conventional devices and methods that utilize contact heating of the wafer, the supply of thermal energy to the wafer is extended by the time required to heat and cool the contact component. Extend this The time span for exposure to high temperatures must be taken into account in the thermal household, which is taken into account for a specific process in order to avoid undesirable effects such as migration of implanted dopants within a substrate.

Gemäß Ausführungsformen der vorliegenden Erfindung ist ein Erhitzen und Abkühlen des Wafers aufgrund des Fehlens eines eingreifenden Kontaktbauteils extrem schnell. Die Fähigkeit, dem Substrat thermische Energie schnell und präzise zuzuführen, erhöht die Genauigkeit der Bearbeitung in einer Weise, die in der Technik bekannten Verfahren einer schnellen thermischen Bearbeitung (RTP) analog ist, und kann unerwünschte Phänomene wie z.B. eine thermisch induzierte Dotierstoffmigration verhindern. Man würde erwarten, dass Ausführungsformen gemäß der vorliegenden Erfindung ein beaufschlagtes Substrat oder einen chemischen Stoff für den Prozess mit einer Rate zwischen etwa 10°C und 10.000°C/min. erhitzen. Durch eine ausgewählte Anwendung von Kühltechniken auf den bearbeiteten Wafer könnte ähnlich ein erhitztes Substrat oder ein chemischer Stoff für den Prozess mit einer Rate zwischen etwa 10°C und 10.000°C/min. gekühlt werden.According to embodiments of the present invention is heating and cooling the Wafers due to the lack of an engaging contact component extremely fast. The ability, Adding thermal energy to the substrate quickly and precisely increases the accuracy of the processing in a way that is a method known in the art of rapid thermal processing (RTP) is analog, and can include undesirable phenomena such as e.g. prevent thermally induced dopant migration. One would expect embodiments in accordance with the present Invention an applied substrate or chemical for the process at a rate between about 10 ° C and 10,000 ° C / min. heat. By a selected one Application of cooling techniques on the processed wafer could be similar heated substrate or chemical for the process at a rate between about 10 ° C and 10,000 ° C / min. chilled become.

Noch ein weiterer Vorteil, der durch Ausführungsformen der vorliegenden Erfindung geliefert wird, ist die Fähigkeit, verschiedene Komponenten eines chemischen Stoffes für die Bearbeitung, der innerhalb der Kammer vorhanden ist, selektiv zu erhitzen. Mikrowellen- oder andere Strahlung kann zum Beispiel eher eine Komponente eines chemischen Stoffs für die Bearbeitung erhitzen, während eine andere Komponente verhältnismäßig unbeeinflusst bleiben. Bestimmte polare Verbindungen (wie z.B. Wasser oder Wasserstoffperoxid) können zum Beispiel verhältnismäßig verlustbehaftet sein oder die zugeführte Strahlung einfach absorbieren und sich schnell aufheizen, während andere Verbindungen (wie z.B. Tetraethoxysilicat – TEOS) als Antwort auf eine Bestrahlung mit der zugeführten Strahlung verhältnismäßig transparent oder inert sind.Yet another advantage provided by embodiments of the present Delivered is the ability to use different components of one chemical substance for the processing that is present within the chamber is selective to heat. For example, microwave or other radiation rather heat a component of a chemical for processing, while another component remains relatively unaffected. Certain polar compounds (such as water or hydrogen peroxide) can for example, relatively lossy be or the fed Simply absorb radiation and heat up quickly while others Compounds (such as tetraethoxysilicate - TEOS) in response to one Irradiation with the supplied Radiation relatively transparent or are inert.

Gemäß Ausführungsformen der vorliegenden Erfindung kann es daher möglich sein, die Bearbeitung bzw. Prozessführung darauf zuzuschneiden, ein bestimmtes Ziel zu erreichen. Eine Komponente der chemischen Stoffe für die Bearbeitung könnte in vorteilhafter Weise durch Beaufschlagung mit der Strahlung erhitzt werden, während die Temperatur einer anderen Komponente der chemischen Stoffe für die Bearbeitung verhältnismäßig konstant bleibt. Diese Temperaturdifferenz zwischen den Komponenten der chemischen Stoffe für die Bearbeitung kann einem bestimmten Reinigungs- oder Ablöseprozess in vorteilhafter Weise eine erhöhte Aktivität und/oder Selektivität verleihen. Ein Beispiel dieses Effekts könnte in einer Anwendung vorliegen, die Ozon mit Wasserdampf nutzt, wo das Wasser durch die Strahlung erhitzt wird, das Ozon aber verhältnismäßig unbeeinflusst ist.According to embodiments the present invention, it may therefore be possible to edit or litigation tailored to achieve a specific goal. A component of the chemical substances for the editing could heated in an advantageous manner by exposure to the radiation be while the temperature of another component of the chemical substances for processing relatively constant remains. This temperature difference between the components of the chemical Fabrics for the processing can be a specific cleaning or peeling process advantageously increased activity and / or selectivity to lend. An example of this effect could be in an application which uses ozone with water vapor where the water is exposed to radiation is heated, but the ozone is relatively unaffected is.

Noch ein weiterer Vorteil von Ausführungsformen gemäß der vorliegenden Erfindung ist eine erhöhte Flexibilität. In herkömmlichen Systemen mit Kontaktheizung wird das Substrat durch Konvektion gekühlt, während ein kühlender Luftstrom, der chemische Stoffe für die Bearbeitung enthält, am Substrat vorbeiströmt. In derartigen herkömmlichen Ansätzen ist der Massentransfer von chemischen Stoffen für die Bearbeitung zur Waferoberfläche durch die Notwendigkeit beschränkt, den Wafer oberhalb einer bestimmten Temperatur zu halten. Ausführungsformen gemäß der vorliegenden Erfindung entkoppeln jedoch den Massentransfer chemischer Stoffe für die Bearbeitung zur Waferoberfläche von Kühleffekten, so dass die Leistung der Strahlung erhöht werden kann, um Kühleffekte zu kompensieren, die mit einem erhöhten Strom chemischer Stoffe für die Bearbeitung verbunden sind.Yet another advantage of embodiments according to the present Invention is an exalted one Flexibility. In conventional Systems with contact heating, the substrate is cooled by convection during a cooling Air flow containing chemical substances for processing flows past the substrate. In such usual Approaches is the mass transfer of chemical substances for processing to the wafer surface limited the need to keep the wafer above a certain temperature. Embodiments according to the present However, the invention decouples the mass transfer of chemical substances for editing to the wafer surface of cooling effects, so that the power of radiation can be increased to cool effects to compensate for that with an increased flow of chemical substances for the Editing are connected.

Ausführungsformen gemäß der vorliegenden Erfindung sind allgemein anwendbar auf jeden beliebigen Bearbeitungsschritt, wo es erwünscht ist, thermische Energie einem Substrat zuzuführen. Während die Erfindung oben in Verbindung mit einem Ablösen eines entwickelten organischen Photoresistmaterials durch Beaufschlagung mit Ozon beschrieben wurde, ist folglich die Erfindung nicht auf diese spezielle Anwendung beschränkt. Ein Beispiel eines anderen Bearbeitungsschritts, der gemäß der vorliegenden Erfindung durchgeführt werden kann, ist Ätzen von anorganischem Material durch Beaufschlagung mit einer Säure, zum Beispiel die Entfernung von Siliciumdioxid durch Beaufschlagung mit HF in einem Gas oder aufgelöst in einer flüssigen Lösung. Eine nicht exklusive Liste von Säuren, die verwendet werden können, um anorganische Schichten gemäß Ausführungsformen der vorliegenden Erfindung zu ätzen, beinhaltet Fa, Cl2, HF, HCl, H2SO4, H2CO3, HNO3, H3PO4, Aqua Regia, Chrom- und Schwefelsäuremischungen, Schwefel- und Ammoniumpersulfatmischungen und deren verschiedene Kombinationen.Embodiments according to the present invention are generally applicable to any processing step where it is desired to apply thermal energy to a substrate. Accordingly, while the invention has been described above in connection with stripping of a developed organic photoresist material by exposure to ozone, the invention is not limited to this specific application. An example of another processing step that can be carried out in accordance with the present invention is etching of inorganic material by exposure to an acid, for example removal of silicon dioxide by exposure to HF in a gas or dissolved in a liquid solution. A non-exclusive list of acids that can be used to etch inorganic layers in accordance with embodiments of the present invention includes Fa, Cl 2 , HF, HCl, H 2 SO 4 , H 2 CO 3 , HNO 3 , H 3 PO 4 , Aqua Regia, chromium and sulfuric acid mixtures, sulfur and ammonium persulfate mixtures and their various combinations.

In noch weiteren Anwendungen für Ausführungsformen der vorliegenden Erfindung können die in die Kammer eingeführten chemischen Stoffe für die Bearbeitung eine Base aufweisen. Eine nicht exklusive Liste von Basen, die von Ausführungsformen gemäß der vorliegenden Erfindung genutzt werden könnten, beinhaltet, ist aber nicht darauf beschränkt, NH3, NH4OH, NaOH, TMAH und KOH. Diese Materialien können in Form eines Gases, einer Flüssigkeit oder eines Feststoffes vorliegen.In still further applications for embodiments of the present invention, the processing chemicals introduced into the chamber may include a base. A non-exclusive list of bases that could be used by embodiments according to the present invention includes, but is not limited to, NH 3 , NH 4 OH, NaOH, TMAH, and KOH. These materials can be in the form of a gas, liquid, or solid.

In noch weiteren Anwendungen für Ausführungsformen der vorliegenden Erfindung können die in die Kammer eingeführten chemischen Stoffe für die Bearbeitung einen oberflächenaktiven Stoff aufweisen. Gemäß noch anderen Anwendungen für Ausführungsformen der vorliegenden Erfindung können in die Kammer eingeleitete chemische Stoffe für die Bearbeitung ein chelatbildendes Mittel wie zum Beispiel Ethylendiamintetraessigsäure (EDTA) aufweisen.In still further applications for embodiments of the present invention, the chemical substances introduced into the chamber for processing have a surfactant. In yet other applications for embodiments of the present invention, processing chemicals introduced into the chamber may include a chelating agent such as ethylenediaminetetraacetic acid (EDTA).

Die Reinigung von Wafern ist noch eine andere Art von Bearbeitung, die gemäß der vorliegenden Erfindung durchgeführt werden kann. In Reinigungsanwendungen für Wafer wird ein unerwünschter Rest von einer vorherigen Bearbeitung, der auf einer Oberfläche des Wafers zurückbleibt, in Vorbereitung auf eine weitere Bearbeitung entfernt. Ein derartiges Reinigen von Wafern kann damit verbunden sein, den Wafer einem einzigen chemischen Stoffs für die Reinigung auszusetzen, oder kann damit verbunden sein, den Wafer einer Reihe komplementärer chemischer Stoffe für die Reinigung auszusetzen.The Wafer cleaning is yet another type of processing that according to the present Invention carried out can be. In cleaning applications for wafers, one becomes undesirable Remainder from a previous edit made on a surface of the Wafers left behind removed in preparation for further editing. Such a thing Cleaning wafers can involve wafers one at a time chemical substance for suspend cleaning, or may involve the wafer a range of complementary chemical Fabrics for to suspend cleaning.

Allgemeine Klassen von chemischen Stoffen, die zum Reinigen von Wafern nützlich sind, beinhalten saure Lösungen, basische Lösungen, wässrige Lösungen, die oxidierende Komponenten enthalten, und deren Kombinationen. Eine Klasse eines Reaktants, die zum Reinigen eines Substrats oder andere Bearbeitungsanwendungen gemäß der vorliegenden Erfindung nützlich sein kann, sind organische Säuren. Eine Liste derartiger organischer Säuren beinhaltet, ist aber nicht darauf beschränkt, Essigsäure, Ameisensäure, Buttersäure, Propionsäure, Zitronensäure, Oxalsäure und Sulfonsäure.General Classes of chemicals useful for cleaning wafers involve acidic solutions, basic solutions, aqueous Solutions, which contain oxidizing components, and their combinations. A class of reactant used to clean a substrate or other Machining applications according to the present Invention useful can be organic acids. A list of such organic acids includes, but is not limited to Acetic acid, formic acid, butyric acid, Propionic acid, citric acid, oxalic acid and Sulfonic acid.

Ein Beispiel eines speziellen Prozesses zur Reinigung von Wafern ist die in der Technik allgemein bekannte RCA-Waschabfolge. Diese mehrstufige nasse Bearbeitung umfasst eine Folge von fünf komplementären chemischen Bädern, um die restlichen organischen Materialien, Partikel und Metalle zu entfernen. In einem ersten Schritt wird das Substrat einem erhitzten wässrigen Bad aus H2SO4 und H2O2 ausgesetzt, um Carosche Säure (H2SO5) zu bilden, um restliche organische Materialien, zum Beispiel auf einer Substratoberfläche übriges entwickeltes Photoresistmaterial, zu entfernen. In einem zweiten Schritt wird das Substrat einem verdünnten wässrigen HF-Bad bei Raumtemperatur ausgesetzt, um die Oxidschicht und Verunreinigungen, die darin enthalten sind, zu entfernen. In einem dritten Schritt wird das Substrat einem erhitzten wässrigen Bad aus Ammoniumhydroxid (NH4OH) und H2O2 ausgesetzt, um Partikel und andere Verunreinigungen zu entfernen. In einem vierten Schritt wird das Substrat einem erhitzten wässrigen Bad aus Chlorwasserstoffsäure (HCl) und H2O2 ausgesetzt, um Metalle zu entfernen. Schließlich wird im fünften Schritt das Substrat wieder einem Bad aus verdünnter Fluorwasserstoffsäure (HF) ausgesetzt, um die durch Oxidation im vorherigen Schritt gebildete Oxidschicht zu entfernen, was in der Oxidschicht eingebettete metallische Verunreinigungen befreit und ihre Entfernung gestattet und die Oberfläche des Wafers hydrophob macht. Gemäß Ausführungsformen der vorliegenden Erfindung kann während eines oder mehrerer der oben angegeben RCA-Reinigungsschritte Strahlung zugeführt werden, um ihre Effektivität zu steigern.An example of a special process for cleaning wafers is the RCA wash sequence, which is well known in the art. This multi-stage wet processing involves a sequence of five complementary chemical baths to remove the remaining organic materials, particles and metals. In a first step, the substrate is exposed to a heated aqueous bath of H 2 SO 4 and H 2 O 2 to form Caro's acid (H 2 SO 5 ) in order to remove residual organic materials, for example photoresist material developed on a substrate surface remove. In a second step the substrate is exposed to a dilute aqueous HF bath at room temperature in order to remove the oxide layer and impurities contained therein. In a third step, the substrate is exposed to a heated aqueous bath of ammonium hydroxide (NH 4 OH) and H 2 O 2 to remove particles and other contaminants. In a fourth step, the substrate is exposed to a heated aqueous bath of hydrochloric acid (HCl) and H 2 O 2 to remove metals. Finally, in the fifth step, the substrate is again exposed to a bath of dilute hydrofluoric acid (HF) in order to remove the oxide layer formed by oxidation in the previous step, which frees metallic impurities embedded in the oxide layer and permits their removal and makes the surface of the wafer hydrophobic. In accordance with embodiments of the present invention, radiation may be added during one or more of the above RCA cleaning steps to increase their effectiveness.

Eine Modifizierung der Waferoberfläche ist noch eine andere Art einer Bearbeitung, die gemäß Ausführungsformen der vorliegenden Erfindung in vorteilhafter Weise durchgeführt werden kann. Zum Beispiel können chemische Stoffe für die Bearbeitung mit erhöhten Konzentrationen eines reduzierenden Mittels wie z.B. Wasserstoffgas in einer Kammer vorhanden sein, um Oberflächeneigenschaften eines Substrats zu passivieren oder zu ändern oder einen Prozess durchzuführen, bei dem eine Reaktion mit den innerhalb der Kammer vorhandenen chemischen Stoffen für die Bearbeitung zu einer reduzierten Oberflächenstruktur führt. Während einer Bearbeitung eines Siliziumwafers kann folglich Wasserstoffgas oder ein anderes reduzierendes Mittel vorhanden sein, um die Ausbildung einer Oxidschicht zu minimieren oder hydrophile SiO-Bindungen an der Oberfläche durch hydrophobe SiH-Bindungen zu ersetzen.A Modification of the wafer surface is yet another type of editing that according to embodiments of the present invention can be carried out in an advantageous manner can. For example, you can chemical substances for machining with increased Concentrations of a reducing agent such as e.g. Hydrogen gas be present in a chamber to provide surface properties of a substrate to passivate or change or to run a process in which a reaction with the chemical substances present in the chamber for the Machining leads to a reduced surface structure. During one Processing a silicon wafer can consequently be hydrogen gas or Another reducing agent may be in place for training to minimize an oxide layer or hydrophilic SiO bonds the surface to be replaced by hydrophobic SiH bonds.

Obgleich die vorliegende Erfindung ein Erhitzen eines Wafers unter Ausnutzung von Mikrowellenstrahlung beschrieben hat, ist es nicht erforderlich, dass die Temperatur während der Bearbeitung konstant bleibt. Ausführungsformen gemäß der vorliegenden Erfindung könnten ein Erhitzen gemäß vorbestimmten Temperaturgradienten nutzen, um maximale Effektivität zu erzielen. Zusätzlich zur Temperatur könnten andere Parameter der Prozessführung bzw. Bearbeitung ebenfalls im Laufe der Zeit variieren. Zum Beispiel könnte die Zeitlage einer Einbringung verschiedener Komponenten der chemischen Stoffe für die Bearbeitung spezifisch zugeschnitten werden, um bestimmte Ergebnisse zu erzielen. Sind die chemischen Stoffe für die Bearbeitung unter Druck vorhanden, könnte sich außerdem dieser Druck im Laufe der Zeit verändern, um eine Bearbeitung gemäß Ausführungsformen der vorliegenden Erfindung zu bewirken.Although the present invention utilizes heating of a wafer described by microwave radiation, it is not necessary that the temperature during processing remains constant. Embodiments according to the present Invention could heating according to predetermined Use temperature gradients to achieve maximum effectiveness. additionally to temperature other process control parameters or Editing also vary over time. For example could the timing of the introduction of various components of the chemical substances for the Editing can be tailored to specific results to achieve. Are the chemical substances for machining under pressure present, could yourself as well this pressure changes over time to an edit according to embodiments to effect the present invention.

Obgleich Ausführungsformen gemäß der vorliegenden Erfindung sich auf eine chemische Bearbeitung von Substraten beziehen können, die während der Herstellung von Halbleitereinrichtungen genutzt werden, zum Beispiel Substrate mit Silicium, SiGe, GaAs, Si, GaAs, GaInP und GaN, um einige zu nennen, ist die vorliegende Erfindung jedoch nicht auf eine Bearbeitung von Halbleitersubstraten beschränkt, und andere Materialien können während der Bearbeitung einer Mikrowellenheizung unterzogen werden. Beispiele anderer Kandidaten zur chemischen Bearbeitung unter Ausnutzung der vorliegenden Erfindung beinhalten, sind aber nicht darauf beschränkt, Festplatten und Festplattensubstrate, optische Einrichtungen wie zum Beispiel Spiegel, Linsen oder Wellenleiter und Substrate, die bei der Herstellung von mikroelektrisch-mechanischen Systemen (MEMS), Einrichtungen von Flüssigkristallanzeigen, biomedizi nischen Objektträgern, optischen Einrichtungen, Spiegeln, und Linsen, Wellenleitern, Substraten für DNA oder genetische Marker, Flüssigkristallanzeigen und anderen Medien genutzt werden. In besonderen Ausführungsformen könnten diese Substrate absichtlich mit einem Strahlung absorbierenden Material beschichtet werden, um ihr Ansprechvermögen auf die Temperatur bei Beaufschlagung mit zugeführter Strahlung zu steigern. Die Verwendung mehrerer Schichten verschiedener Arten Strahlung absorbierender Materialien, um das Ansprechverhalten auf die Temperatur zuzuschneiden, wird gemäß Ausführungsformen der vorliegenden Erfindung ebenfalls in Betracht gezogen.Although embodiments in accordance with the present invention may relate to chemical processing of substrates used during the manufacture of semiconductor devices, for example substrates with silicon, SiGe, GaAs, Si, GaAs, GaInP and GaN, to name a few however, the present invention is not limited to processing semiconductor substrates, and other materials may be subjected to microwave heating during processing. Examples of other candidates for che Mix processing using the present invention includes, but is not limited to, hard drives and hard disk substrates, optical devices such as mirrors, lenses or waveguides, and substrates used in the manufacture of microelectromechanical systems (MEMS), liquid crystal display devices, biomedical African slides, optical devices, mirrors, and lenses, waveguides, substrates for DNA or genetic markers, liquid crystal displays and other media can be used. In particular embodiments, these substrates could be deliberately coated with a radiation absorbing material to increase their temperature response when exposed to radiation. The use of multiple layers of different types of radiation absorbing materials to tailor the temperature response is also contemplated in accordance with embodiments of the present invention.

Obgleich die Erfindung hinsichtlich bevorzugter Verfahren und Strukturen beschrieben wurde, versteht der Fachmann, dass viele Modifikationen und Änderungen an den offenbarten Ausführungsformen vorgenommen werden können, ohne von der Erfindung abzuweichen. Daher sollen diese Modifikationen und Änderungen als innerhalb des Geistes und des Umfangs der Erfindung, wie sie durch die beigefügten Ansprüche definiert ist, liegend betrachtet werden. Obgleich beispielsweise einige Beispiele spezifischer Ausführungsformen, die vorher beschrieben wurden, eine bestimmte Abfolge von Schritten vorschlagen, erfordert die vorliegende Erfindung nicht diese speziellen Abfolgen.Although the invention in terms of preferred methods and structures the skilled person understands that many modifications and changes on the disclosed embodiments can be made without departing from the invention. Therefore, these modifications are intended and changes as within the spirit and scope of the invention as it is through the attached Expectations is defined to be considered horizontally. Although for example some examples of specific embodiments previously described were required to propose a specific sequence of steps the present invention does not follow these specific sequences.

Claims (20)

Verfahren zum Durchführen einer Bearbeitung eines Substrats, mit den Schritten: Vorsehen einer Bearbeitungskammer; Einsetzen eines Substrats in die Bearbeitungskammer; Einbringen chemischer Stoffe für die Bearbeitung in die Bearbeitungskammer; Unterdrucksetzen der Bearbeitungskammer durch Einbringen einer Komponente der chemischen Stoffe für die Bearbeitung in die Bearbeitungskammer und/oder Einleiten eines Gases in die Bearbeitungskammer; und Zuführen von Strahlung, um eine Schicht des Substrats, das das Substrat berührende Bauteil und/oder eine Komponente der chemischen Stoffe für die Bearbeitung zu erhitzen, wodurch eine Reaktion zwischen dem Substrat und den chemischen Stoffen für die Bearbeitung gefördert wird, wobei der Schritt zur Unterdrucksetzung vor, nach und/oder gleichzeitig mit dem Schritt einer Zuführung von Strahlung stattfindet.Process for performing an edit Substrate, with the steps: Providing a processing chamber; Deploy a substrate in the processing chamber; Introducing chemical Fabrics for processing in the processing chamber; Put under pressure the processing chamber by introducing a component of the chemical Fabrics for processing in the processing chamber and / or introducing a Gas into the processing chamber; and Supplying radiation to a Layer of the substrate, the component contacting the substrate and / or a Heat component of chemical substances for processing causing a reaction between the substrate and the chemical substances for the Machining promoted the step of pressurizing before, after and / or takes place simultaneously with the step of supplying radiation. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die verwendete Strahlung Mikrowellen, UV, IR, HF und/ oder elektromagnetische Induktion umfasst.A method according to claim 1, characterized in that the radiation used is microwaves, UV, IR, HF and / or includes electromagnetic induction. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Strahlungsquelle Strahlung emittiert, die in der Frequenz, Leistung, Wellenform und/oder Pulsdauer variiert.A method according to claim 1, characterized in that the radiation source emits radiation that in frequency, Power, waveform and / or pulse duration varies. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die chemischen Stoffe für die Bearbeitung ein Gas, eine Flüssigkeit, ein Tröpfchen, einen Nebel, einen Dampf und/oder einen Feststoff umfassen.A method according to claim 1, characterized in that the chemical substances for processing a gas, a liquid, a droplet comprise a mist, a vapor and / or a solid. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass das Substrat sich in Bezug auf die Kammer und/oder die chemischen Stoffe für die Bearbeitung während zumindest eines Teils der Bearbeitung bewegt.A method according to claim 1, characterized in that the substrate is in relation to the chamber and / or the chemical Fabrics for processing during at least part of the processing moves. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass zumindest ein Teil der Strahlung in Richtung auf das Substrat in einer Richtung parallel, senkrecht und/oder unter einem Winkel zwischen parallel und senkrecht gerichtet ist.A method according to claim 1, characterized in that at least part of the radiation is towards the substrate in one direction parallel, perpendicular and / or at an angle between parallel and perpendicular. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die chemischen Stoffe für die Bearbeitung eine Säure, eine Base, ein Oxidationsmittel, ein reduzierendes Mittel, entionisiertes (DI) Wasser und/oder ein organisches Lösungsmittel umfassen.A method according to claim 1, characterized in that the chemical substances for processing an acid, a base, an oxidizing agent, a reducing agent, deionized (DI) water and / or an organic solvent. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die chemischen Stoffe für die Bearbeitung Ozon in einem Konzentrationsbereich zwischen etwa 100 und 400.000 ppm umfassen.A method according to claim 1, characterized in that the chemical substances for processing ozone in a concentration range between approximately 100 and 400,000 ppm. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Bearbeitung eines Substrats mehrere Bearbeitungsschritte umfasst, die in der gleichen und/oder verschiedenen Bearbeitungskammern mit der gleichen und/oder verschiedenen Stoffen für die Bearbeitung durchgeführt werden.A method according to claim 1, characterized in that processing a substrate involves multiple processing steps includes that in the same and / or different processing chambers with the same and / or different fabrics for processing carried out become. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass das Substrat eine gemusterte Schicht mit einem dielektrischen, einem metallischen, einem organischen und/oder einem organometallischen Material umfasst.A method according to claim 1, characterized in that the substrate is a patterned layer with a dielectric, a metallic, an organic and / or an organometallic material includes. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Bearbeitung ein Entfernen eines Materials von einem Substrat, ein Hinzufügen eines Materials zu einem Substrat und/oder ein Modifizieren eines Substrats umfasst.A method according to claim 1, characterized in that machining involves removing a material from a substrate, an adding a material to a substrate and / or modifying one Includes substrate. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass eine Änderung der Temperatur des Substrats sich aus einem Erhitzen und/oder Abkühlen mit einer Rate zwischen 10 und 10.000°C/min. ergibt.A method according to claim 1, characterized in that a change in the temperature of the Substrate from heating and / or cooling at a rate between 10 and 10,000 ° C / min. results. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass ein Unterdrucksetzen der Prozesskammer einen höheren Druck als Atmosphärendruck, einen geringeren Druck als Atmosphärendruck und/oder Atmosphärendruck zur Folge hat.A method according to claim 1, characterized in that pressurizing the process chamber creates a higher pressure as atmospheric pressure, a pressure lower than atmospheric pressure and / or atmospheric pressure has the consequence. Verfahren nach Anspruch 1, ferner dadurch gekennzeichnet, dass es ein Evakuieren der Bearbeitungskammer vor einem Unterdrucksetzen der Bearbeitungskammer auf einen höheren Pegel als einen Evakuierungsdruck umfasst.The method of claim 1 further characterized that there is an evacuation of the processing chamber before pressurizing the processing chamber to a level higher than an evacuation pressure includes. Gerät zum Bearbeiten eines Substrats, mit: einer Kammer in Fluidverbindung mit einer Quelle für chemische Stoffe für die Bearbeitung; einer Quelle zur Unterdrucksetzung in Fluidverbindung mit der Kammer, wobei die Quelle zur Unterdrucksetzung betreibbar ist, um während einer Bearbeitung innerhalb der Kammer einen Druck zu erhöhen und/oder einen Druck zu verringern; und einer Strahlungsquelle in Verbindung mit der Kammer, um eine Schicht eines Substrats, ein das Substrat berührendes Bauteil und/oder chemische Stoffe für die Bearbeitung zu erhitzen, die innerhalb der Kammer vorhanden sind.device for processing a substrate, with: a chamber in fluid communication with a source of chemical Fabrics for the editing; a source of pressurization in fluid communication with the chamber, the source being operable to pressurize is to while processing within the chamber to increase a pressure and / or reduce pressure; and a radiation source in connection with the chamber to a layer of a substrate, a the substrate touching Heat component and / or chemical substances for processing, that exist within the chamber. Gerät nach Anspruch 15, dadurch gekennzeichnet, dass die Strahlungsquelle eine Quelle für Mikrowellen-, UV-, IR-, HF-Strahlung und elektromagnetische Induktion aufweist.device according to claim 15, characterized in that the radiation source a source of microwave, Has UV, IR, RF radiation and electromagnetic induction. Gerät nach Anspruch 15, dadurch gekennzeichnet, dass ein Substratträger innerhalb der Kammer angeordnet und dafür ausgelegt ist, ein Substrat zu tragen, so dass sich eine Orientierung des Substrats während einer Bearbeitung in Bezug auf die Strahlungsquelle ändert.device according to claim 15, characterized in that a substrate carrier within arranged in the chamber and for that is designed to carry a substrate so that there is an orientation of the substrate during processing related to the radiation source. Gerät nach Anspruch 15, dadurch gekennzeichnet, dass die Strahlungsquelle dafür ausgelegt ist, Strahlung zu emittieren, die in der Frequenz, Leistung, Wellenform und/oder Dauer variiert.device according to claim 15, characterized in that the radiation source is designed To emit radiation in frequency, power, waveform and / or duration varies. Gerät nach Anspruch 15, dadurch gekennzeichnet, dass die Strahlungsquelle durch ein für Strahlung durchlässiges Fenster mit der Kammer in Verbindung steht.device according to claim 15, characterized in that the radiation source through one for radiation permeable Window communicating with the chamber. Gerät nach Anspruch 15, dadurch gekennzeichnet, dass die Strahlungsquelle durch ein Netz mit Linsen, Spiegeln und/oder reflektierenden Oberflächen mit der Kammer in Verbindung steht.device according to claim 15, characterized in that the radiation source through a net with lenses, mirrors and / or reflective surfaces with communicates with the Chamber.
DE200410025959 2003-06-06 2004-05-27 Processing a substrate by pressurizing processing chamber before, after, and/or simultaneous with application of radiation to heat substrate and/or processing chemistry contained in processing chamber Withdrawn DE102004025959A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/456995 2003-06-06
US10/456,995 US20040159335A1 (en) 2002-05-17 2003-06-06 Method and apparatus for removing organic layers

Publications (1)

Publication Number Publication Date
DE102004025959A1 true DE102004025959A1 (en) 2004-12-30

Family

ID=33490277

Family Applications (1)

Application Number Title Priority Date Filing Date
DE200410025959 Withdrawn DE102004025959A1 (en) 2003-06-06 2004-05-27 Processing a substrate by pressurizing processing chamber before, after, and/or simultaneous with application of radiation to heat substrate and/or processing chemistry contained in processing chamber

Country Status (4)

Country Link
US (1) US20040159335A1 (en)
KR (1) KR20040105567A (en)
DE (1) DE102004025959A1 (en)
TW (1) TW200508419A (en)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004220670A (en) * 2003-01-14 2004-08-05 Hitachi Ltd Method for forming nanoparticle film aligned in axis of easy magnetization, magnetic recording medium using the same and manufacturing method and apparatus thereof
US7913703B1 (en) 2003-06-27 2011-03-29 Lam Research Corporation Method and apparatus for uniformly applying a multi-phase cleaning solution to a substrate
US7648584B2 (en) 2003-06-27 2010-01-19 Lam Research Corporation Method and apparatus for removing contamination from substrate
US20040261823A1 (en) * 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
US7737097B2 (en) * 2003-06-27 2010-06-15 Lam Research Corporation Method for removing contamination from a substrate and for making a cleaning solution
US8316866B2 (en) * 2003-06-27 2012-11-27 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US8522801B2 (en) * 2003-06-27 2013-09-03 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US7799141B2 (en) * 2003-06-27 2010-09-21 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
JP2005183937A (en) * 2003-11-25 2005-07-07 Nec Electronics Corp Manufacturing method of semiconductor device and cleaning device for removing resist
US7568490B2 (en) * 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
US7416370B2 (en) * 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US8323420B2 (en) 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
US7862662B2 (en) * 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
US8522799B2 (en) * 2005-12-30 2013-09-03 Lam Research Corporation Apparatus and system for cleaning a substrate
US8043441B2 (en) * 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
US20060048798A1 (en) * 2004-09-09 2006-03-09 Honeywell International Inc. Methods of cleaning optical substrates
US7232759B2 (en) * 2004-10-04 2007-06-19 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
KR20080080113A (en) * 2005-11-11 2008-09-02 디에스지 테크놀로지스 Thermal processing system, components, and methods
EP2428557A1 (en) * 2005-12-30 2012-03-14 LAM Research Corporation Cleaning solution
JP4854317B2 (en) * 2006-01-31 2012-01-18 東京エレクトロン株式会社 Substrate processing method
US20070227556A1 (en) * 2006-04-04 2007-10-04 Bergman Eric J Methods for removing photoresist
CN101331594B (en) * 2006-06-22 2012-03-28 里巴贝鲁株式会社 Processing device, processing method, and plasma source
US20080020549A1 (en) * 2006-07-20 2008-01-24 Qc Solutions, Inc. Method and apparatus for forming an oxide layer on semiconductors
CA2660181C (en) * 2006-08-11 2014-01-21 The University Of British Columbia Method and apparatus using hydrogen peroxide and microwave system for slurries treatment
US20080060682A1 (en) * 2006-09-13 2008-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. High temperature spm treatment for photoresist stripping
US20080148595A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Method and apparatus for drying substrates using a surface tensions reducing gas
JP4863897B2 (en) * 2007-01-31 2012-01-25 東京エレクトロン株式会社 Substrate cleaning apparatus, substrate cleaning method, and substrate cleaning program
US7897213B2 (en) * 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
TW200845220A (en) * 2007-05-04 2008-11-16 Univ Nat Chiao Tung Microwave annealing for enhancing the efficiency of polymer photovoltaic device
US8226775B2 (en) 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
JP4536784B2 (en) * 2008-01-31 2010-09-01 富士フイルム株式会社 Method for producing functional film
US8026200B2 (en) * 2008-05-01 2011-09-27 Advanced Technology Materials, Inc. Low pH mixtures for the removal of high density implanted resist
SG157262A1 (en) * 2008-06-06 2009-12-29 Turbine Overhaul Services Pte Microwave assisted chemical stripping of coatings
US8444768B2 (en) * 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
KR101123811B1 (en) * 2009-07-10 2012-03-19 에이펫(주) Wafer cleaning apparatus and waper cleanign method using the same
CN101943868B (en) * 2010-08-03 2012-12-19 无锡科硅电子技术有限公司 Method for removing photoresist and device thereof
US10342885B2 (en) * 2011-03-31 2019-07-09 Toyota Jidosha Kabushiki Kaisha Vehicular air cleaner
CN102500578B (en) * 2011-11-15 2014-02-19 昆山明创电子科技有限公司 Electromagnetic steel residue adsorber
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
CN103949431B (en) * 2014-04-28 2015-09-30 江苏双仪光学器材有限公司 A kind of yellow material lens cleaning technique
JP5994821B2 (en) * 2014-06-13 2016-09-21 ウシオ電機株式会社 Desmear processing apparatus and desmear processing method
US9418846B1 (en) 2015-02-27 2016-08-16 International Business Machines Corporation Selective dopant junction for a group III-V semiconductor device
CN105234131A (en) * 2015-11-02 2016-01-13 中国工程物理研究院激光聚变研究中心 Baking device used for removing trace of organic pollutants on surface of light machine element
KR102610448B1 (en) 2016-08-12 2023-12-07 인프리아 코포레이션 Methods of reducing metal residue in edge bead region from metal-containing resists
US20200286757A1 (en) * 2019-03-08 2020-09-10 Dsgi Technologies, Inc. Apparatus for annealing semiconductor integrated circuit wafers
CN111198482B (en) * 2020-01-06 2023-10-24 长江存储科技有限责任公司 Photoresist removing device and method
US20210296118A1 (en) * 2020-03-19 2021-09-23 International Business Machines Corporation Embedded Metal Contamination Removal from BEOL Wafers
CN112343511B (en) * 2020-11-25 2022-02-11 东北大学 Cold and hot impact rock breaking device and method based on microwave heating and liquid nitrogen cooling
CN115595593A (en) * 2022-09-27 2023-01-13 上海凯矜新材料科技有限公司(Cn) Method for removing oxide film on aluminum foil surface of lithium battery

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5211175A (en) * 1975-07-18 1977-01-27 Toshiba Corp Activated gas reacting apparatus
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4243744A (en) * 1978-12-22 1981-01-06 Exxon Research & Engineering Co. Microwave curing of photoresist films
US4273950A (en) * 1979-05-29 1981-06-16 Photowatt International, Inc. Solar cell and fabrication thereof using microwaves
KR860002082B1 (en) * 1983-01-19 1986-11-24 가부시기가이샤 도시바 Forming method and apparatus of resistor pattern
US4565669A (en) * 1983-04-21 1986-01-21 Cem Corporation Microwave ashing apparatus
US4687544A (en) * 1985-05-17 1987-08-18 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
US4885047A (en) * 1986-08-11 1989-12-05 Fusion Systems Corporation Apparatus for photoresist stripping
US4863561A (en) * 1986-12-09 1989-09-05 Texas Instruments Incorporated Method and apparatus for cleaning integrated circuit wafers
US4718974A (en) * 1987-01-09 1988-01-12 Ultraphase Equipment, Inc. Photoresist stripping apparatus using microwave pumped ultraviolet lamp
US5418397A (en) * 1990-07-04 1995-05-23 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having an interconnection pattern
JPH04230018A (en) * 1990-12-27 1992-08-19 Orc Mfg Co Ltd Photo-ashing device of photoresist
JPH04352157A (en) * 1991-05-30 1992-12-07 Toyota Autom Loom Works Ltd Method for removing resist
JPH05109674A (en) * 1991-10-18 1993-04-30 Ushio Inc Method and device for ashing resist film
US5538025A (en) * 1991-11-05 1996-07-23 Serec Partners Solvent cleaning system
GB2271518B (en) * 1992-10-16 1996-09-25 Korea Res Inst Chem Tech Heating of fluidized bed reactor by microwave
US5382446A (en) * 1993-05-19 1995-01-17 Hughes Aircraft Company Surface treatment process for liquid crystal cell substrates
US5464480A (en) * 1993-07-16 1995-11-07 Legacy Systems, Inc. Process and apparatus for the treatment of semiconductor wafers in a fluid
JPH07109573A (en) * 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd Glass substrate and heat treatment
US5963823A (en) * 1995-05-19 1999-10-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of thin film semiconductor device
US5558800A (en) * 1995-06-19 1996-09-24 Northrop Grumman Microwave power radiator for microwave heating applications
JPH09270421A (en) * 1996-04-01 1997-10-14 Mitsubishi Electric Corp Surface treatment apparatus and method
KR100197157B1 (en) * 1996-07-16 1999-06-15 박원훈 Rapid thermal processing method for ferroelectric, high dielectric, electrostrictive, semiconductive, or conductive ceramic thin film using microwaves
US5683564A (en) * 1996-10-15 1997-11-04 Reynolds Tech Fabricators Inc. Plating cell and plating method with fluid wiper
US5865894A (en) * 1997-06-11 1999-02-02 Reynolds Tech Fabricators, Inc. Megasonic plating system
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5914278A (en) * 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
DE69841870D1 (en) * 1997-04-10 2010-10-14 Nucon Systems Inc METHOD OF PRODUCING CERAMIC OBJECTS WITH THICK WALLS
US6701941B1 (en) * 1997-05-09 2004-03-09 Semitool, Inc. Method for treating the surface of a workpiece
US20020157686A1 (en) * 1997-05-09 2002-10-31 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer
US6333283B1 (en) * 1997-05-16 2001-12-25 Sumitomo Electric Industries, Ltd. Silica glass article and manufacturing process therefor
DE19735378A1 (en) * 1997-08-14 1999-02-18 Wacker Chemie Gmbh Process for the production of high-purity silicon granules
DE19882854T1 (en) * 1997-12-02 2001-05-17 Gelest Inc Silicon-based layers formed from iodosilane precursor and process to achieve the same
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
KR100639841B1 (en) * 1998-07-23 2006-10-27 서페이스 테크놀로지 시스템스 피엘씨 Method and apparatus for anisotropic etching
US6106690A (en) * 1998-12-07 2000-08-22 Reynolds Tech Fabricators, Inc. Electroplaner
US6468599B1 (en) * 1998-12-25 2002-10-22 International Business Machines Corporation Method for removing organic compound by ultraviolet radiation
US6254689B1 (en) * 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
US6221437B1 (en) * 1999-04-12 2001-04-24 Reynolds Tech Fabricators, Inc. Heated workpiece holder for wet plating bath
US6217735B1 (en) * 1999-05-19 2001-04-17 Reynolds Tech Babricators, Inc. Electroplating bath with megasonic transducer
JP3356115B2 (en) * 1999-05-20 2002-12-09 ウシオ電機株式会社 Resist curing equipment
US6268596B1 (en) * 1999-08-24 2001-07-31 Ut-Battelle, Llc Apparatus and method for microwave processing of liquids
US6133076A (en) * 1999-08-24 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor
US6627846B1 (en) * 1999-12-16 2003-09-30 Oramir Semiconductor Equipment Ltd. Laser-driven cleaning using reactive gases
US6743301B2 (en) * 1999-12-24 2004-06-01 mFSI Ltd. Substrate treatment process and apparatus
US6286231B1 (en) * 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6371134B2 (en) * 2000-01-31 2002-04-16 Advanced Micro Devices, Inc. Ozone cleaning of wafers
US6319386B1 (en) * 2000-02-03 2001-11-20 Reynolds Tech Fabricators, Inc. Submerged array megasonic plating
KR100463237B1 (en) * 2000-06-28 2004-12-23 주식회사 하이닉스반도체 Method for forming photoresist pattern
US6319809B1 (en) * 2000-07-12 2001-11-20 Taiwan Semiconductor Manfacturing Company Method to reduce via poison in low-k Cu dual damascene by UV-treatment
WO2002027775A1 (en) * 2000-09-28 2002-04-04 Mitsubishi Denki Kabushiki Kaisha Method and apparatus for treating wafer
JP4014127B2 (en) * 2000-10-04 2007-11-28 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
US6716571B2 (en) * 2001-03-28 2004-04-06 Advanced Micro Devices, Inc. Selective photoresist hardening to facilitate lateral trimming
US20020173166A1 (en) * 2001-04-11 2002-11-21 Kurt Christenson Method and apparatus to quickly increase the concentration of gas in a process chamber to a very high level
US6841006B2 (en) * 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer

Also Published As

Publication number Publication date
TW200508419A (en) 2005-03-01
KR20040105567A (en) 2004-12-16
US20040159335A1 (en) 2004-08-19

Similar Documents

Publication Publication Date Title
DE102004025959A1 (en) Processing a substrate by pressurizing processing chamber before, after, and/or simultaneous with application of radiation to heat substrate and/or processing chemistry contained in processing chamber
DE69914917T2 (en) Method and apparatus for treating a workpiece, such as a semiconductor wafer
DE60225817T2 (en) PROCESS AND DEVICE FOR TREATING A WORKING PIECE, SUCH AS A SEMICONDUCTOR WAFER
US7326673B2 (en) Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US6743301B2 (en) Substrate treatment process and apparatus
US7965372B2 (en) Apparatus for removing photoresist film
US8066819B2 (en) Method of removing organic materials from substrates
US20030143845A1 (en) Mask forming and removing method, and a semiconductor device, an electric circuit, a display module, a color filter and an emissive device manufactured by the same method
JP2008294453A (en) Method and equipment for processing substrate, and computer-readable recording medium
US5332444A (en) Gas phase cleaning agents for removing metal containing contaminants from integrated circuit assemblies and a process for using the same
CN101911261A (en) Cyclic nucleation process
DE69934326T2 (en) METHOD FOR REMOVING ORGANIC MATERIAL OF SUPPORT
DE4039853C2 (en) Device and method for surface cleaning
KR20160084449A (en) System and method for enhanced removal of metal hardmask using ultra violet treatment
JP2001077069A (en) Substrate treating method and substrate treating device
JPH04146616A (en) Method of removing organic film coating
KR20080087679A (en) Resist stripping solution containing particles and stripping method using the same
DE4217836A1 (en) Removal of far-Uv hardenable resist process - comprising plasma oxidising resist and removing with microwave irradiation at rate determined by oxygen@ pressure and microwave intensity
US6235144B1 (en) Resist removing apparatus and method
JPH10116809A (en) Method and system for washing
JP3125753B2 (en) Substrate cleaning method and substrate cleaning apparatus
KR100780290B1 (en) Photoresist Strip Process Facilities
DE69632596T2 (en) BEAUTIFUL LASER SURFACE PROCESSING
JPH11323576A (en) Wet etching method
JPH03136329A (en) Cleaning method for silicon substrate surface

Legal Events

Date Code Title Description
8139 Disposal/non-payment of the annual fee