KR100780290B1 - Photoresist Strip Process Facilities - Google Patents

Photoresist Strip Process Facilities Download PDF

Info

Publication number
KR100780290B1
KR100780290B1 KR1020060011989A KR20060011989A KR100780290B1 KR 100780290 B1 KR100780290 B1 KR 100780290B1 KR 1020060011989 A KR1020060011989 A KR 1020060011989A KR 20060011989 A KR20060011989 A KR 20060011989A KR 100780290 B1 KR100780290 B1 KR 100780290B1
Authority
KR
South Korea
Prior art keywords
ozone gas
process chamber
photoresist
water
semiconductor wafer
Prior art date
Application number
KR1020060011989A
Other languages
Korean (ko)
Other versions
KR20070080662A (en
Inventor
손영수
Original Assignee
한국기계연구원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국기계연구원 filed Critical 한국기계연구원
Priority to KR1020060011989A priority Critical patent/KR100780290B1/en
Publication of KR20070080662A publication Critical patent/KR20070080662A/en
Application granted granted Critical
Publication of KR100780290B1 publication Critical patent/KR100780290B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

본 발명은 반도체 웨이퍼를 공정챔버 내부로 이동하는 로딩단계와; 상기 공정챔버 내부를 가열하는 공정챔버 가열단계와; 상기 공정과정의 수증기의 온도와 분사량, 오존가스의 분사량 및 시간을 설정하는 설정단계와; 상기 가열된 공정챔버 내부에 수증기를 주입하는 수증기 주입단계와; 상기 오존가스를 고농도로 발생시켜 주입하는 오존가스 주입단계와; 상기 오존가스와 포토레지스트가 반응하여 포토레지스트가 제거되는 제거단계와; 상기 오존가스와 수증기가 혼합된 혼합가스가 배출장치를 통해 배출되는 배출단계와; 상기 웨이퍼 표면에 잔류하는 이물질들을 고온수로 세정함과 동시에 웨이퍼를 냉각 하며 오염수가 배출되는 고온수 세정 배출 및 건조단계와; 상기 반도체 웨이퍼를 다음 공정챔버로 이동하는 언로딩 단계;를 포함하여 이루어지는 일괄처리방식의 반도체 웨이퍼 포토레지스트 제거 공정방법에 관한 것이다.The present invention includes a loading step of moving a semiconductor wafer into a process chamber; A process chamber heating step of heating the inside of the process chamber; A setting step of setting a temperature and an injection amount of water vapor, an injection amount and a time of ozone gas in the process step; Steam injection step of injecting steam into the heated process chamber; An ozone gas injection step of generating and injecting the ozone gas at a high concentration; A removal step of removing the photoresist by reacting the ozone gas with the photoresist; A discharge step of discharging the mixed gas of the ozone gas and water vapor through a discharge device; A hot water cleaning discharge and drying step of washing the foreign substances remaining on the surface of the wafer with high temperature water and simultaneously cooling the wafer and discharging contaminated water; And a unloading step of moving the semiconductor wafer to a next process chamber.

또한, 본 발명은 포토레지스트 제거 공정구현 설비에 있어서, 상기 반도체 웨이퍼와 LCD기판을 공정챔버로 이동시키는 로딩장치와; 상기 오존가스를 발생하여 공정챔버 내부로 공급하는 오존가스 발생장치와; 상기 순수를 가열하여 수증기를 공정챔버 내부에 공급하는 수증기 발생장치와; 상기 순수를 고온으로 가열하여 공정챔버 내부의 반도체 웨이퍼 및 LCD기판을 세정하는 고온수 발생장치와; 상기 혼합가스와 잔류하는 이물질들을 세정한 고온수를 배출하는 배출장치와; 상기 장치들을 제어하는 제어장치;를 포함하여 특징으로 하는 포토레지스트 제거 공정구현 설비에 관한 것이다.In addition, the present invention provides a photoresist removing process implementing apparatus, comprising: a loading apparatus for moving the semiconductor wafer and the LCD substrate to a process chamber; An ozone gas generator for generating the ozone gas and supplying the ozone gas into the process chamber; A steam generator for heating the pure water to supply steam into the process chamber; A high temperature water generator for heating the pure water to a high temperature to clean the semiconductor wafer and the LCD substrate in the process chamber; A discharge device for discharging the hot water in which the mixed gas and the remaining foreign matters are washed out; And a control device for controlling the devices.

포토레지스트 제거, 포토레지스트, 오존가스, 공정챔버, 웨이퍼, LCD기판 Photoresist removal, photoresist, ozone gas, process chamber, wafer, LCD substrate

Description

포토레지스트 제거 공정구현 설비{Photoresist Strip Process Facilities}Photoresist Strip Process Facilities

도 1은 종래의 포토리소그래피 공정을 개략적으로 설명한 공정도1 is a process diagram schematically illustrating a conventional photolithography process

도 2는 반도체 웨이퍼를 이용한 포토리소그래피 공정도 2 is a photolithography process diagram using a semiconductor wafer

도 3은 반도체 웨이퍼의 공정구현 설비 구성도 3 is a block diagram showing the process implementation of the semiconductor wafer

도 4는 LCD기판 분사노즐이 상하로 이동되는 공정구현 설비 구성도 4 is a block diagram illustrating a process implementation facility in which an LCD substrate injection nozzle is moved up and down

도 5는 공정구현 설비 전체를 나타낸 구성도5 is a configuration diagram showing the entire process implementation facility

도 6a,6b는 반도체 웨이퍼와 LCD기판의 포토레지스트 제거를 개략적으로 나타낸 공정도6A and 6B are process diagrams schematically illustrating photoresist removal of semiconductor wafers and LCD substrates.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

10 : 반도체 웨이퍼 및 LCD기판 20 : 수증기 또는 Moist 발생장치10: semiconductor wafer and LCD substrate 20: water vapor or moist generator

30 : 고온 수 발생장치 40 : 고농도 오존가스 발생기30: high temperature water generator 40: high concentration ozone gas generator

50 : 순 수 60 : 배출장치50: pure water 60: discharge device

70 : 수증기 및 고 온수 분사노즐 80 : 오존가스 분사노즐70: steam and high hot water injection nozzle 80: ozone gas injection nozzle

90 : 공정챔버 100 : 실리콘 산화막90 process chamber 100 silicon oxide film

110 : 포토레지스트 120 : 마스크110: photoresist 120: mask

150 : 제어장치150: controller

본 발명은 반도체 웨이퍼를 공정챔버 내부로 이동하는 로딩단계와; 상기 공정챔버 내부를 가열하는 공정챔버 가열단계와; 상기 공정과정의 수증기의 온도와 분사량, 오존가스의 분사량 및 시간을 설정하는 설정단계와; 상기 가열된 공정챔버 내부에 수증기를 주입하는 수증기 주입단계와; 상기 오존가스를 고농도로 발생시켜 주입하는 오존가스 주입단계와; 상기 오존가스와 포토레지스트가 반응하여 포토레지스트가 제거되는 제거단계와; 상기 오존가스와 수증기가 혼합된 혼합가스가 배출장치를 통해 배출되는 배출단계와; 상기 웨이퍼 표면에 잔류하는 이물질들을 고온수로 세정함과 동시에 웨이퍼를 냉각 하며 오염수가 배출되는 고온수 세정 배출 및 건조단계와; 상기 반도체 웨이퍼를 다음 공정챔버로 이동하는 언로딩 단계;를 포함하여 이루어지는 일괄처리방식의 반도체 웨이퍼 포토레지스트 제거 공정방법에 관한 것이다.The present invention includes a loading step of moving a semiconductor wafer into a process chamber; A process chamber heating step of heating the inside of the process chamber; A setting step of setting a temperature and an injection amount of water vapor, an injection amount and a time of ozone gas in the process step; Steam injection step of injecting steam into the heated process chamber; An ozone gas injection step of generating and injecting the ozone gas at a high concentration; A removal step of removing the photoresist by reacting the ozone gas with the photoresist; A discharge step of discharging the mixed gas of the ozone gas and water vapor through a discharge device; A hot water cleaning discharge and drying step of washing the foreign substances remaining on the surface of the wafer with high temperature water and simultaneously cooling the wafer and discharging contaminated water; And a unloading step of moving the semiconductor wafer to a next process chamber.

또한, 본 발명은 포토레지스트 제거 공정구현 설비에 있어서, 상기 반도체 웨이퍼와 LCD기판을 공정챔버로 이동시키는 로딩장치와; 상기 오존가스를 발생하여 공정챔버 내부로 공급하는 오존가스 발생장치와; 상기 순수를 가열하여 수증기를 공정챔버 내부에 공급하는 수증기 발생장치와; 상기 순수를 고온으로 가열하여 공정챔버 내부의 반도체 웨이퍼 및 LCD기판을 세정하는 고온수 발생장치와; 상기 혼합가스와 잔류하는 이물질들을 세정한 고온수를 배출하는 배출장치와; 상기 장치들을 제어하는 제어장치;를 포함하여 특징으로 하는 포토레지스트 제거 공정구현 설비에 관한 것이다.In addition, the present invention provides a photoresist removing process implementing apparatus, comprising: a loading apparatus for moving the semiconductor wafer and the LCD substrate to a process chamber; An ozone gas generator for generating the ozone gas and supplying the ozone gas into the process chamber; A steam generator for heating the pure water to supply steam into the process chamber; A high temperature water generator for heating the pure water to a high temperature to clean the semiconductor wafer and the LCD substrate in the process chamber; A discharge device for discharging the hot water in which the mixed gas and the remaining foreign matters are washed out; And a control device for controlling the devices.

일반적으로, 반도체 소자는 많은 공정을 거쳐 제조되는데, 이러한 공정을 거치면서 반도체 기판의 표면이 불순물로 오염된다. 예를 들어, 실리콘 웨이퍼(silicon wafer)나 글래스 기판(glass panel), PDP나 LCD등과 같은 대형 평판 디스플레이 소자 표면이 불순물로 오염되기 때문에 이 불순물을 제거하기 위한 세정(cleaning)공정이 필수적이다.In general, a semiconductor device is manufactured through a number of processes, and the surface of the semiconductor substrate is contaminated with impurities. For example, since the surface of a large flat panel display device such as a silicon wafer, a glass panel, a PDP or an LCD is contaminated with impurities, a cleaning process for removing the impurities is essential.

반도체 기판의 세정 방법은 크게 습식화학 방법, 건식방법, 증기(vapor phase)방법 등으로 구분된다. 전통적인 웨이퍼 세정방법은 대부분 과산화수소용액을 사용한 화학적 습식방법이었으나 많은 화학물질의 소모와 사용된 이들 물질의 폐기, 발전되는 제조공정과 비호환성 등으로 인하여 점차 건식이나 기상 방법으로 변화되는 추세이다.The cleaning method of a semiconductor substrate is largely classified into a wet chemical method, a dry method, a vapor phase method, and the like. Traditional wafer cleaning methods were mostly chemical wet methods using hydrogen peroxide solution, but are gradually changed to dry or gas phase methods due to the consumption of many chemicals, the disposal of these materials, and the incompatibilities in developing manufacturing processes.

기판 표면에 존재하는 불순물들은 필름, 개별입자 혹은 입자덩어리, 흡착된 가스 등으로 이루어져 있으며, 이들은 원자, 이온, 분자 등과 같은 물질 특성을 갖고 있다. 분자형태의 불순물들은 주로 윤활유, 감광제, 용제 찌꺼기 등으로부터 발생된 응결된 유기물질 가스들과 DI 워터(Deionized water)나 플라스틱 용기로부터 생겨난 유기화합물, 금속산화물이나 수산화물등으로 이루어진다. 이온 불순물 들은 대부분 Na, F, Cl 이온등과 같은 것을 물리적으로 흡착하던지 화학적으로 결합한 무기화합물로부터 생겨나고, 원자 불순물은 금이나 구리를 포함하고 있는 HF용액으 로부터 반도체 표면에 화학적으로나 전기적으로 이들 금속이 부착되어 생겨난다.Impurities present on the surface of the substrate consist of a film, individual particles or agglomerates, adsorbed gases, etc., which have material properties such as atoms, ions, and molecules. Molecular impurities mainly consist of condensed organic gases from lubricating oils, photosensitizers, solvent residues, organic compounds from DI water (Deionized water) or plastic containers, metal oxides or hydroxides. Most of the ionic impurities originate from inorganic compounds that are physically adsorbed or chemically bound such as Na, F, Cl ions, etc., and the atomic impurities are chemically and electrically applied to the semiconductor surface from HF solution containing gold or copper. Occurs when it is attached.

이와 같은 불순물들을 세정하기 위한 기술로서 RCA법은 암모니아과산화수소 용액, 불산 수용액 및 염산과산화수소 용액을 조합시킨 습식 세정법이고, 종래 건식 세정법은 자외선(UV) 조사에 의해 생성된 염소 라디칼에 의해 기판 표면의 오염금속을 금속염화물로 증발 제거하는 방식이다. 즉, 종래의 세정기술은 주로 습식세정을 이용하는 방법으로 다량의 수용액과 유해성 용액을 사용하여 피 처리물의 표면을 처리하는 방식이나 UV-O3 세정 같은 자외선(Ultra-Violet)으로 오존을 활성화시키는 방법이나 진공에서 산소 플라즈마를 이용하는 방법 등이 있다.As a technique for cleaning such impurities, the RCA method is a wet cleaning method combining ammonia hydrogen peroxide solution, hydrofluoric acid solution, and hydrochloric acid peroxide solution, and conventional dry cleaning method contaminates the surface of a substrate by chlorine radicals generated by ultraviolet (UV) irradiation. It is a method of evaporating and removing metal with metal chloride. In other words, the conventional cleaning technique is mainly a method of wet cleaning, using a large amount of aqueous and hazardous solutions to treat the surface of the workpiece or to activate ozone by ultraviolet (Ultra-Violet), such as UV-O 3 cleaning Or a method of using an oxygen plasma in a vacuum.

그런데, 상기 세정과정(ashing)에서 건식세정(dry ashing)의 경우 플라즈마, 전자빔등의 방법을 사용하는데 이 포토레지스트 제거과정에서 일부의 축적전하 전류가 얇아진 PR을 뚫고 하지 도체 막에 전달되어 쌓이면 그 밑에 있는 게이트 산화막을 파괴할 수 있고, PR속에 미량으로 함유된 중금속 등이 플라스마 포토레지스트 제거로는 제거할 수 없어 포토레지스트 제거 후 남게 되므로 후속공정에서 하지막으로 확산되어 디바이스의 특성을 저하시키는 문제점이 있다.However, in the ashing process, dry ashing uses a method such as plasma or electron beam. In the photoresist removal process, some accumulated charge current is transferred to the lower conductor film through the thin PR and accumulated therein. The underlying gate oxide film can be destroyed, and heavy metals contained in a small amount in the PR cannot be removed by plasma photoresist removal and remain after photoresist removal. There is this.

또한, 상기 건식세정의 잔류물을 제거하기위해 습식제거(wet strip)공정을 거치는데 이 공정에서는 화학 폐수처리문제와 고온공정으로 인한 유독가스 배출 등의 문제가 발생하며, 습식제거공정 후 웨이퍼에 잔류하는 화학 세정액을 세척하기위해 대량의 순수(純水, pure water)가 사용됨에 따른 물 소비량 증대 등의 문제점이 발생한다.In addition, a wet strip process is used to remove the residue of the dry cleaning, which causes problems such as chemical wastewater treatment and toxic gas discharge due to high temperature processes. Problems such as increased water consumption due to the use of a large amount of pure water to wash the remaining chemical cleaning liquid.

본 발명은 상기의 문제점을 해결하고자 안출된 것으로서, 반도체 웨이퍼 또는 LCD 기판 표면에 수증기의 얇은 막을 형성하고 여기에 오존가스를 안착시킴으로써, 반응대상 표면의 포토레지스트를 가수분해하여 오존의 산화력이 쉽게 전달되도록 하여, 포토레지스트 제거효과를 극대화 할 수 있으며, 종래보다 고농도의 오존발생장치로 인해 포토레지스트의 제거효과가 더욱 높아지고, 고온수로 반도체 웨이퍼 및 LCD기판 표면을 세정함으로서 반응 후 잔류물질을 제거할 수 있으며, 오존가스 및 수증기가 공급되는 분사노즐과 반응대상물과의 높이가 조절되는 구조를 포함하여 구성된 것을 특징으로 하는 반도체 웨이퍼 및 LCD기판의 포토레지스트 제거 공정구현 설비 및 포토레지스트 제거 설비를 이용한 공정을 제공하는데 목적이 있다.The present invention has been made to solve the above problems, by forming a thin film of water vapor on the surface of the semiconductor wafer or LCD substrate and by depositing ozone gas on it, hydrolysis of the photoresist on the reaction target surface to easily transfer the oxidizing power of ozone Photoresist removal effect can be maximized and photoresist removal effect is higher due to the higher concentration of ozone generating device than before, and residues after reaction are removed by cleaning the surface of semiconductor wafer and LCD substrate with hot water. And a process of using a photoresist removing process and a photoresist removing facility of the semiconductor wafer and the LCD substrate, the structure including a structure in which the height of the injection nozzle and the reaction object supplied with ozone gas and water vapor is controlled. The purpose is to provide.

또한, 고가 및 유해폐기물을 생성하는 화학용액을 적용하지 않아 공정 후 폐수처리 비용 절감과 순수의 사용 감소에 따른 경제적 공정이 됨을 포함한 것을 특징으로 하는 반도체 웨이퍼 및 LCD기판의 포토레지스트 제거 공정구현 설비 및 포토레지스트 제거 설비를 이용한 공정을 제공하는데 목적이 있다.In addition, photoresist removal process implementation equipment for semiconductor wafers and LCD substrates, which is characterized by the fact that it does not apply a chemical solution that generates expensive and harmful waste, and thus becomes an economical process due to the reduction of waste water treatment costs and the use of pure water. It is an object to provide a process using a photoresist removal facility.

본 발명은 상기의 목적을 달성하기 위하여 아래와 같은 특징을 갖는다.The present invention has the following features to achieve the above object.

본 발명은 본 발명은 반도체 웨이퍼를 공정챔버 내부로 이동하는 로딩단계와; 상기 공정챔버 내부를 가열하는 공정챔버 가열단계와; 상기 공정과정의 수증기의 온도와 분사량, 오존가스의 분사량 및 시간을 설정하는 설정단계와; 상기 가열 된 공정챔버 내부에 수증기를 주입하는 수증기 주입단계와; 상기 오존가스를 고농도로 발생시켜 주입하는 오존가스 주입단계와; 상기 오존가스와 포토레지스트가 반응하여 포토레지스트가 제거되는 제거단계와; 상기 오존가스와 수증기가 혼합된 혼합가스가 배출장치를 통해 배출되는 배출단계와; 상기 웨이퍼 표면에 잔류하는 이물질들을 고온수로 세정함과 동시에 웨이퍼를 냉각 하며 오염수가 배출되는 고온수 세정 배출 및 건조단계와; 상기 반도체 웨이퍼를 다음 공정챔버로 이동하는 언로딩 단계;를 포함하여 이루어지는 일괄처리방식의 반도체 웨이퍼 포토레지스트 제거 공정방법을 포함하여 구성된다.The present invention is a loading step of moving the semiconductor wafer into the process chamber; A process chamber heating step of heating the inside of the process chamber; A setting step of setting a temperature and an injection amount of water vapor, an injection amount and a time of ozone gas in the process step; Steam injection step of injecting steam into the heated process chamber; An ozone gas injection step of generating and injecting the ozone gas at a high concentration; A removal step of removing the photoresist by reacting the ozone gas with the photoresist; A discharge step of discharging the mixed gas of the ozone gas and water vapor through a discharge device; A hot water cleaning discharge and drying step of washing the foreign substances remaining on the surface of the wafer with high temperature water and simultaneously cooling the wafer and discharging contaminated water; And a unloading step of moving the semiconductor wafer to a next process chamber.

또한 포토레지스트 제거 공정구현 설비에 있어서, 상기 반도체 웨이퍼와 LCD기판을 공정챔버로 이동시키는 로딩장치와; 상기 오존가스를 발생하여 공정챔버 내부로 공급하는 오존가스 발생장치와; 상기 순수를 가열하여 수증기를 공정챔버 내부에 공급하는 수증기 발생장치와; 상기 순수를 고온으로 가열하여 공정챔버 내부의 반도체 웨이퍼 및 LCD기판을 세정 및 냉각하는 고온수 발생장치와; 상기 혼합가스와 잔류하는 이물질들을 세정한 고온수를 배출하는 배출장치와; 상기 장치들을 제어하는 제어장치;를 포함하여 특징으로 하는 포토레지스트 제거 공정구현 설비로 구성된다.In addition, a photoresist removing process implementing apparatus, comprising: a loading device for moving the semiconductor wafer and the LCD substrate to the process chamber; An ozone gas generator for generating the ozone gas and supplying the ozone gas into the process chamber; A steam generator for heating the pure water to supply steam into the process chamber; A hot water generator for heating and cooling the pure water to a high temperature to clean and cool the semiconductor wafer and the LCD substrate in the process chamber; A discharge device for discharging the hot water in which the mixed gas and the remaining foreign matters are washed out; And a control device for controlling the devices.

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 1은 종래의 포토리소그래피 공정을 개략적으로 설명한 공정도이고, 도 2는 반도체 웨이퍼를 이용한 포토리소그래피 공정도이며, 도 3은 본 발명의 공정구 현 설비 구성도이고, 도 4는 챔버내부의 분사노즐이 상하로 이동되는 공정구현 설비 구성도이며, 도 5는 공정구현 설비 전체를 나타낸 구성도이고, 도 6a,6b는 반도체 웨이퍼와 LCD기판의 포토레지스트 제거를 개략적으로 나타낸 공정도이다.1 is a process diagram schematically illustrating a conventional photolithography process, FIG. 2 is a process diagram of a photolithography process using a semiconductor wafer, FIG. 3 is a block diagram of a process implementation facility of the present invention, and FIG. 4 is a spray nozzle inside a chamber. 5 is a block diagram showing the whole process implementation equipment, and FIGS. 6A and 6B are process diagrams schematically illustrating the removal of photoresist of semiconductor wafers and LCD substrates.

도면을 참조하면, 본 발명은 반도체 웨이퍼(10)와 LCD기판(10)의 표면에 도포되는 포토레지스트(110)를 제거하는 공정으로서 수증기를 주입한뒤 오존가스를 주입하면 고온의 수증기가 반응대상 표면에 얇은 수 막을 형성하게 되며, 이 수막과 포토레지스트가 반응하여 가수분해현상에 발생한다. 이때 고농도(14wt%이상)의 오존가스를 주입하면 높은 산화력을 갖는 오존 가스와 포토레지스트(110)가 반응하여 포토레지스트(110)를 수용성으로 분해하게 된다. 이러한 수증기 주입 및 오존가스 주입, 고온수 주입 공정은 포토레지스트가 반응 표면에서 완전히 제거될 때까지 수 차례 반복하게 되며, 반응한 오존가스가 수증기가 혼합된 잔류 기수는 배출장치(60)를 통해 배출되며, 기판 표면의 잔사 제거를 위한 고온의 순수(50)를 주입하여 세정하는 과정과 건조 과정으로 이루어진다.Referring to the drawings, the present invention is a process of removing the photoresist 110 is applied to the surface of the semiconductor wafer 10 and the LCD substrate 10, the injection of water vapor and then the injection of ozone gas to the high temperature water vapor reaction target A thin water film is formed on the surface, and the water film and the photoresist react to occur in hydrolysis. At this time, when a high concentration (more than 14wt%) of ozone gas is injected, the photoresist 110 reacts with the ozone gas having a high oxidizing power to decompose the photoresist 110 into water-soluble. This steam injection, ozone gas injection, and hot water injection process are repeated several times until the photoresist is completely removed from the reaction surface, and the residual water in which the reacted ozone gas is mixed with water vapor is discharged through the discharge device 60. It is made of a process of injecting and cleaning the hot water (50) for removing the residue on the surface of the substrate and a drying process.

종래의 반도체 공정중 포토리소그래피공정(300)은 한번이 아닌 여러번 반복되는데 이에 따라, 소자가 고정밀 고집적도를 가지게 된다. 이러한 포토리소그래피공정(300)은 반도체 웨이퍼(10)와 LCD기판(10)을 세척한 다음 실리콘 산화막(100)을 증착시키고, LCD기판은 실리콘 산화막(100) 증착후 이물질을 제거하기 위해 세척을 한번 더한다. 그리고 표면에 원하는 부위를 에칭 할 수 있도록 포토레지스트(감광제)(110)를 도포하고, 소프트 베이킹을 통해 포토레지스트(110)를 건조시키고 접착도를 향상시키며, 반도체 웨이퍼(10) 및 LCD기판(10) 표면으로 일정 이격된 위 치에 마스크를 정렬하고, 빛에 노출시켜 포토레지스트(110)의 필요없는 부분은 결합을 약하게 한다. 그 다음 노광 과정으로 인해 결합이 약해진 부분의 포토레지스트(110)를 현상액으로 제거하는 현상단계를 거친다. 그런뒤, 하드베이킹을 통해 현상단계에서 약해진 결합을 단단하게 굳힌고, 에칭을 통해 포토레지스트(110)가 남아있는 부위를 제외한 부위의 실리콘 산화막(100)을 제거하여 원하는 소자를 만들고, 남아있는 포토레지스트(110)를 제거한다.In the conventional semiconductor process, the photolithography process 300 is repeated several times instead of once, and thus the device has high precision and high integration. The photolithography process 300 cleans the semiconductor wafer 10 and the LCD substrate 10, and then deposits a silicon oxide film 100. The LCD substrate is washed once to remove foreign substances after deposition of the silicon oxide film 100. Add. Then, a photoresist (photosensitive agent) 110 is coated to etch a desired portion on the surface, and the photoresist 110 is dried and softened by soft baking, and the semiconductor wafer 10 and the LCD substrate 10 are applied. Align the mask at a location spaced apart from the surface and expose the light to weaken the bonding of the unnecessary portion of the photoresist (110). Thereafter, a developing step of removing the photoresist 110 of the weakened portion due to the exposure process with a developer is performed. Then, the hard bond weakened in the development step through hard baking, and by etching to remove the silicon oxide film 100 of the portion except the remaining portion of the photoresist 110 to make a desired device, the remaining photo The resist 110 is removed.

이러한 포토리소그래피공정(300)중 원하는 부분을 에칭 후, 포토레지스트(감광제)(110)를 제거하기 위한 본 발명의 제거 공정순서는 반도체 웨이퍼(10)의 경우 반도체 웨이퍼(10)를 공정챔버(90) 내부로 이동시킨뒤,(S10) 반도체 웨이퍼(10)의 포토레지스트(110) 제거반응이 활발해 지도록 공정챔버(90)를 가열하고,(S20) 수증기와 오존의 분사시간 및 분사량 등의 공정조건을 설정한 다음,(S30) 순수(50)를 가열하여 수증기를 발생시키는 수증기 발생장치(20)에서 발생한 수증기를 공정챔버(90) 내부로 주입하고,(S40) 오존가스 발생장치(40)에서 14wt%이상의 고농도 오존가스를 생성하여 공정챔버(90) 내부로 주입하여(S50) 포토레지스트(110)를 제거한뒤,(S60) 수증기와 오존가스가 혼합된 혼합가스를 배출장치(60)를 통해 배출하고,(S70) 반도체 웨이퍼(10) 표면에 남아있는 이물질들을 고온수 발생장치(30)에서 발생되는 고온수로 세정하며 반도체 웨이퍼(10)를 냉각시키고, 고온수를 배출하는 고온수 배출장치(60)를 통해 외부로 배출한다.(S80) 그런뒤, 반도체 웨이퍼를 다음 공정챔버로 이동한다.(S90)After etching a desired portion of the photolithography process 300, the removal process of the present invention for removing the photoresist (photosensitive agent) 110 is performed in the case of the semiconductor wafer 10. ) And then (S10) the process chamber 90 is heated to activate the photoresist 110 removal reaction of the semiconductor wafer 10, and (S20) process conditions such as injection time and injection amount of water vapor and ozone After setting the (S30) and injects the water vapor generated in the steam generator 20 for heating the pure water 50 to generate steam into the process chamber (90), (S40) in the ozone gas generator 40 After generating high concentration ozone gas of 14wt% or more and injecting it into the process chamber 90 (S50) to remove the photoresist 110 (S60), the mixed gas mixed with water vapor and ozone gas is discharged through the discharge device 60. Foreign substances remaining on the surface of the semiconductor wafer 10 and discharged (S70) They are cleaned with the hot water generated by the hot water generator 30, and the semiconductor wafer 10 is cooled and discharged to the outside through the hot water discharge device 60 for discharging the hot water. (S80) Then, The semiconductor wafer is moved to the next process chamber (S90).

한편, LCD기판(10)은 가열챔버로 이동시킨뒤(S100) 가열챔버에서 LCD기판 (10)을 가열하여(S110) 공정챔버(90)로 이동한다.(S120) 그리고 수증기와 고농도 오존가스를 분사하는 분사노즐(70,80)을 하강하여 LCD기판(10) 표면에 근접하도록 위치한다.(S130) 그런뒤, 수증기와 오존가스의 농도 및 분사 시간등의 공정조건을 설정하고,(S140) 순수(50)를 가열하여 수증기를 발생시키는 수증기 발생장치(20)에서 발생한 수증기를 공정챔버(90) 내부로 주입하고,(S150) 오존가스 발생장치(40)에서 14wt%이상의 고농도 오존가스를 생성하여 반응 공정챔버(90) 내부로 주입하여(S160) 포토레지스트(110)를 제거하는데 (S170), 이 과정은 필요 시 수차례 반복 수행되며, 수증기와 오존가스가 혼합된 잔류가스를 배출장치(60)로 배출한뒤(S180) 세정챔버로 이동하고,(S185) LCD(10) 표면에 남아있는 이물질들을 고온수 발생장치(30)에서 발생되는 고온수로 세정한 후, 고온수를 배출장치(60)를 통해 외부로 배출함과 동시에 건조장치에 의한 건조 등의 구성으로 이루어진다.(S200) 그런 뒤, LCD기판을 다음 공정으로 이송한다.(S200)Meanwhile, the LCD substrate 10 moves to the heating chamber (S100) and then heats the LCD substrate 10 in the heating chamber (S110) and moves to the process chamber 90 (S120). The spray nozzles 70 and 80 to be sprayed are lowered and positioned to approach the surface of the LCD substrate 10. (S130) Then, process conditions such as concentration of water vapor and ozone gas and injection time are set (S140). Injects water vapor generated from the steam generator 20 that generates water vapor by heating the pure water 50 into the process chamber 90, and generates high concentration ozone gas of 14 wt% or more in the ozone gas generator 40. Injecting into the reaction process chamber (90) (S160) to remove the photoresist 110 (S170), this process is repeated several times if necessary, and the discharge device for the residual gas mixed with water vapor and ozone gas ( 60) and then move to the cleaning chamber (S180), (S185) foreign matter remaining on the surface of the LCD (10) After cleaning the quality with the hot water generated in the hot water generating device 30, the hot water is discharged to the outside through the discharge device 60 and at the same time made of a drying device such as drying (S200). After that, the LCD substrate is transferred to the next process. (S200)

즉, 반도체 웨이퍼(10)와 LCD기판(10)의 포토레지스트(110) 제거공정은 에칭 후 남아있는 포토레지스트(110)를 제거하는 것으로 포토레지스트(110)로 인해 임플렌트 과정이나 실리콘 산화막(100) 증착과정 등이 정상적으로 일어나지 않는 것을 방지하기위해 실시하는 것이다.That is, the process of removing the photoresist 110 of the semiconductor wafer 10 and the LCD substrate 10 is to remove the photoresist 110 remaining after etching, and the implant process or the silicon oxide film 100 may be removed due to the photoresist 110. This is to prevent the deposition process from happening normally.

이러한, 포토레지스트(110) 제거과정은 반도체 웨이퍼(10)와 LCD기판(10)이 처음 공정과정만 다를 뿐 공정챔버(90)를 가열하는 단계부터는 동일하게 적용된다.The process of removing the photoresist 110 is applied to the semiconductor wafer 10 and the LCD substrate 10 only from the first process, but from the step of heating the process chamber 90.

즉, 반도체 웨이퍼(10)는 공정챔버(90) 내부에 이동되어(S10) 공정챔버(90) 내부를 가열하고,(S20) LCD기판(10)은 기판을 가열한 뒤(S110) 공정챔버(90)로 이 동되어 공정챔버(90) 내부를 가열한다.(S120) 이러한 과정은 반도체 웨이퍼(10)의 경우는 크기가 작기 때문에 공정챔버(90)만 가열해도 반도체 웨이퍼(10)가 가열되지만, LCD기판(10)의 경우 그 크기가 크기 때문에 포토레지스트(110) 제거반응을 극대화시키기 위한 방법이다. That is, the semiconductor wafer 10 is moved inside the process chamber 90 (S10) to heat the inside of the process chamber 90 (S20), and the LCD substrate 10 heats the substrate (S110) and then the process chamber ( The process proceeds to step 90 to heat the inside of the process chamber 90. (S120) Since the process is small in the case of the semiconductor wafer 10, the semiconductor wafer 10 is heated even if only the process chamber 90 is heated. In the case of the LCD substrate 10, the size of the LCD substrate 10 is large, so that the photoresist 110 may be removed.

그리고, 수증기의 온도 및 유량, 오존의 농도 및 유량 등의 공정조건을 설정하고,(S30, S140) 순수를 증발시기는 수증기 발생장치(20)에서 발생되는 수증기를 주입하며,(S40, S150) 종래의 오존 발생기보다 고농도의 오존을 발생하는 고농도 오존가스 발생기(40)에서 발생된 오존가스를 주입하여(S50, S160) 포토레지시트를 제거하며,(S60, S170) 공정챔버(90) 내부에서 수증기와 오존가스가 혼합된 혼합가스가 배출장치(60)로 배출되고,(S70, S180) 순수(50)를 가열하여 고온수를 공정챔버(90) 내부로 제공하는 고온수 발생장치(30)에서 주입된 고온수로 잔류하는 포토레지스트(110)와 오존가스를 세정하며, 잔류하는 포토레지스트(110)와 혼합가스등을 세정하며 가열되어있는 LCD기판을 냉각하는 고온수가 배출되는 배출장치(60)로 오염수가 배출되고, 반도체 웨이퍼(10)와 LCD기판(10)의 표면에 남아있는 물기를 건조시킨다.(S80, S190) 그런 뒤, 다음 공정챔버로 반도체 웨이퍼(10)와 LCD기판(10)을 이동한다.(S90, 200)Then, the process conditions such as the temperature and flow rate of the steam, the concentration and the flow rate of ozone are set (S30, S140) and the water vapor generated by the steam generator 20 for evaporating pure water is injected (S40, S150). Injecting ozone gas generated from a high concentration ozone gas generator 40 that generates a higher concentration of ozone than a conventional ozone generator (S50, S160) to remove the photoresist sheet (S60, S170) inside the process chamber 90 The mixed gas in which the water vapor and the ozone gas are mixed is discharged to the discharge device 60 (S70, S180) and the hot water generator 30 for heating the pure water 50 to provide the hot water into the process chamber (90). The discharge device 60 for cleaning the remaining photoresist 110 and ozone gas with hot water injected from the nozzle, cleaning the remaining photoresist 110 and mixed gas, etc., and cooling the heated LCD substrate. Contaminated water is discharged to the semiconductor wafer 10 and the LCD substrate. Dry the water remaining on the surface of (10). (S80, S190) Then, the semiconductor wafer 10 and the LCD substrate 10 is moved to the next process chamber. (S90, 200)

즉, LCD기판(10)의 경우 LCD기판(10)을 고온 조건으로 유도하여 포토레지스트(110)의 결합조직이 반응이 잘 되도록 하기위한 조건을 조성하고,(S110) 공정챔버(90)로 이동한다. 반도체 웨이퍼(10)의 경우에는 반도체 웨이퍼(10)의 크기가 300mm로 크기가 크지 않기 때문에 공정챔버(90) 내부가열 및 오존가스 반응, 고온 수 세정 및 건조 등을 일괄로 처리가 가능하나, LCD 기판의 경우에는 전체 공정 수율에 맞추어 진행되므로 In-Line 방식으로 공정이 설계되어야 하므로, 가열 및 반응, 세정 및 건조 등의 공정을 수행하는 챔버를 각기 구성하여야 한다. 가열공정챔버에서 가열된 LCD 기판은 공정챔버(90)에서 LCD기판(10) 표면에 얇은 수 막을 형성하기 위한 수증기를 주입하고,(S40, S150) 종래보다 고농도인 14wt%이상으로 발생된 오존가스가 주입되어(S50, S160) 포토레지스트(110)를 제거하며,(S60, S170) 수증기와 오존가스가 혼합된 혼합가스를 배출장치(60)로 배출하고(S70, S180), LCD 표면의 반응 부산물 제거를 위해 포토레지스트(110) 잔사를 고온수로 세정한뒤 오염수를 배출하며, 반도체 웨이퍼(10) 및 LCD기판(10)의 표면에 잔류하는 물기를 건조시키는 과정으로 이루어 진다. 이때 LCD기판은 세정챔버로 이동 되어지며(S185), 반도체 웨이퍼는 공정챔버에서 직접 시행하게 된다.(S80, S190) 그런 뒤, 다음 공정 과정으로 반도체 웨이퍼(10) 및 LCD기판(10)을 이동시킨다.(S90, S200)That is, in the case of the LCD substrate 10, the LCD substrate 10 is guided to a high temperature condition so as to establish a condition for the connective tissue of the photoresist 110 to react well (S110) and move to the process chamber 90. do. In the case of the semiconductor wafer 10, since the size of the semiconductor wafer 10 is 300 mm, the size of the semiconductor wafer 10 is not large. In the case of the substrate, the process must be designed in an in-line manner according to the overall process yield. Therefore, each chamber must be configured to perform processes such as heating and reaction, cleaning and drying. The LCD substrate heated in the heating process chamber injects water vapor to form a thin water film on the surface of the LCD substrate 10 in the process chamber 90 (S40, S150). Is injected (S50, S160) to remove the photoresist 110, (S60, S170) to discharge the mixed gas of water vapor and ozone gas to the discharge device 60 (S70, S180), the reaction of the LCD surface In order to remove the by-products, the residue of the photoresist 110 is washed with high temperature water and then the contaminated water is discharged, and the water remaining on the surfaces of the semiconductor wafer 10 and the LCD substrate 10 is dried. At this time, the LCD substrate is moved to the cleaning chamber (S185), and the semiconductor wafer is directly performed in the process chamber. (S80, S190) Then, the semiconductor wafer 10 and the LCD substrate 10 are moved to the next process. (S90, S200)

따라서, 공정챔버(90) 외부에는 순수를 가열해 수증기를 발생시키는 수증기 발생장치(20)와, 종래보다 고농도의 오존가스를 발생시키는 고농도 오존가스 발생장치(40)와, 수증기 및 오존가스가 혼합된 혼합가스를 배출하는 배출장치(60)와, 순수(50)를 고온으로 가열하여 반도체 웨이퍼(10) 및 LCD기판(10)에 잔류하는 이물질등을 세정하도록 하는 고온수 발생장치(30)와, 이물질등을 세정한 고온수를 배출하는 배출장치(60)가 구성되며, 공정챔버(90) 내부에는 수증기와 고온수 및 오존가스가 발생장치에서 주입되어 공정챔버(90) 내부로 분사하는 분사노즐(70,80)이 구성되어지고, 반도체 웨이퍼(10) 및 LCD기판(10)의 표면에 근접하도록 분사노즐 (70,80)이 상하로 이동되도록 구성되며, 상기 장치들의 방전전력, 온도, 순서, 배출 및 분사 시간 등을 제어하는 제어장치(150)로 구성되어진다.Therefore, a steam generator 20 for heating the pure water to generate water vapor outside the process chamber 90, a high concentration ozone gas generator 40 for generating a higher concentration of ozone gas than in the prior art, and water vapor and ozone gas are mixed. A discharge device 60 for discharging the mixed gas, and a high temperature water generator 30 for heating the pure water 50 to a high temperature to clean foreign substances remaining on the semiconductor wafer 10 and the LCD substrate 10; , The discharge device 60 for discharging the hot water for cleaning the foreign matter, etc., the injection chamber is sprayed into the process chamber 90 by the injection of water vapor and hot water and ozone gas in the generating chamber inside the process chamber 90 The nozzles 70 and 80 are configured, and the injection nozzles 70 and 80 are moved up and down so as to approach the surfaces of the semiconductor wafer 10 and the LCD substrate 10. The discharge power, temperature, Controls for controlling the sequence, discharge and spraying time, etc. (15 0).

이러한 과정으로 인해 오존가스를 주입하기 전 단계에 수증기 발생장치(20)를 통해 증발된 수증기로 인해 오존가스가 반도체 웨이퍼(10) 및 LCD기판(10) 표면에 안착되는 것 을 방지하고, 오존가스의 포토레지스트(110) 제거효율을 극대화 할 수 있으며, 종래보다 고농도의 오존 발생장치(40)로 인해 포토레지스트(110)의 제거효과가 더욱 높아지며, 오존가스와 수증기가 혼합되어 배출되므로 오염물질이 적어지며, 고온수로 반도체 웨이퍼(10) 및 LCD기판(10) 표면을 세정함으로서 잔류하는 오염물질을 제거할 수 있으며, 오존가스와 수증기가 혼합되어 배출되므로 오염물질이 적어지며, 오존가스 및 수증기가 공급되는 분사노즐(70, 80)과 반응대상물과의 높이가 조절이 되는 구조로 구성되어 공정과정과 공정시간이 감소되는 효과가 있다.Due to this process, the ozone gas is prevented from being deposited on the surface of the semiconductor wafer 10 and the LCD substrate 10 due to the water vapor evaporated through the water vapor generator 20 before the ozone gas is injected. Photoresist 110 of the removal efficiency can be maximized, the removal effect of the photoresist 110 is higher due to the higher concentration of ozone generator 40 than the conventional, because the pollutants are discharged by mixing ozone gas and water vapor. Less, the remaining contaminants can be removed by cleaning the surface of the semiconductor wafer 10 and the LCD substrate 10 with high temperature water, and the ozone gas and water vapor is mixed and discharged to reduce the pollutants, ozone gas and water vapor It is composed of a structure in which the height of the injection nozzles 70 and 80 supplied to the reaction object and the reaction object is controlled, thereby reducing the process and the process time.

또한, 화학용액의 세정공정을 적용하지 않아 화학용액 오염물이 적게 발생되고 순수의 사용이 감소되는 효과가 있다.In addition, since the chemical solution cleaning process is not applied, less chemical solution contaminants are generated and the use of pure water is reduced.

상기에서 기술된 바와 같이 본 발명은 오존가스를 매우 고농도로 발생시켜 산화력을 극대화하고, 이를 반도체 웨이퍼 및 LCD기판 표면에 얇은 수 막을 형성하여 오존가스를 반응시캄으로써 물과 포토레지스트와의 가수분해와 포토레지스트와 오존가스의 반응을 통하여 포토레지스트 제거효율을 극대화시키기 위함이다. 종래보다 고농도의 오존 발생장치로 인해 포토레지스트의 제거효과가 더욱 높아지며, 기존의 오존과 물을 혼합하여 적용한 오존 수공정에 비해 훨씬 높은 산화력으로 인한 제거효율을 높일 수 있는 효과가 있다. 또한 기존의 고가 및 유해한 화학용액을 사용하지 않음으로 인한 폐수처리 불필요와 세정에 필요한 순수 사용량의 절감 둥 경제적 환경 친화적 파급효과가 기존 공정에 비해 매우 크다. 또한 오존가스 및 수증기가 공급되는 분사노즐과 반응대상물과의 높이가 조절되도록 하는 설비 구조 고안으로 LCD 기판과 같이 기판을 이동하면서 공정이 진행되는 경우 산화력을 극대화시키기 위한 방범으로 매우 효과적으로 반응 효율 및 공정시간을 감소되는 특징이 있다.As described above, the present invention generates ozone gas at a very high concentration to maximize oxidation power, and forms a thin water film on the surface of the semiconductor wafer and the LCD substrate to react the ozone gas to hydrolyze water and photoresist. This is to maximize the photoresist removal efficiency through the reaction of photoresist and ozone gas. Due to the higher concentration of ozone generating device than conventional, the removal effect of the photoresist is further increased, and the removal efficiency due to the much higher oxidizing power than the ozone water process applied by mixing the existing ozone and water is improved. In addition, wastewater treatment is not required due to the absence of expensive and harmful chemical solutions, and the amount of pure water required for cleaning is reduced. In addition, the device structure is designed so that the height of the injection nozzle and the reaction object supplied with ozone gas and water vapor can be controlled. As a result, the reaction efficiency and process are very effectively used as a crime prevention method to maximize the oxidizing power when the process proceeds as the substrate is moved. It is characterized by reducing time.

Claims (9)

삭제delete 삭제delete 포토레지스트 제거 공정구현 설비에 있어서, In the photoresist removal process implementation facilities, 상기 반도체 웨이퍼(10)와 LCD기판(10)을 공정챔버(90)로 이동시키는 로딩장치와;A loading device for moving the semiconductor wafer 10 and the LCD substrate 10 to a process chamber 90; 상기 공정챔버(90) 내부로 반도체 또는 LCD 제조공정 적용을 위해 14wt%이상의 고농도 오존가스를 생성하여 공급하는 오존가스 발생장치(40)와;An ozone gas generator (40) for generating and supplying a high concentration of ozone gas of 14 wt% or more into the process chamber 90 for application of a semiconductor or LCD manufacturing process; 순수(50)를 가열하여 수증기를 공정챔버(90) 내부에 공급하는 수증기 발생장치(20)와; A steam generator 20 for heating pure water 50 to supply steam into the process chamber 90; 상기 순수(50)를 고온으로 가열하여 공정챔버(90) 내부의 반도체 웨이퍼(10) 및 LCD기판(10)을 세정하는 고온수 발생장치(30)와;A high temperature water generator (30) for heating the pure water (50) to a high temperature to clean the semiconductor wafer (10) and the LCD substrate (10) in the process chamber (90); 상기 오존가스와 수증기가 혼합된 혼합가스와 잔류하는 포토레지스트와 오존가스 및 수증기를 포함한 이물질들을 세정한 고온수를 배출하는 배출장치(60)와;A discharge device (60) for discharging the mixed gas in which the ozone gas and water vapor are mixed, and the hot water for cleaning the foreign substances including the remaining photoresist, ozone gas and water vapor; 상기 오존가스 발생장치(40), 수증기 발생장치(20), 고온수 발생장치(30), 배출장치(60), 공정챔버(90)의 방전전력과 공정온도, 수증기 주입량, 공정반응 및 반복시간을 제어하는 제어장치(150);를 포함하여 구성되어지되, Discharge power and process temperature of the ozone gas generator 40, steam generator 20, hot water generator 30, discharge device 60, process chamber 90, the amount of steam injection, process reaction and repetition time Consists of including; a control device for controlling the 150; 상기 반도체 웨이퍼 공정 챔버에는 일측에 오존가스를 분사하는 분사노즐(80)이 형성되고, 타측에 수증기가 분사되는 분사노즐(70)이 형성되어지며, 상기 LCD기판 공정챔버에는 오존가스와 수증기가 분사되는 분사노즐(70,80)이 LCD기판(10) 표면과 수평으로 위치하여 높이 조절이 가능 하도록 구성되고, 상기 분사노즐(70,80)은 정면상 원형의 형상 또는 정면상 판 형태의 플레이트에 가늘고 긴 다중의 홈(Slit)이 형성된 것을 특징으로 하는 포토레지스트 제거 공정구현 설비.In the semiconductor wafer process chamber, an injection nozzle 80 for injecting ozone gas is formed on one side, and an injection nozzle 70 for injecting water vapor is formed on the other side, and ozone gas and water vapor are injected into the LCD substrate process chamber. The injection nozzles 70 and 80 are positioned horizontally with respect to the surface of the LCD substrate 10 so that height adjustment is possible, and the injection nozzles 70 and 80 are formed on a plate of a circular shape or a plate shape on the front face. A photoresist removing process implementation facility, characterized in that multiple thin slits are formed. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020060011989A 2006-02-08 2006-02-08 Photoresist Strip Process Facilities KR100780290B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060011989A KR100780290B1 (en) 2006-02-08 2006-02-08 Photoresist Strip Process Facilities

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060011989A KR100780290B1 (en) 2006-02-08 2006-02-08 Photoresist Strip Process Facilities

Publications (2)

Publication Number Publication Date
KR20070080662A KR20070080662A (en) 2007-08-13
KR100780290B1 true KR100780290B1 (en) 2007-11-28

Family

ID=38600960

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060011989A KR100780290B1 (en) 2006-02-08 2006-02-08 Photoresist Strip Process Facilities

Country Status (1)

Country Link
KR (1) KR100780290B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100912704B1 (en) 2008-04-22 2009-08-19 세메스 주식회사 Substrate treating apparatus and method for removing photoresist thereof

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101398980B1 (en) * 2014-01-10 2014-05-27 정서화 System for removing photoresist using steam
JP7092478B2 (en) * 2017-09-15 2022-06-28 株式会社Screenホールディングス Resist removing method and resist removing device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030065953A (en) * 2002-02-02 2003-08-09 삼성전자주식회사 Equipment for cleaning process of the semiconductor wafer using vaporizing chemicals and cleaning process using the same equipment
JP2004241414A (en) 2003-02-03 2004-08-26 Sharp Corp Stripper/cleaner
KR20040101505A (en) * 2002-04-16 2004-12-02 사이펙 가부시키가이샤 Resist removing apparatus and method of removing resist
KR20050076843A (en) * 1999-07-30 2005-07-28 동경 엘렉트론 주식회사 Substrate processing method and substrate processing apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050076843A (en) * 1999-07-30 2005-07-28 동경 엘렉트론 주식회사 Substrate processing method and substrate processing apparatus
KR20030065953A (en) * 2002-02-02 2003-08-09 삼성전자주식회사 Equipment for cleaning process of the semiconductor wafer using vaporizing chemicals and cleaning process using the same equipment
KR20040101505A (en) * 2002-04-16 2004-12-02 사이펙 가부시키가이샤 Resist removing apparatus and method of removing resist
JP2004241414A (en) 2003-02-03 2004-08-26 Sharp Corp Stripper/cleaner

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100912704B1 (en) 2008-04-22 2009-08-19 세메스 주식회사 Substrate treating apparatus and method for removing photoresist thereof

Also Published As

Publication number Publication date
KR20070080662A (en) 2007-08-13

Similar Documents

Publication Publication Date Title
KR100526513B1 (en) Method of forming a film, device made thereby, and method of manufacturing a device
US6701941B1 (en) Method for treating the surface of a workpiece
US20110146909A1 (en) Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US20040159335A1 (en) Method and apparatus for removing organic layers
US20090258159A1 (en) Novel treatment for mask surface chemical reduction
JP2000147793A (en) Method for removing photoresist film and apparatus therefor
KR20160084449A (en) System and method for enhanced removal of metal hardmask using ultra violet treatment
JP4077241B2 (en) Manufacturing method of semiconductor device
KR100229687B1 (en) Method for removing organic thin film
KR100780290B1 (en) Photoresist Strip Process Facilities
KR100693252B1 (en) Apparatus for treating substrates, apparatus and method for cleaning substrates
JP2008085231A (en) Method of removing residual organic matter on substrate
JP2724165B2 (en) Method and apparatus for removing organic compound film
JP2002231696A (en) Method and apparatus for removing resist
JP3535820B2 (en) Substrate processing method and substrate processing apparatus
JP2002523906A (en) How to remove organic substances from a substrate
JP3125753B2 (en) Substrate cleaning method and substrate cleaning apparatus
KR100431889B1 (en) Dry cleaning/ashing method and apparatus
KR20000036020A (en) Laser removal of foreign materials from surfaces
EP1032026B1 (en) Method of photoresist ash residue removal
JP2003236481A (en) Washing method, washing apparatus, manufacturing method for semiconductor device, and manufacturing method for active matrix type display device
US6387822B1 (en) Application of an ozonated DI water spray to resist residue removal processes
KR19990088109A (en) Wet etching method and apparatus
EP0879113B1 (en) Damage-free laser surface treatment method
US6399513B1 (en) Ozonated DI water process for organic residue and metal removal processes

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20121023

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130904

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee